forked from rpms/kernel
1
0
Fork 0
kernel/kernel-ppc64le-debug-rhel.c...

6459 lines
187 KiB
Plaintext
Raw Normal View History

# powerpc
# CONFIG_60XX_WDT is not set
CONFIG_64BIT=y
# CONFIG_6LOWPAN_DEBUGFS is not set
CONFIG_6LOWPAN=m
# CONFIG_6LOWPAN_NHC is not set
CONFIG_8139CP=m
# CONFIG_8139_OLD_RX_RESET is not set
CONFIG_8139TOO_8129=y
CONFIG_8139TOO=m
# CONFIG_8139TOO_PIO is not set
# CONFIG_8139TOO_TUNE_TWISTER is not set
CONFIG_842_DECOMPRESS=y
# CONFIG_ABP060MG is not set
# CONFIG_ACCESSIBILITY is not set
# CONFIG_ACORN_PARTITION is not set
CONFIG_ACPI_AC=y
# CONFIG_ACPI_ALS is not set
CONFIG_ACPI_APEI_EINJ=m
CONFIG_ACPI_APEI_ERST_DEBUG=m
CONFIG_ACPI_APEI_GHES=y
CONFIG_ACPI_APEI_MEMORY_FAILURE=y
CONFIG_ACPI_APEI_PCIEAER=y
CONFIG_ACPI_APEI=y
CONFIG_ACPI_BATTERY=y
CONFIG_ACPI_BGRT=y
CONFIG_ACPI_BUTTON=y
# CONFIG_ACPI_CMPC is not set
CONFIG_ACPI_CONFIGFS=m
CONFIG_ACPI_CONTAINER=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_ACPI_CPPC_CPUFREQ_FIE=y
CONFIG_ACPI_CUSTOM_METHOD=m
CONFIG_ACPI_DEBUGGER_USER=m
CONFIG_ACPI_DEBUGGER=y
CONFIG_ACPI_DEBUG=y
CONFIG_ACPI_EC_DEBUGFS=m
CONFIG_ACPI_FAN=y
kernel-5.14.0-322.el9 * Thu Jun 01 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-322.el9] - ACPI: video: Remove acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2176554] - ACPI: processor: thermal: Update CPU cooling devices on cpufreq policy changes (Mark Langsdorf) [2176554] - thermal: core: Drop excessive lockdep_assert_held() calls (Mark Langsdorf) [2176554] - power: supply: remove faulty cooling logic (Mark Langsdorf) [2176554] - thermal: core: call put_device() only after device_register() fails (Mark Langsdorf) [2176554] - thermal: core: fix some possible name leaks in error paths (Mark Langsdorf) [2176554] - thermal/core: fix error code in __thermal_cooling_device_register() (Mark Langsdorf) [2176554] - thermal: core: Introduce thermal_cooling_device_update() (Mark Langsdorf) [2176554] - thermal: core: Introduce thermal_cooling_device_present() (Mark Langsdorf) [2176554] - thermal: sysfs: Reuse cdev->max_state (Mark Langsdorf) [2176554] - thermal: Validate new state in cur_state_store() (Mark Langsdorf) [2176554] - ACPI: video: Improve Chromebook checks (Mark Langsdorf) [2176554] - ACPI: video: Fix missing native backlight on Chromebooks (Mark Langsdorf) [2176554] - ACPI: video: Refactor acpi_video_get_backlight_type() a bit (Mark Langsdorf) [2176554] - ACPI: video: Remove code to unregister acpi_video backlight when a native backlight registers (Mark Langsdorf) [2176554] - ACPI: video: Drop backlight_device_get_by_type() call from acpi_video_get_backlight_type() (Mark Langsdorf) [2176554] - ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2176554] - ACPI: video: Add acpi_backlight=video quirk for Apple iMac14,1 and iMac14,2 (Mark Langsdorf) [2176554] - ACPI: video: Make acpi_backlight=video work independent from GPU driver (Mark Langsdorf) [2176554] - ACPI: video: Add auto_detect arg to __acpi_video_get_backlight_type() (Mark Langsdorf) [2176554] - ACPI: processor: Reorder acpi_processor_driver_init() (Mark Langsdorf) [2176554] - ACPI: bus: Rework system-level device notification handling (Mark Langsdorf) [2176554] - ACPI: resource: Add Medion S17413 to IRQ override quirk (Mark Langsdorf) [2176554] - ACPI: video: Add backlight=native DMI quirk for Acer Aspire 3830TG (Mark Langsdorf) [2176554] - ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Book X90 (Mark Langsdorf) [2176554] - ACPI: x86: Add skip i2c clients quirk for Acer Iconia One 7 B1-750 (Mark Langsdorf) [2176554] - ACPI: x86: Introduce an acpi_quirk_skip_gpio_event_handlers() helper (Mark Langsdorf) [2176554] - ACPI: video: Add backlight=native DMI quirk for Dell Vostro 15 3535 (Mark Langsdorf) [2176554] - ACPICA: Add utcksum.o to the acpidump Makefile (Mark Langsdorf) [2176554] - Documentation: ACPI: Prune DSDT override documentation from index (Mark Langsdorf) [2176554] - ACPI: x86: utils: Add Cezanne to the list for forcing StorageD3Enable (Mark Langsdorf) [2176554] - ACPI: x86: Drop quirk for HP Elitebook (Mark Langsdorf) [2176554] - ACPI: resource: Skip IRQ override on Asus Expertbook B2402FBA (Mark Langsdorf) [2176554] - ACPI: make kobj_type structures constant (Mark Langsdorf) [2176554] - ACPICA: Fix typo in CDAT DSMAS struct definition (Mark Langsdorf) [2176554] - ACPI: resource: Do IRQ override on all TongFang GMxRGxx (Mark Langsdorf) [2176554] - ACPI: resource: Add IRQ overrides for MAINGEAR Vector Pro 2 models (Mark Langsdorf) [2176554] - ACPI: CPPC: Fix some kernel-doc comments (Mark Langsdorf) [2176554] - ACPI: CPPC: Add AMD pstate energy performance preference cppc control (Mark Langsdorf) [2176554] - ACPI: PMIC: Add comments with DSDT power opregion field names (Mark Langsdorf) [2176554] - ACPI: battery: Increase maximum string length (Mark Langsdorf) [2176554] - ACPI: battery: Fix buffer overread if not NUL-terminated (Mark Langsdorf) [2176554] - ACPI: APEI: EINJ: Limit error type to 32-bit width (Mark Langsdorf) [2176554] - ACPI: NFIT: fix a potential deadlock during NFIT teardown (Mark Langsdorf) [2176554] - ACPI: Don't build ACPICA with '-Os' (Mark Langsdorf) [2176554] - acpi: Fix suspend with Xen PV (Mark Langsdorf) [2176554] - ACPI: battery: Fix missing NUL-termination with large strings (Mark Langsdorf) [2176554] - ACPI: PRM: Check whether EFI runtime is available (Mark Langsdorf) [2176554] - ACPI: PNP: Introduce list of known non-PNP devices (Mark Langsdorf) [2176554] - acpi_idle: Remove tracing (Mark Langsdorf) [2176554] - ACPI: Fix selecting wrong ACPI fwnode for the iGPU on some Dell laptops (Mark Langsdorf) [2176554] - ACPICA: nsrepair: handle cases without a return value correctly (Mark Langsdorf) [2176554] - ACPI: resource: Skip IRQ override on Asus Expertbook B2402CBA (Mark Langsdorf) [2176554] - ACPI: Silence missing prototype warnings (Mark Langsdorf) [2176554] - ACPI: processor: perflib: Avoid updating frequency QoS unnecessarily (Mark Langsdorf) [2176554] - ACPI: processor: perflib: Use the "no limit" frequency QoS (Mark Langsdorf) [2176554] - ACPI: processor: idle: Drop unnecessary (void *) conversion (Mark Langsdorf) [2176554] - ACPICA: Constify pathname argument for acpi_get_handle() (Mark Langsdorf) [2176554] - ACPICA: Drop port I/O validation for some regions (Mark Langsdorf) [2176554] - ACPI: PMIC: Add pmic_i2c_address to BYT Crystal Cove support (Mark Langsdorf) [2176554] - ACPI: tables: Add support for NBFT (Mark Langsdorf) [2176554] - ACPI: resource: Add Asus ExpertBook B2502 to Asus quirks (Mark Langsdorf) [2176554] - ACPI: resource: do IRQ override on Lenovo 14ALC7 (Mark Langsdorf) [2176554] - ACPI: resource: do IRQ override on XMG Core 15 (Mark Langsdorf) [2176554] - ACPI: EC: Fix ECDT probe ordering issues (Mark Langsdorf) [2176554] - ACPI: EC: Fix EC address space handler unregistration (Mark Langsdorf) [2176554] - ACPICA: Allow address_space_handler Install and _REG execution as 2 separate steps (Mark Langsdorf) [2176554] - ACPICA: include/acpi/acpixf.h: Fix indentation (Mark Langsdorf) [2176554] - ACPI: x86: Add skip i2c clients quirk for Medion Lifetab S10346 (Mark Langsdorf) [2176554] - ACPI: APEI: EINJ: Refactor available_error_type_show() (Mark Langsdorf) [2176554] - ACPI: APEI: EINJ: Fix formatting errors (Mark Langsdorf) [2176554] - ACPI: processor: perflib: Adjust acpi_processor_notify_smm() return value (Mark Langsdorf) [2176554] - ACPI: processor: perflib: Rearrange acpi_processor_notify_smm() (Mark Langsdorf) [2176554] - ACPI: processor: perflib: Rearrange unregistration routine (Mark Langsdorf) [2176554] - ACPI: processor: perflib: Drop redundant parentheses (Mark Langsdorf) [2176554] - ACPI: processor: perflib: Adjust white space (Mark Langsdorf) [2176554] - ACPI: processor: idle: Drop unnecessary statements and parens (Mark Langsdorf) [2176554] - ACPI: thermal: Adjust critical.flags.valid check (Mark Langsdorf) [2176554] - ACPI: fan: Convert to use sysfs_emit_at() API (Mark Langsdorf) [2176554] - cxl/acpi: Set ACPI's CXL _OSC to indicate RCD mode support (Mark Langsdorf) [2176554] - ACPICA: Fix use-after-free in acpi_ut_copy_ipackage_to_ipackage() (Mark Langsdorf) [2176554] - ACPI: battery: Call power_supply_changed() when adding hooks (Mark Langsdorf) [2176554] - ACPI: use sysfs_emit() instead of scnprintf() (Mark Langsdorf) [2176554] - ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Tab 3 Pro (YT3-X90F) (Mark Langsdorf) [2176554] - ACPI: APEI: Remove a useless include (Mark Langsdorf) [2176554] - ACPI: processor: Silence missing prototype warnings (Mark Langsdorf) [2176554] - ACPI: processor_idle: Silence missing prototype warnings (Mark Langsdorf) [2176554] - ACPI: APEI: Silence missing prototype warnings (Mark Langsdorf) [2176554] - ACPI: fan: Bail out if extract package failed (Mark Langsdorf) [2176554] - ACPI: pfr_update: use ACPI_FREE() to free acpi_object (Mark Langsdorf) [2176554] - ACPI: pfr_telemetry: use ACPI_FREE() to free acpi_object (Mark Langsdorf) [2176554] - ACPI: PCC: Setup PCC Opregion handler only if platform interrupt is available (Mark Langsdorf) [2176554] - ACPI: bus: Fix the _OSC capability check for FFH OpRegion (Mark Langsdorf) [2176554] - ACPI: HMAT: Fix initiator registration for single-initiator systems (Mark Langsdorf) [2176554] - ACPI: HMAT: remove unnecessary variable initialization (Mark Langsdorf) [2176554] - ACPI: APMT: Fix kerneldoc and indentation (Mark Langsdorf) [2176554] - redhat: enable the generic FFH operation handler (Mark Langsdorf) [2176554] - ACPI: Implement a generic FFH Opregion handler (Mark Langsdorf) [2176554] - ACPI: Enable FPDT on arm64 (Mark Langsdorf) [2176554] - ACPI: PM: Print full name path while adding power resource (Mark Langsdorf) [2176554] - ACPI: sysfs: use sysfs_emit() to instead of scnprintf() (Mark Langsdorf) [2176554] - ACPI: irq: Fix some kernel-doc issues (Mark Langsdorf) [2176554] - ACPI: tables: Fix the stale comments for acpi_locate_initial_tables() (Mark Langsdorf) [2176554] - ACPI: HMAT: use hotplug_memory_notifier() directly (Mark Langsdorf) [2176554] - ACPICA: Fix error code path in acpi_ds_call_control_method() (Mark Langsdorf) [2176554] - ACPICA: Update version to 20221020 (Mark Langsdorf) [2176554] - ACPI/IORT: Update SMMUv3 DeviceID support (Mark Langsdorf) [2176554] - ACPI: ARM Performance Monitoring Unit Table (APMT) initial support (Mark Langsdorf) [2176554] - ACPI: scan: substitute empty_zero_page with helper ZERO_PAGE(0) (Mark Langsdorf) [2176554] - ACPI: sysfs: Use kstrtobool() instead of strtobool() (Mark Langsdorf) [2176554] - ACPI: EC: Add quirk for the HP Pavilion Gaming 15-cx0041ur (Mark Langsdorf) [2176554] - ACPI: processor: throttling: remove variable count (Mark Langsdorf) [2176554] - ACPI: processor: idle: Check acpi_fetch_acpi_dev() return value (Mark Langsdorf) [2176554] - ACPI: APEI: Drop unsetting driver data on remove (Mark Langsdorf) [2176554] - ACPI: x86: Add another system to quirk list for forcing StorageD3Enable (Mark Langsdorf) [2176554] - ACPICA: Finish support for the CDAT table (Mark Langsdorf) [2176554] - ACPICA: Improve warning message for "invalid ACPI name" (Mark Langsdorf) [2176554] - ACPICA: IORT: Update for revision E.e (Mark Langsdorf) [2176554] - ACPICA: Add support for FFH Opregion special context data (Mark Langsdorf) [2176554] - ACPICA: Add a couple of new UUIDs to the known UUID list (Mark Langsdorf) [2176554] - ACPICA: iASL: Add CCEL table to both compiler/disassembler (Mark Langsdorf) [2176554] - ACPICA: Do not touch VGA memory when EBDA < 1ki_b (Mark Langsdorf) [2176554] - ACPICA: Check that EBDA pointer is in valid memory (Mark Langsdorf) [2176554] - ACPICA: Make acpi_ex_load_op() match upstream (Mark Langsdorf) [2176554] - ACPI: scan: Add LATT2021 to acpi_ignore_dep_ids[] (Mark Langsdorf) [2176554] - ACPI: resource: Skip IRQ override on Asus Vivobook S5602ZA (Mark Langsdorf) [2176554] - ACPI: PCC: Fix unintentional integer overflow (Mark Langsdorf) [2176554] - apei/ghes: Use xchg_release() for updating new cache slot instead of cmpxchg() (Mark Langsdorf) [2176554] - EDAC/ghes: Make ghes_edac a proper module (Mark Langsdorf) [2176554] - EDAC/ghes: Prepare to make ghes_edac a proper module (Mark Langsdorf) [2176554] - EDAC/ghes: Add a notifier for reporting memory errors (Mark Langsdorf) [2176554] - ACPI: scan: Fix DMA range assignment (Mark Langsdorf) [2176554] - ACPI: PCI: Fix device reference counting in acpi_get_pci_dev() (Mark Langsdorf) [2176554] - ACPI: resource: note more about IRQ override (Mark Langsdorf) [2176554] - ACPI: resource: do IRQ override on LENOVO IdeaPad (Mark Langsdorf) [2176554] - ACPI: extlog: Handle multiple records (Mark Langsdorf) [2176554] - ACPI: APEI: Fix integer overflow in ghes_estatus_pool_init() (Mark Langsdorf) [2176554] - ACPI: thermal: Drop some redundant code (Mark Langsdorf) [2176554] - ACPI: thermal: Drop redundant parens from expressions (Mark Langsdorf) [2176554] - ACPI: thermal: Use white space more consistently (Mark Langsdorf) [2176554] - ACPI: APEI: do not add task_work to kernel thread to avoid memory leak (Mark Langsdorf) [2176554] - ACPI: PM: Take wake IRQ into consideration when entering suspend-to-idle (Mark Langsdorf) [2176554] - ACPI: resources: Add wake_capable parameter to acpi_dev_irq_flags (Mark Langsdorf) [2176554] - ACPI: LPSS: Deduplicate skipping device in acpi_lpss_create_device() (Mark Langsdorf) [2176554] - ACPI: LPSS: Replace loop with first entry retrieval (Mark Langsdorf) [2176554] - ACPI: Kconfig: Drop link to https://01.org/linux-acpi (Mark Langsdorf) [2176554] - ACPI: docs: Drop useless DSDT override documentation (Mark Langsdorf) [2176554] - ACPI: DPTF: Drop stale link from Kconfig help (Mark Langsdorf) [2176554] - ACPI: bus: Add iterator for dependent devices (Mark Langsdorf) [2176554] - ACPI: scan: Add acpi_dev_get_next_consumer_dev() (Mark Langsdorf) [2176554] - ACPI: resource: Add ASUS model S5402ZA to quirks (Mark Langsdorf) [2176554] - ACPI: AMBA: Add ARM DMA-330 controller to the supported list (Mark Langsdorf) [2176554] - ACPI: APEI: Remove unneeded result variables (Mark Langsdorf) [2176554] - ACPI: fan: Reorder symbols to get rid of a few forward declarations (Mark Langsdorf) [2176554] - ACPI: scan: Support multiple DMA windows with different offsets (Mark Langsdorf) [2176554] - ACPI: AC: Remove the leftover struct acpi_ac_bl (Mark Langsdorf) [2176554] - ACPI: x86: Add a quirk for Dell Inspiron 14 2-in-1 for StorageD3Enable (Mark Langsdorf) [2176554] - ACPI: PCI: Rework acpi_get_pci_dev() (Mark Langsdorf) [2176554] - ACPI: PCC: Fix Tx acknowledge in the PCC address space handler (Mark Langsdorf) [2176554] - ACPI: PCC: replace wait_for_completion() (Mark Langsdorf) [2176554] - ACPI: PCC: Release resources on address space setup failure path (Mark Langsdorf) [2176554] - ACPI: HMAT: Drop unused dev_fmt() and redundant 'HMAT' prefix (Mark Langsdorf) [2176554] - ACPI: x86: Refactor _UID handling to use acpi_dev_uid_to_integer() (Mark Langsdorf) [2176554] - ACPI: LPSS: Refactor _UID handling to use acpi_dev_uid_to_integer() (Mark Langsdorf) [2176554] - ACPI: utils: Add acpi_dev_uid_to_integer() helper to get _UID as integer (Mark Langsdorf) [2176554] - ACPI: resource: Skip IRQ override on Asus Vivobook K3402ZA/K3502ZA (Mark Langsdorf) [2176554] - ACPI: LPSS: Use the helper acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554] - ACPI: APD: Use the helper acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554] - ACPI: resource: Add helper function acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554] - ACPI: resource: Filter out the non memory resources in is_memory() (Mark Langsdorf) [2176554] - ACPI: tables: FPDT: Don't call acpi_os_map_memory() on invalid phys address (Mark Langsdorf) [2176554] - ACPI: property: Silence missing-declarations warning in apple.c (Mark Langsdorf) [2176554] - ACPI: platform: Use PLATFORM_DEVID_NONE in acpi_create_platform_device() (Mark Langsdorf) [2176554] - ACPI: platform: Sort forbidden_id_list[] in ascending order (Mark Langsdorf) [2176554] - ACPI: platform: Use sizeof(*pointer) instead of sizeof(type) (Mark Langsdorf) [2176554] - ACPI: platform: Remove redundant print on -ENOMEM (Mark Langsdorf) [2176554] - ACPI: platform: Get rid of redundant 'else' (Mark Langsdorf) [2176554] - ACPI: property: Use acpi_dev_parent() (Mark Langsdorf) [2176554] - ACPI: bus: Refactor ACPI matching functions for better readability (Mark Langsdorf) [2176554] - ACPI: bus: Drop kernel doc annotation from acpi_bus_notify() (Mark Langsdorf) [2176554] - ACPI: EC: Drop unneeded result variable from ec_write() (Mark Langsdorf) [2176554] - ACPI: APEI: Add BERT error log footer (Mark Langsdorf) [2176554] - ACPI: Drop redundant acpi_dev_parent() header (Mark Langsdorf) [2176554] - ACPI: PM: Fix NULL argument handling in acpi_device_get/set_power() (Mark Langsdorf) [2176554] - ACPI: bus: Remove the unneeded result variable (Mark Langsdorf) [2176554] - ACPI: Drop parent field from struct acpi_device (Mark Langsdorf) [2176554] - ACPI: bus: Drop unused list heads from struct acpi_device (Mark Langsdorf) [2176554] - hisi_lpc: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554] - soundwire: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554] - platform/x86/thinkpad_acpi: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554] - platform/x86: Replace acpi_bus_get_device() (Mark Langsdorf) [2176554] - thunderbolt: ACPI: Replace tb_acpi_find_port() with acpi_find_child_by_adr() (Mark Langsdorf) [2176554] - USB: ACPI: Replace usb_acpi_find_port() with acpi_find_child_by_adr() (Mark Langsdorf) [2176554] - mfd: core: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554] - ACPI: move from strlcpy() with unused retval to strscpy() (Mark Langsdorf) [2176554] - ACPI: PM: Fix acpi_dev_state_d0() kerneldoc (Mark Langsdorf) [2176554] - ACPI: scan: Eliminate __acpi_device_add() (Mark Langsdorf) [2176554] - ACPI: scan: Rearrange initialization of ACPI device objects (Mark Langsdorf) [2176554] - ACPI: scan: Rename acpi_bus_get_parent() and rearrange it (Mark Langsdorf) [2176554] - ACPI: Rename acpi_bus_get/put_acpi_device() (Mark Langsdorf) [2176554] - HID: intel-ish-hid: ipc: Fix potential use-after-free in work function (Tony Camuso) [2182396] - HID: stop drivers from selecting CONFIG_HID (Tony Camuso) [2182396] - HID: Put CONFIG_I2C_HID in common/generic (Tony Camuso) [2182396] - HID: intel_ish-hid: Add check for ishtp_dma_tx_map (Tony Camuso) [2182396] - HID: intel-ish-hid: ishtp: remove variable rb_count (Tony Camuso) [2182396] - bluetooth: Perform careful capability checks in hci_sock_ioctl() (Ricardo Robaina) [2196341] {CVE-2023-2002} - nvme: do not let the user delete a ctrl before a complete initialization (Maurizio Lombardi) [2186772] - scsi: core: Decrease scsi_device's iorequest_cnt if dispatch failed (Ming Lei) [2203125] - scsi: Revert "scsi: core: Do not increase scsi_device's iorequest_cnt if dispatch failed" (Ming Lei) [2203125] - netfilter: nf_tables: deactivate anonymous set from preparation phase (Florian Westphal) [2196135] {CVE-2023-32233} - clk: Fix pointer casting to prevent oops in devm_clk_release() (Michal Schmidt) [2189285] - clk: Provide new devm_clk helpers for prepared and enabled clocks (Michal Schmidt) [2189285] - clk: generalize devm_clk_get() a bit (Michal Schmidt) [2189285] - clk: Improve documentation for devm_clk_get() and its optional variant (Michal Schmidt) [2189285] - net: openvswitch: fix race on port output (Antoine Tenart) [2190207] - net: openvswitch: reduce cpu_used_mask memory (Antoine Tenart) [2190207] - net: openvswitch: fix possible memory leak in ovs_meter_cmd_set() (Antoine Tenart) [2190207] - net: openvswitch: fix flow memory leak in ovs_flow_cmd_new (Antoine Tenart) [2190207] - module: Don't wait for GOING modules (Mark Langsdorf) [2028238] Resolves: rhbz#2028238, rhbz#2176554, rhbz#2182396, rhbz#2186772, rhbz#2189285, rhbz#2190207, rhbz#2196135, rhbz#2196341, rhbz#2203125 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-01 05:39:10 +00:00
CONFIG_ACPI_FFH=y
# CONFIG_ACPI_FPDT is not set
CONFIG_ACPI_HED=y
CONFIG_ACPI_HMAT=y
CONFIG_ACPI_I2C_OPREGION=y
CONFIG_ACPI_IPMI=m
CONFIG_ACPI_NFIT=m
CONFIG_ACPI_NUMA=y
kernel-5.14.0-113.el9 * Thu Jun 16 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-113.el9] - powerpc/rtas: Keep MSR[RI] set when calling RTAS (Diego Domingos) [2087259] - netfilter: nat: really support inet nat without l3 address (Phil Sutter) [2092430] - netfilter: nf_tables: use kfree_rcu(ptr, rcu) to release hooks in clean_net path (Phil Sutter) [2094245] - netfilter: nf_tables: double hook unregistration in netns path (Phil Sutter) [2094245] - [s390] s390/dasd: Fix read inconsistency for ESE DASD devices (Mete Durlu) [2094302] - [s390] s390/dasd: Fix read for ESE with blksize < 4k (Mete Durlu) [2094302] - redhat/configs: enable CONFIG_SP5100_TCO for x86_64 (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Enable Family 17h+ CPUs (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Add initialization using EFCH MMIO (Sudheesh Mavila) [2078696] - kernel/resource: Introduce request_mem_region_muxed() (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Refactor MMIO base address initialization (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Move timer initialization into function (Sudheesh Mavila) [2078696] - watchdog: sp5100_tco: Add support for get_timeleft (Sudheesh Mavila) [2078696] - redhat/configs: enable ACPI_PCC (Mark Langsdorf) [2067294] - ACPI: tables: Quiet ACPI table not found warning (Mark Langsdorf) [2067294] - ACPI/IORT: Check node revision for PMCG resources (Mark Langsdorf) [2067294] - PM: s2idle: ACPI: Fix wakeup interrupts handling (Mark Langsdorf) [2067294] - ACPI: PM: s2idle: Cancel wakeup before dispatching EC GPE (Mark Langsdorf) [2067294] - ACPI: PM: Revert "Only mark EC GPE for wakeup on Intel systems" (Mark Langsdorf) [2067294] - ACPI: require CRC32 to build (Mark Langsdorf) [2067294] - ACPI: PCC: pcc_ctx can be static (Mark Langsdorf) [2067294] - ACPI: scan: Rename label in acpi_scan_init() (Mark Langsdorf) [2067294] - ACPI: scan: Simplify initialization of power and sleep buttons (Mark Langsdorf) [2067294] - ACPI: scan: Change acpi_scan_init() return value type to void (Mark Langsdorf) [2067294] - ACPI: SPCR: check if table->serial_port.access_width is too wide (Mark Langsdorf) [2067294] - ACPI: APD: Check for NULL pointer after calling devm_ioremap() (Mark Langsdorf) [2067294] - ACPI: APD: Add a fmw property clk-name (Mark Langsdorf) [2067294] - drivers: acpi: acpi_apd: Remove unused device property "is-rv" (Mark Langsdorf) [2067294] - x86: clk: clk-fch: Add support for newer family of AMD's SOC (Mark Langsdorf) [2067294] - ACPI: PCC: Implement OperationRegion handler for the PCC Type 3 subtype (Mark Langsdorf) [2067294] - ACPI / x86: Skip AC and battery devices on x86 Android tablets with broken DSDTs (Mark Langsdorf) [2067294] - ACPI / x86: Introduce an acpi_quirk_skip_acpi_ac_and_battery() helper (Mark Langsdorf) [2067294] - ACPI: processor: thermal: avoid cpufreq_get_policy() (Mark Langsdorf) [2067294] - ACPI / x86: Add acpi_quirk_skip_[i2c_client|serdev]_enumeration() helpers (Mark Langsdorf) [2067294] - ACPI: scan: Create platform device for BCM4752 and LNV4752 ACPI nodes (Mark Langsdorf) [2067294] - ACPI: battery: Add the ThinkPad "Not Charging" quirk (Mark Langsdorf) [2067294] - acpi: Export acpi_bus_type (Mark Langsdorf) [2067294] - ACPI: sysfs: use default_groups in kobj_type (Mark Langsdorf) [2067294] - redhat/configs: enable ACPI_PFRUT (Mark Langsdorf) [2040058 2067294] - ACPI: pfr_telemetry: Fix info leak in pfrt_log_ioctl() (Mark Langsdorf) [2040058 2067294] - ACPI: pfr_update: Fix return value check in pfru_write() (Mark Langsdorf) [2040058 2067294] - ACPI: Introduce Platform Firmware Runtime Telemetry driver (Mark Langsdorf) [2040058 2067294] - ACPI: Introduce Platform Firmware Runtime Update device driver (Mark Langsdorf) [2040058 2067294] - efi: Introduce EFI_FIRMWARE_MANAGEMENT_CAPSULE_HEADER and corresponding structures (Mark Langsdorf) [2040058 2067294] - ACPICA: Update version to 20211217 (Mark Langsdorf) [2067294] - ACPICA: iASL/NHLT table: "Specific Data" field support (Mark Langsdorf) [2067294] - ACPICA: iASL: Add suppport for AGDI table (Mark Langsdorf) [2067294] - ACPICA: iASL: Add TDEL table to both compiler/disassembler (Mark Langsdorf) [2067294] - ACPICA: Fixed a couple of warnings under MSVC (Mark Langsdorf) [2067294] - ACPICA: Change a return_ACPI_STATUS (AE_BAD_PARAMETER) (Mark Langsdorf) [2067294] - ACPICA: Hardware: Do not flush CPU cache when entering S4 and S5 (Mark Langsdorf) [2067294] - ACPICA: Add support for PCC Opregion special context data (Mark Langsdorf) [2067294] - ACPICA: Fix wrong interpretation of PCC address (Mark Langsdorf) [2067294] - ACPICA: Executer: Fix the REFCLASS_REFOF case in acpi_ex_opcode_1A_0T_1R() (Mark Langsdorf) [2067294] - ACPICA: Utilities: Avoid deleting the same object twice in a row (Mark Langsdorf) [2067294] - ACPICA: Fix AEST Processor generic resource substructure data field byte length (Mark Langsdorf) [2067294] - ACPICA: iASL/Disassembler: Additional support for NHLT table (Mark Langsdorf) [2067294] - ACPICA: Avoid subobject buffer overflow when validating RSDP signature (Mark Langsdorf) [2067294] - ACPICA: Macros: Remove ACPI_PHYSADDR_TO_PTR (Mark Langsdorf) [2067294] - ACPICA: Use original pointer for virtual origin tables (Mark Langsdorf) [2067294] - ACPICA: Use original data_table_region pointer for accesses (Mark Langsdorf) [2067294] - ACPICA: actypes.h: Expand the ACPI_ACCESS_ definitions (Mark Langsdorf) [2067294] - ACPI: NFIT: Import GUID before use (Mark Langsdorf) [2067294] - ACPI: NUMA: Process hotpluggable memblocks when !CONFIG_MEMORY_HOTPLUG (Mark Langsdorf) [2067294] - ACPI: PM: Remove redundant cache flushing (Mark Langsdorf) [2067294] - ACPI: Use acpi_fetch_acpi_dev() instead of acpi_bus_get_device() (Mark Langsdorf) [2067294] - ACPI: tables: Add AEST to the list of known table signatures (Mark Langsdorf) [2067294] - ACPI: delay enumeration of devices with a _DEP pointing to an INT3472 device (Mark Langsdorf) [2067294] - ACPI: PM: Avoid CPU cache flush when entering S4 (Mark Langsdorf) [2067294] - PM: hibernate: Allow ACPI hardware signature to be honoured (Mark Langsdorf) [2067294] - ACPI: PMIC: xpower: Fix _TMP ACPI errors (Mark Langsdorf) [2067294] - ACPI: PMIC: allow drivers to provide a custom lpat_raw_to_temp() function (Mark Langsdorf) [2067294] - ACPI: PMIC: constify all struct intel_pmic_opregion_data declarations (Mark Langsdorf) [2067294] - ACPI: EC: Mark the ec_sys write_support param as module_param_hw() (Mark Langsdorf) [2067294] - ACPI: EC: Relocate acpi_ec_create_query() and drop acpi_ec_delete_query() (Mark Langsdorf) [2067294] - ACPI: EC: Make the event work state machine visible (Mark Langsdorf) [2067294] - ACPI: EC: Avoid queuing unnecessary work in acpi_ec_submit_event() (Mark Langsdorf) [2067294] - ACPI: EC: Rename three functions (Mark Langsdorf) [2067294] - ACPI: EC: Simplify locking in acpi_ec_event_handler() (Mark Langsdorf) [2067294] - ACPI: EC: Rearrange the loop in acpi_ec_event_handler() (Mark Langsdorf) [2067294] - ACPI: EC: Fold acpi_ec_check_event() into acpi_ec_event_handler() (Mark Langsdorf) [2067294] - ACPI: EC: Pass one argument to acpi_ec_query() (Mark Langsdorf) [2067294] - ACPI: EC: Call advance_transaction() from acpi_ec_dispatch_gpe() (Mark Langsdorf) [2067294] - ACPI: EC: Rework flushing of EC work while suspended to idle (Mark Langsdorf) [2067294] - ACPI / x86: Add PWM2 on the Xiaomi Mi Pad 2 to the always_present list (Mark Langsdorf) [2067294] - ACPI / x86: Add not-present quirk for the PCI0.SDHB.BRC1 device on the GPD win (Mark Langsdorf) [2067294] - ACPI / x86: Allow specifying acpi_device_override_status() quirks by path (Mark Langsdorf) [2067294] - ACPI: Change acpi_device_always_present() into acpi_device_override_status() (Mark Langsdorf) [2067294] - ACPI / x86: Drop PWM2 device on Lenovo Yoga Book from always present table (Mark Langsdorf) [2067294] - ACPI: processor idle: Use swap() instead of open coding it (Mark Langsdorf) [2067294] - ACPI: processor: Replace kernel.h with the necessary inclusions (Mark Langsdorf) [2067294] - ACPI: DPTF: Update device ID in a comment (Mark Langsdorf) [2067294] - ACPI: PM: Emit debug messages when enabling/disabling wakeup power (Mark Langsdorf) [2067294] - ACPI: thermal: drop an always true check (Mark Langsdorf) [2067294] - ACPI: Add a context argument for table parsing handlers (Mark Langsdorf) [2067294] - ACPI: Teach ACPI table parsing about the CEDT header format (Mark Langsdorf) [2067294] - ACPI: Keep sub-table parsing infrastructure available for modules (Mark Langsdorf) [2067294] - selftests/bpf: Prevent skeleton generation race (Yauheni Kaliuta) [2069045] - kernel.spec: disable vmlinux.h generation for s390 zfcpdump config (Yauheni Kaliuta) [2069045] - bpf: Fix possible race in inc_misses_counter (Yauheni Kaliuta) [2069045] - kbuild: Unify options for BTF generation for vmlinux and modules (Yauheni Kaliuta) [2069045] - tools/resolve_btfids: Switch to new btf__type_cnt API (Yauheni Kaliuta) [2069045] - bpf, x86: Fix "no previous prototype" warning (Yauheni Kaliuta) [2069045] - selftests/bpf: Add tests for restricted helpers (Yauheni Kaliuta) [2069045] - selftests/bpf: Check map in map pruning (Yauheni Kaliuta) [2069045] - bpf: selftest: Trigger a DCE on the whole subprog (Yauheni Kaliuta) [2069045] - libbpf: Deprecate bpf_objects_list (Yauheni Kaliuta) [2069045] - scripts: documentation-file-ref-check: fix bpf selftests path (Yauheni Kaliuta) [2069045] - libbpf: Add ability to fetch bpf_program's underlying instructions (Yauheni Kaliuta) [2069045] - selftests/bpf: Use cpu_number only on arches that have it (Yauheni Kaliuta) [2069045] - libbpf: Fix skel_internal.h to set errno on loader retval < 0 (Yauheni Kaliuta) [2069045] - bpf: Document BPF licensing. (Yauheni Kaliuta) [2069045] - libbpf: Fix gen_loader assumption on number of programs. (Yauheni Kaliuta) [2069045] - libbpf: Add "bool skipped" to struct bpf_map (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip all serial_test_get_branch_snapshot in vm (Yauheni Kaliuta) [2069045] - bpf: Fix a btf decl_tag bug when tagging a function (Yauheni Kaliuta) [2069045] - libbpf: Define BTF_KIND_* constants in btf.h to avoid compilation errors (Yauheni Kaliuta) [2069045] - libbpf: Use probe_name for legacy kprobe (Yauheni Kaliuta) [2069045] - selftests/bpf: Use "__se_" prefix on architectures without syscall wrapper (Yauheni Kaliuta) [2069045] - selftests/bpf: Extract syscall wrapper (Yauheni Kaliuta) [2069045] - tools/resolve_btfids: Skip unresolved symbol warning for empty BTF sets (Yauheni Kaliuta) [2069045] - libbpf: Perform map fd cleanup for gen_loader in case of error (Yauheni Kaliuta) [2069045] - libbpf: Fix non-C89 loop variable declaration in gen_loader.c (Yauheni Kaliuta) [2069045] - bpftool: Install libbpf headers for the bootstrap version, too (Yauheni Kaliuta) [2069045] - tools/runqslower: Fix cross-build (Yauheni Kaliuta) [2069045] - libbpf: Fix section counting logic (Yauheni Kaliuta) [2069045] - libbpf: Load global data maps lazily on legacy kernels (Yauheni Kaliuta) [2069045] - bpftool: Fix error check when calling hashmap__new() (Yauheni Kaliuta) [2069045] - libbpf: Remove deprecation attribute from struct bpf_prog_prep_result (Yauheni Kaliuta) [2069045] - bpftool: Fix print error when show bpf map (Yauheni Kaliuta) [2069045] - bpf: Add missing map_get_next_key method to bloom filter map. (Yauheni Kaliuta) [2069045] - bpf, selftests: Fix racing issue in btf_skc_cls_ingress test (Yauheni Kaliuta) [2069045] - selftest/bpf: Add a test that reads various addresses. (Yauheni Kaliuta) [2069045] - bpf: Fix extable address check. (Yauheni Kaliuta) [2069045] - bpf: Fix extable fixup offset. (Yauheni Kaliuta) [2069045] - bpf: Make 32->64 bounds propagation slightly more robust (Yauheni Kaliuta) [2069045] - bpf: Fix signed bounds propagation after mov32 (Yauheni Kaliuta) [2069045] - bpf, selftests: Update test case for atomic cmpxchg on r0 with pointer (Yauheni Kaliuta) [2069045] - bpf, selftests: Add test case for atomic fetch on spilled pointer (Yauheni Kaliuta) [2069045] - bpf: Fix kernel address leakage in atomic cmpxchg's r0 aux reg (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix OOB write in test_verifier (Yauheni Kaliuta) [2069045] - selftests/bpf: Tests for state pruning with u32 spill/fill (Yauheni Kaliuta) [2069045] - bpf: Fix incorrect state pruning for <8B spill/fill (Yauheni Kaliuta) [2069045] - bpf: Add selftests to cover packet access corner cases (Yauheni Kaliuta) [2069045] - bpf: Fix the off-by-two error in range markings (Yauheni Kaliuta) [2069045] - treewide: Add missing includes masked by cgroup -> bpf dependency (Yauheni Kaliuta) [2069045] - bpf: Fix bpf_check_mod_kfunc_call for built-in modules (Yauheni Kaliuta) [2069045] - redhat/configs: Add CONFIG_DEBUG_INFO_DWARF5 (Yauheni Kaliuta) [2069045] - bpf: Make CONFIG_DEBUG_INFO_BTF depend upon CONFIG_BPF_SYSCALL (Yauheni Kaliuta) [2069045] - Documentation/locking/locktypes: Update migrate_disable() bits. (Yauheni Kaliuta) [2069045] - bpf: Make sure bpf_disable_instrumentation() is safe vs preemption. (Yauheni Kaliuta) [2069045] - libbpf: Fix lookup_and_delete_elem_flags error reporting (Yauheni Kaliuta) [2069045] - selftests/bpf: Verifier test on refill from a smaller spill (Yauheni Kaliuta) [2069045] - bpf: Do not reject when the stack read size is different from the tracked scalar size (Yauheni Kaliuta) [2069045] - selftests/bpf: Make netcnt selftests serial to avoid spurious failures (Yauheni Kaliuta) [2069045] - selftests/bpf: Test RENAME_EXCHANGE and RENAME_NOREPLACE on bpffs (Yauheni Kaliuta) [2069045] - selftests/bpf: Convert test_bpffs to ASSERT macros (Yauheni Kaliuta) [2069045] - libfs: Support RENAME_EXCHANGE in simple_rename() (Yauheni Kaliuta) [2069045] - libfs: Move shmem_exchange to simple_rename_exchange (Yauheni Kaliuta) [2069045] - libbpf: Deprecate AF_XDP support (Yauheni Kaliuta) [2069045] - selftests/bpf: Add a testcase for 64-bit bounds propagation issue. (Yauheni Kaliuta) [2069045] - bpf: Fix propagation of signed bounds from 64-bit min/max into 32-bit. (Yauheni Kaliuta) [2069045] - bpf: Fix propagation of bounds from 64-bit min/max into 32-bit and var_off. (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix also no-alu32 strobemeta selftest (Yauheni Kaliuta) [2069045] - bpf: Add missing map_delete_elem method to bloom filter map (Yauheni Kaliuta) [2069045] - selftests/bpf: Add bloom map success test for userspace calls (Yauheni Kaliuta) [2069045] - bpf: Add alignment padding for "map_extra" + consolidate holes (Yauheni Kaliuta) [2069045] - bpf: Bloom filter map naming fixups (Yauheni Kaliuta) [2069045] - selftests/bpf: Add test cases for struct_ops prog (Yauheni Kaliuta) [2069045] - bpf: Add dummy BPF STRUCT_OPS for test purpose (Yauheni Kaliuta) [2069045] - bpf: Factor out helpers for ctx access checking (Yauheni Kaliuta) [2069045] - bpf: Factor out a helper to prepare trampoline for struct_ops prog (Yauheni Kaliuta) [2069045] - cgroup: bpf: Move wrapper for __cgroup_bpf_*() to kernel/bpf/cgroup.c (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix strobemeta selftest regression (Yauheni Kaliuta) [2069045] - bpf: Disallow unprivileged bpf by default (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix fclose/pclose mismatch in test_progs (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix memory leak in test_ima (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix fd cleanup in sk_lookup test (Yauheni Kaliuta) [2069045] - selftests/bpf: Add weak/typeless ksym test for light skeleton (Yauheni Kaliuta) [2069045] - libbpf: Use O_CLOEXEC uniformly when opening fds (Yauheni Kaliuta) [2069045] - libbpf: Ensure that BPF syscall fds are never 0, 1, or 2 (Yauheni Kaliuta) [2069045] - libbpf: Add weak ksym support to gen_loader (Yauheni Kaliuta) [2069045] - libbpf: Add typeless ksym support to gen_loader (Yauheni Kaliuta) [2069045] - bpf: Add bpf_kallsyms_lookup_name helper (Yauheni Kaliuta) [2069045] - bpf/benchs: Add benchmarks for comparing hashmap lookups w/ vs. w/out bloom filter (Yauheni Kaliuta) [2069045] - bpf/benchs: Add benchmark tests for bloom filter throughput + false positive (Yauheni Kaliuta) [2069045] - selftests/bpf: Add bloom filter map test cases (Yauheni Kaliuta) [2069045] - libbpf: Add "map_extra" as a per-map-type extra flag (Yauheni Kaliuta) [2069045] - bpf: Add bloom filter map implementation (Yauheni Kaliuta) [2069045] - bpf, tests: Add module parameter test_suite to test_bpf module (Yauheni Kaliuta) [2069045] - selftests/bpf: Adding a namespace reset for tc_redirect (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix attach_probe in parallel mode (Yauheni Kaliuta) [2069045] - selfetests/bpf: Update vmtest.sh defaults (Yauheni Kaliuta) [2069045] - bpf: Use u64_stats_t in struct bpf_prog_stats (Yauheni Kaliuta) [2069045] - bpf: Fixes possible race in update_prog_stats() for 32bit arches (Yauheni Kaliuta) [2069045] - bpf: Avoid races in __bpf_prog_run() for 32bit arches (Yauheni Kaliuta) [2069045] - s390: introduce nospec_uses_trampoline() (Yauheni Kaliuta) [2069045] - selftests/bpf: Guess function end for test_get_branch_snapshot (Yauheni Kaliuta) [2069045] - selftests/bpf: Use __BYTE_ORDER__ (Yauheni Kaliuta) [2069045] - libbpf: Use __BYTE_ORDER__ (Yauheni Kaliuta) [2069045] - libbpf: Fix endianness detection in BPF_CORE_READ_BITFIELD_PROBED() (Yauheni Kaliuta) [2069045] - libbpf: Deprecate ambiguously-named bpf_program__size() API (Yauheni Kaliuta) [2069045] - libbpf: Deprecate multi-instance bpf_program APIs (Yauheni Kaliuta) [2069045] - bpftool: Switch to libbpf's hashmap for PIDs/names references (Yauheni Kaliuta) [2069045] - bpftool: Switch to libbpf's hashmap for programs/maps in BTF listing (Yauheni Kaliuta) [2069045] - bpftool: Switch to libbpf's hashmap for pinned paths of BPF objects (Yauheni Kaliuta) [2069045] - bpftool: Do not expose and init hash maps for pinned path in main.c (Yauheni Kaliuta) [2069045] - bpftool: Remove Makefile dep. on $(LIBBPF) for $(LIBBPF_INTERNAL_HDRS) (Yauheni Kaliuta) [2069045] - selftests/bpf: Split out bpf_verif_scale selftests into multiple tests (Yauheni Kaliuta) [2069045] - selftests/bpf: Mark tc_redirect selftest as serial (Yauheni Kaliuta) [2069045] - bpf/selftests: remove .c suffix from prog_tests/rhskip (Yauheni Kaliuta) [2069045] - selftests/bpf: Support multiple tests per file (Yauheni Kaliuta) [2069045] - selftests/bpf: Normalize selftest entry points (Yauheni Kaliuta) [2069045] - libbpf: Fix BTF header parsing checks (Yauheni Kaliuta) [2069045] - libbpf: Fix overflow in BTF sanity checks (Yauheni Kaliuta) [2069045] - docs/bpf: Update documentation for BTF_KIND_DECL_TAG typedef support (Yauheni Kaliuta) [2069045] - selftests/bpf: Add BTF_KIND_DECL_TAG typedef example in tag.c (Yauheni Kaliuta) [2069045] - selftests/bpf: Test deduplication for BTF_KIND_DECL_TAG typedef (Yauheni Kaliuta) [2069045] - selftests/bpf: Add BTF_KIND_DECL_TAG typedef unit tests (Yauheni Kaliuta) [2069045] - bpf: Add BTF_KIND_DECL_TAG typedef support (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix flow dissector tests (Yauheni Kaliuta) [2069045] - libbpf: Use func name when pinning programs with LIBBPF_STRICT_SEC_NAME (Yauheni Kaliuta) [2069045] - bpftool: Avoid leaking the JSON writer prepared for program metadata (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch to new btf__type_cnt/btf__raw_data APIs (Yauheni Kaliuta) [2069045] - bpftool: Switch to new btf__type_cnt API (Yauheni Kaliuta) [2069045] - libbpf: Add btf__type_cnt() and btf__raw_data() APIs (Yauheni Kaliuta) [2069045] - libbpf: Deprecate btf__finalize_data() and move it into libbpf.c (Yauheni Kaliuta) [2069045] - libbpf: Fix memory leak in btf__dedup() (Yauheni Kaliuta) [2069045] - selftests/bpf: Make perf_buffer selftests work on 4.9 kernel again (Yauheni Kaliuta) [2069045] - libbpf: Fix the use of aligned attribute (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch to ".bss"/".rodata"/".data" lookups for internal maps (Yauheni Kaliuta) [2069045] - libbpf: Simplify look up by name of internal maps (Yauheni Kaliuta) [2069045] - selftests/bpf: Demonstrate use of custom .rodata/.data sections (Yauheni Kaliuta) [2069045] - libbpf: Support multiple .rodata.* and .data.* BPF maps (Yauheni Kaliuta) [2069045] - bpftool: Improve skeleton generation for data maps without DATASEC type (Yauheni Kaliuta) [2069045] - bpftool: Support multiple .rodata/.data internal maps in skeleton (Yauheni Kaliuta) [2069045] - libbpf: Remove assumptions about uniqueness of .rodata/.data/.bss maps (Yauheni Kaliuta) [2069045] - libbpf: Use Elf64-specific types explicitly for dealing with ELF (Yauheni Kaliuta) [2069045] - libbpf: Extract ELF processing state into separate struct (Yauheni Kaliuta) [2069045] - selftests/bpf: Add verif_stats test (Yauheni Kaliuta) [2069045] - bpf: Add verified_insns to bpf_prog_info and fdinfo (Yauheni Kaliuta) [2069045] - libbpf: Fix ptr_is_aligned() usages (Yauheni Kaliuta) [2069045] - selftests/bpf: Test bpf_skc_to_unix_sock() helper (Yauheni Kaliuta) [2069045] - bpf: Add bpf_skc_to_unix_sock() helper (Yauheni Kaliuta) [2069045] - samples: bpf: Suppress readelf stderr when probing for BTF support (Yauheni Kaliuta) [2069045] - net: bpf: Switch over to memdup_user() (Yauheni Kaliuta) [2069045] - selftests/bpf: Some more atomic tests (Yauheni Kaliuta) [2069045] - libbpf: Fix dumping non-aligned __int128 (Yauheni Kaliuta) [2069045] - libbpf: Fix dumping big-endian bitfields (Yauheni Kaliuta) [2069045] - bpftool: Remove useless #include to <perf-sys.h> from map_perf_ring.c (Yauheni Kaliuta) [2069045] - selftests/bpf: Remove duplicated include in cgroup_helpers (Yauheni Kaliuta) [2069045] - bpf/preload: Clean up .gitignore and "clean-files" target (Yauheni Kaliuta) [2069045] - libbpf: Migrate internal use of bpf_program__get_prog_info_linear (Yauheni Kaliuta) [2069045] - bpf: Silence Coverity warning for find_kfunc_desc_btf (Yauheni Kaliuta) [2069045] - bpftool: Turn check on zlib from a phony target into a conditional error (Yauheni Kaliuta) [2069045] - bpftool: Do not FORCE-build libbpf (Yauheni Kaliuta) [2069045] - bpftool: Fix install for libbpf's internal header(s) (Yauheni Kaliuta) [2069045] - libbpf: Remove Makefile warnings on out-of-sync netlink.h/if_link.h (Yauheni Kaliuta) [2069045] - bpf: Rename BTF_KIND_TAG to BTF_KIND_DECL_TAG (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip verifier tests that fail to load with ENOTSUPP (Yauheni Kaliuta) [2069045] - selfetest/bpf: Make some tests serial (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix pid check in fexit_sleep test (Yauheni Kaliuta) [2069045] - selftests/bpf: Adding pid filtering for atomics test (Yauheni Kaliuta) [2069045] - selftests/bpf: Make cgroup_v1v2 use its own port (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix race condition in enable_stats (Yauheni Kaliuta) [2069045] - selftests/bpf: Add per worker cgroup suffix (Yauheni Kaliuta) [2069045] - selftests/bpf: Allow some tests to be executed in sequence (Yauheni Kaliuta) [2069045] - selftests/bpf: Add parallelism to test_progs (Yauheni Kaliuta) [2069045] - bpf/selftests: Add test for writable bare tracepoint (Yauheni Kaliuta) [2069045] - libbpf: Support detecting and attaching of writable tracepoint program (Yauheni Kaliuta) [2069045] - bpf: Support writable context for bare tracepoint (Yauheni Kaliuta) [2069045] - bpftool: Add install-bin target to install binary only (Yauheni Kaliuta) [2069045] - selftests/bpf: Better clean up for runqslower in test_bpftool_build.sh (Yauheni Kaliuta) [2069045] - samples/bpf: Do not FORCE-recompile libbpf (Yauheni Kaliuta) [2069045] - samples/bpf: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - samples/bpf: Update .gitignore (Yauheni Kaliuta) [2069045] - bpf: iterators: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - bpf: preload: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - tools/runqslower: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - tools/resolve_btfids: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - bpftool: Install libbpf headers instead of including the dir (Yauheni Kaliuta) [2069045] - bpftool: Remove unused includes to <bpf/bpf_gen_internal.h> (Yauheni Kaliuta) [2069045] - libbpf: Skip re-installing headers file if source is older than target (Yauheni Kaliuta) [2069045] - selftests/bpf: Remove SEC("version") from test progs (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip the second half of get_branch_snapshot in vm (Yauheni Kaliuta) [2069045] - bpf, tests: Add more LD_IMM64 tests (Yauheni Kaliuta) [2069045] - bpf, x64: Factor out emission of REX byte in more cases (Yauheni Kaliuta) [2069045] - libbpf: Deprecate bpf_object__unload() API since v0.6 (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch to new bpf_object__next_{map,program} APIs (Yauheni Kaliuta) [2069045] - libbpf: Deprecate bpf_{map,program}__{prev,next} APIs since v0.7 (Yauheni Kaliuta) [2069045] - libbpf: Add API documentation convention guidelines (Yauheni Kaliuta) [2069045] - bpf: Use $(pound) instead of \# in Makefiles (Yauheni Kaliuta) [2069045] - selftests/bpf: Test new btf__add_btf() API (Yauheni Kaliuta) [2069045] - selftests/bpf: Refactor btf_write selftest to reuse BTF generation logic (Yauheni Kaliuta) [2069045] - libbpf: Add API that copies all BTF types from one BTF object to another (Yauheni Kaliuta) [2069045] - bpf, x64: Save bytes for DIV by reducing reg copies (Yauheni Kaliuta) [2069045] - bpf: Avoid retpoline for bpf_for_each_map_elem (Yauheni Kaliuta) [2069045] - bpf: selftests: Add selftests for module kfunc support (Yauheni Kaliuta) [2069045] - libbpf: Update gen_loader to emit BTF_KIND_FUNC relocations (Yauheni Kaliuta) [2069045] - libbpf: Resolve invalid weak kfunc calls with imm = 0, off = 0 (Yauheni Kaliuta) [2069045] - libbpf: Support kernel module function calls (Yauheni Kaliuta) [2069045] - bpf: Enable TCP congestion control kfunc from modules (Yauheni Kaliuta) [2069045] - tools: Allow specifying base BTF file in resolve_btfids (Yauheni Kaliuta) [2069045] - bpf: btf: Introduce helpers for dynamic BTF set registration (Yauheni Kaliuta) [2069045] - bpf: Be conservative while processing invalid kfunc calls (Yauheni Kaliuta) [2069045] - bpf: Introduce BPF support for kernel module function calls (Yauheni Kaliuta) [2069045] - selftests/bpf: Use BTF-defined key/value for map definitions (Yauheni Kaliuta) [2069045] - libbpf: Support uniform BTF-defined key/value specification across all BPF maps (Yauheni Kaliuta) [2069045] - bpf/tests: Add test of LDX_MEM with operand aliasing (Yauheni Kaliuta) [2069045] - bpf/tests: Add test of ALU shifts with operand register aliasing (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of BPF_ATOMIC register combinations (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of ALU register combinations (Yauheni Kaliuta) [2069045] - bpf/tests: Minor restructuring of ALU tests (Yauheni Kaliuta) [2069045] - bpf/tests: Add more tests for ALU and ATOMIC register clobbering (Yauheni Kaliuta) [2069045] - bpf/tests: Add tests to check source register zero-extension (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of BPF_ATOMIC magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add zero-extension checks in BPF_ATOMIC tests (Yauheni Kaliuta) [2069045] - bpf/tests: Add tests of BPF_LDX and BPF_STX with small sizes (Yauheni Kaliuta) [2069045] - bpf, xdp, docs: Correct some English grammar and spelling (Yauheni Kaliuta) [2069045] - selftests/bpf: Use kselftest skip code for skipped tests (Yauheni Kaliuta) [2069045] - bpf: Do not invoke the XDP dispatcher for PROG_RUN with single repeat (Yauheni Kaliuta) [2069045] - libbpf: Make gen_loader data aligned. (Yauheni Kaliuta) [2069045] - bpf: selftests: Fix fd cleanup in get_branch_snapshot (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix probe_user test failure with clang build kernel (Yauheni Kaliuta) [2069045] - bpf: Replace callers of BPF_CAST_CALL with proper function typedef (Yauheni Kaliuta) [2069045] - bpf: Replace "want address" users of BPF_CAST_CALL with BPF_CALL_IMM (Yauheni Kaliuta) [2069045] - bpf/tests: Add tail call limit test with external function call (Yauheni Kaliuta) [2069045] - bpftool: Avoid using "?: " in generated code (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch sk_lookup selftests to strict SEC("sk_lookup") use (Yauheni Kaliuta) [2069045] - libbpf: Add opt-in strict BPF program section name handling logic (Yauheni Kaliuta) [2069045] - libbpf: Complete SEC() table unification for BPF_APROG_SEC/BPF_EAPROG_SEC (Yauheni Kaliuta) [2069045] - libbpf: Refactor ELF section handler definitions (Yauheni Kaliuta) [2069045] - libbpf: Reduce reliance of attach_fns on sec_def internals (Yauheni Kaliuta) [2069045] - libbpf: Refactor internal sec_def handling to enable pluggability (Yauheni Kaliuta) [2069045] - selftests/bpf: Normalize all the rest SEC() uses (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch SEC("classifier*") usage to a strict SEC("tc") (Yauheni Kaliuta) [2069045] - selftests/bpf: Normalize XDP section names in selftests (Yauheni Kaliuta) [2069045] - libbpf: Add "tc" SEC_DEF which is a better name for "classifier" (Yauheni Kaliuta) [2069045] - bpf/tests: Add more BPF_END byte order conversion tests (Yauheni Kaliuta) [2069045] - bpf/tests: Expand branch conversion JIT test (Yauheni Kaliuta) [2069045] - bpf/tests: Add JMP tests with degenerate conditional (Yauheni Kaliuta) [2069045] - bpf/tests: Add JMP tests with small offsets (Yauheni Kaliuta) [2069045] - bpf/tests: Add test case flag for verifier zero-extension (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive test of LD_IMM64 immediate magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add staggered JMP and JMP32 tests (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of JMP operand magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of ALU operand magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of ALU shift values (Yauheni Kaliuta) [2069045] - bpf/tests: Reduce memory footprint of test suite (Yauheni Kaliuta) [2069045] - bpf/tests: Allow different number of runs per test case (Yauheni Kaliuta) [2069045] - bpf: selftest: Add verifier tests for <8-byte scalar spill and refill (Yauheni Kaliuta) [2069045] - bpf: selftest: A bpf prog that has a 32bit scalar spill (Yauheni Kaliuta) [2069045] - bpf: Support <8-byte scalar spill and refill (Yauheni Kaliuta) [2069045] - bpf: Check the other end of slot_type for STACK_SPILL (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix btf_dump __int128 test failure with clang build kernel (Yauheni Kaliuta) [2069045] - libbpf: Add legacy uprobe attaching support (Yauheni Kaliuta) [2069045] - libbpf: Refactor and simplify legacy kprobe code (Yauheni Kaliuta) [2069045] - selftests/bpf: Adopt attach_probe selftest to work on old kernels (Yauheni Kaliuta) [2069045] - libbpf: Fix memory leak in legacy kprobe attach logic (Yauheni Kaliuta) [2069045] - samples: bpf: Convert ARP table network order fields into readable format (Yauheni Kaliuta) [2069045] - samples: bpf: Convert route table network order fields into readable format (Yauheni Kaliuta) [2069045] - libbpf: Add doc comments in libbpf.h (Yauheni Kaliuta) [2069045] - bpf: Clarify data_len param in bpf_snprintf and bpf_seq_printf comments (Yauheni Kaliuta) [2069045] - selftests/bpf: Add trace_vprintk test prog (Yauheni Kaliuta) [2069045] - selftests/bpf: Migrate prog_tests/trace_printk CHECKs to ASSERTs (Yauheni Kaliuta) [2069045] - bpftool: Only probe trace_vprintk feature in 'full' mode (Yauheni Kaliuta) [2069045] - libbpf: Use static const fmt string in __bpf_printk (Yauheni Kaliuta) [2069045] - libbpf: Modify bpf_printk to choose helper based on arg count (Yauheni Kaliuta) [2069045] - bpf: Add bpf_trace_vprintk helper (Yauheni Kaliuta) [2069045] - selftests/bpf: Stop using bpf_program__load (Yauheni Kaliuta) [2069045] - bpf: Merge printk and seq_printf VARARG max macros (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix a few compiler warnings (Yauheni Kaliuta) [2069045] - libbpf: Constify all high-level program attach APIs (Yauheni Kaliuta) [2069045] - libbpf: Schedule open_opts.attach_prog_fd deprecation since v0.7 (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch fexit_bpf2bpf selftest to set_attach_target() API (Yauheni Kaliuta) [2069045] - libbpf: Allow skipping attach_func_name in bpf_program__set_attach_target() (Yauheni Kaliuta) [2069045] - libbpf: Deprecated bpf_object_open_opts.relaxed_core_relocs (Yauheni Kaliuta) [2069045] - selftests/bpf: Stop using relaxed_core_relocs which has no effect (Yauheni Kaliuta) [2069045] - libbpf: Use pre-setup sec_def in libbpf_find_attach_btf_id() (Yauheni Kaliuta) [2069045] - bpf: Update bpf_get_smp_processor_id() documentation (Yauheni Kaliuta) [2069045] - libbpf: Add sphinx code documentation comments (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip btf_tag test if btf_tag attribute not supported (Yauheni Kaliuta) [2069045] - docs/bpf: Add documentation for BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - selftests/bpf: Add a test with a bpf program with btf_tag attributes (Yauheni Kaliuta) [2069045] - selftests/bpf: Test BTF_KIND_TAG for deduplication (Yauheni Kaliuta) [2069045] - selftests/bpf: Add BTF_KIND_TAG unit tests (Yauheni Kaliuta) [2069045] - selftests/bpf: Change NAME_NTH/IS_NAME_NTH for BTF_KIND_TAG format (Yauheni Kaliuta) [2069045] - selftests/bpf: Test libbpf API function btf__add_tag() (Yauheni Kaliuta) [2069045] - bpftool: Add support for BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - libbpf: Add support for BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - libbpf: Rename btf_{hash,equal}_int to btf_{hash,equal}_int_tag (Yauheni Kaliuta) [2069045] - bpf: Support for new btf kind BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - btf: Change BTF_KIND_* macros to enums (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix .gitignore to not ignore test_progs.c (Yauheni Kaliuta) [2069045] - bpf,x64 Emit IMUL instead of MUL for x86-64 (Yauheni Kaliuta) [2069045] - libbpf: Minimize explicit iterator of section definition array (Yauheni Kaliuta) [2069045] - libbpf: Simplify BPF program auto-attach code (Yauheni Kaliuta) [2069045] - libbpf: Ensure BPF prog types are set before relocations (Yauheni Kaliuta) [2069045] - selftests/bpf: Update selftests to always provide "struct_ops" SEC (Yauheni Kaliuta) [2069045] - libbpf: Introduce legacy kprobe events support (Yauheni Kaliuta) [2069045] - bpf, selftests: Replicate tailcall limit test for indirect call case (Yauheni Kaliuta) [2069045] - selftests/bpf: Add test for bpf_get_branch_snapshot (Yauheni Kaliuta) [2069045] - bpf: Introduce helper bpf_get_branch_snapshot (Yauheni Kaliuta) [2069045] - selftests/bpf: Test new __sk_buff field hwtstamp (Yauheni Kaliuta) [2069045] - bpf: Permit ingress_ifindex in bpf_prog_test_run_xattr (Yauheni Kaliuta) [2069045] - bpf: Add hardware timestamp field to __sk_buff (Yauheni Kaliuta) [2069045] - libbpf: Make libbpf_version.h non-auto-generated (Yauheni Kaliuta) [2069045] - libbpf: Add LIBBPF_DEPRECATED_SINCE macro for scheduling API deprecations (Yauheni Kaliuta) [2069045] - libbpf: Fix build with latest gcc/binutils with LTO (Yauheni Kaliuta) [2069045] - selftests/bpf: Add checks for X__elf_bytes() skeleton helper (Yauheni Kaliuta) [2069045] - bpftool: Provide a helper method for accessing skeleton's embedded ELF data (Yauheni Kaliuta) [2069045] - libbpf: Change bpf_object_skeleton data field to const pointer (Yauheni Kaliuta) [2069045] - libbpf: Don't crash on object files with no symbol tables (Yauheni Kaliuta) [2069045] - bpf, selftests: Fix spelling mistake "tained" -> "tainted" (Yauheni Kaliuta) [2069045] - bpf, selftests: Add test case trying to taint map value pointer (Yauheni Kaliuta) [2069045] Resolves: rhbz#2087259, rhbz#2092430, rhbz#2094245, rhbz#2094302, rhbz#2078696, rhbz#2067294, rhbz#2040058, rhbz#2069045 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-16 10:09:08 +00:00
CONFIG_ACPI_PCC=y
CONFIG_ACPI_PCI_SLOT=y
kernel-5.14.0-113.el9 * Thu Jun 16 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-113.el9] - powerpc/rtas: Keep MSR[RI] set when calling RTAS (Diego Domingos) [2087259] - netfilter: nat: really support inet nat without l3 address (Phil Sutter) [2092430] - netfilter: nf_tables: use kfree_rcu(ptr, rcu) to release hooks in clean_net path (Phil Sutter) [2094245] - netfilter: nf_tables: double hook unregistration in netns path (Phil Sutter) [2094245] - [s390] s390/dasd: Fix read inconsistency for ESE DASD devices (Mete Durlu) [2094302] - [s390] s390/dasd: Fix read for ESE with blksize < 4k (Mete Durlu) [2094302] - redhat/configs: enable CONFIG_SP5100_TCO for x86_64 (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Enable Family 17h+ CPUs (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Add initialization using EFCH MMIO (Sudheesh Mavila) [2078696] - kernel/resource: Introduce request_mem_region_muxed() (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Refactor MMIO base address initialization (Sudheesh Mavila) [2078696] - Watchdog: sp5100_tco: Move timer initialization into function (Sudheesh Mavila) [2078696] - watchdog: sp5100_tco: Add support for get_timeleft (Sudheesh Mavila) [2078696] - redhat/configs: enable ACPI_PCC (Mark Langsdorf) [2067294] - ACPI: tables: Quiet ACPI table not found warning (Mark Langsdorf) [2067294] - ACPI/IORT: Check node revision for PMCG resources (Mark Langsdorf) [2067294] - PM: s2idle: ACPI: Fix wakeup interrupts handling (Mark Langsdorf) [2067294] - ACPI: PM: s2idle: Cancel wakeup before dispatching EC GPE (Mark Langsdorf) [2067294] - ACPI: PM: Revert "Only mark EC GPE for wakeup on Intel systems" (Mark Langsdorf) [2067294] - ACPI: require CRC32 to build (Mark Langsdorf) [2067294] - ACPI: PCC: pcc_ctx can be static (Mark Langsdorf) [2067294] - ACPI: scan: Rename label in acpi_scan_init() (Mark Langsdorf) [2067294] - ACPI: scan: Simplify initialization of power and sleep buttons (Mark Langsdorf) [2067294] - ACPI: scan: Change acpi_scan_init() return value type to void (Mark Langsdorf) [2067294] - ACPI: SPCR: check if table->serial_port.access_width is too wide (Mark Langsdorf) [2067294] - ACPI: APD: Check for NULL pointer after calling devm_ioremap() (Mark Langsdorf) [2067294] - ACPI: APD: Add a fmw property clk-name (Mark Langsdorf) [2067294] - drivers: acpi: acpi_apd: Remove unused device property "is-rv" (Mark Langsdorf) [2067294] - x86: clk: clk-fch: Add support for newer family of AMD's SOC (Mark Langsdorf) [2067294] - ACPI: PCC: Implement OperationRegion handler for the PCC Type 3 subtype (Mark Langsdorf) [2067294] - ACPI / x86: Skip AC and battery devices on x86 Android tablets with broken DSDTs (Mark Langsdorf) [2067294] - ACPI / x86: Introduce an acpi_quirk_skip_acpi_ac_and_battery() helper (Mark Langsdorf) [2067294] - ACPI: processor: thermal: avoid cpufreq_get_policy() (Mark Langsdorf) [2067294] - ACPI / x86: Add acpi_quirk_skip_[i2c_client|serdev]_enumeration() helpers (Mark Langsdorf) [2067294] - ACPI: scan: Create platform device for BCM4752 and LNV4752 ACPI nodes (Mark Langsdorf) [2067294] - ACPI: battery: Add the ThinkPad "Not Charging" quirk (Mark Langsdorf) [2067294] - acpi: Export acpi_bus_type (Mark Langsdorf) [2067294] - ACPI: sysfs: use default_groups in kobj_type (Mark Langsdorf) [2067294] - redhat/configs: enable ACPI_PFRUT (Mark Langsdorf) [2040058 2067294] - ACPI: pfr_telemetry: Fix info leak in pfrt_log_ioctl() (Mark Langsdorf) [2040058 2067294] - ACPI: pfr_update: Fix return value check in pfru_write() (Mark Langsdorf) [2040058 2067294] - ACPI: Introduce Platform Firmware Runtime Telemetry driver (Mark Langsdorf) [2040058 2067294] - ACPI: Introduce Platform Firmware Runtime Update device driver (Mark Langsdorf) [2040058 2067294] - efi: Introduce EFI_FIRMWARE_MANAGEMENT_CAPSULE_HEADER and corresponding structures (Mark Langsdorf) [2040058 2067294] - ACPICA: Update version to 20211217 (Mark Langsdorf) [2067294] - ACPICA: iASL/NHLT table: "Specific Data" field support (Mark Langsdorf) [2067294] - ACPICA: iASL: Add suppport for AGDI table (Mark Langsdorf) [2067294] - ACPICA: iASL: Add TDEL table to both compiler/disassembler (Mark Langsdorf) [2067294] - ACPICA: Fixed a couple of warnings under MSVC (Mark Langsdorf) [2067294] - ACPICA: Change a return_ACPI_STATUS (AE_BAD_PARAMETER) (Mark Langsdorf) [2067294] - ACPICA: Hardware: Do not flush CPU cache when entering S4 and S5 (Mark Langsdorf) [2067294] - ACPICA: Add support for PCC Opregion special context data (Mark Langsdorf) [2067294] - ACPICA: Fix wrong interpretation of PCC address (Mark Langsdorf) [2067294] - ACPICA: Executer: Fix the REFCLASS_REFOF case in acpi_ex_opcode_1A_0T_1R() (Mark Langsdorf) [2067294] - ACPICA: Utilities: Avoid deleting the same object twice in a row (Mark Langsdorf) [2067294] - ACPICA: Fix AEST Processor generic resource substructure data field byte length (Mark Langsdorf) [2067294] - ACPICA: iASL/Disassembler: Additional support for NHLT table (Mark Langsdorf) [2067294] - ACPICA: Avoid subobject buffer overflow when validating RSDP signature (Mark Langsdorf) [2067294] - ACPICA: Macros: Remove ACPI_PHYSADDR_TO_PTR (Mark Langsdorf) [2067294] - ACPICA: Use original pointer for virtual origin tables (Mark Langsdorf) [2067294] - ACPICA: Use original data_table_region pointer for accesses (Mark Langsdorf) [2067294] - ACPICA: actypes.h: Expand the ACPI_ACCESS_ definitions (Mark Langsdorf) [2067294] - ACPI: NFIT: Import GUID before use (Mark Langsdorf) [2067294] - ACPI: NUMA: Process hotpluggable memblocks when !CONFIG_MEMORY_HOTPLUG (Mark Langsdorf) [2067294] - ACPI: PM: Remove redundant cache flushing (Mark Langsdorf) [2067294] - ACPI: Use acpi_fetch_acpi_dev() instead of acpi_bus_get_device() (Mark Langsdorf) [2067294] - ACPI: tables: Add AEST to the list of known table signatures (Mark Langsdorf) [2067294] - ACPI: delay enumeration of devices with a _DEP pointing to an INT3472 device (Mark Langsdorf) [2067294] - ACPI: PM: Avoid CPU cache flush when entering S4 (Mark Langsdorf) [2067294] - PM: hibernate: Allow ACPI hardware signature to be honoured (Mark Langsdorf) [2067294] - ACPI: PMIC: xpower: Fix _TMP ACPI errors (Mark Langsdorf) [2067294] - ACPI: PMIC: allow drivers to provide a custom lpat_raw_to_temp() function (Mark Langsdorf) [2067294] - ACPI: PMIC: constify all struct intel_pmic_opregion_data declarations (Mark Langsdorf) [2067294] - ACPI: EC: Mark the ec_sys write_support param as module_param_hw() (Mark Langsdorf) [2067294] - ACPI: EC: Relocate acpi_ec_create_query() and drop acpi_ec_delete_query() (Mark Langsdorf) [2067294] - ACPI: EC: Make the event work state machine visible (Mark Langsdorf) [2067294] - ACPI: EC: Avoid queuing unnecessary work in acpi_ec_submit_event() (Mark Langsdorf) [2067294] - ACPI: EC: Rename three functions (Mark Langsdorf) [2067294] - ACPI: EC: Simplify locking in acpi_ec_event_handler() (Mark Langsdorf) [2067294] - ACPI: EC: Rearrange the loop in acpi_ec_event_handler() (Mark Langsdorf) [2067294] - ACPI: EC: Fold acpi_ec_check_event() into acpi_ec_event_handler() (Mark Langsdorf) [2067294] - ACPI: EC: Pass one argument to acpi_ec_query() (Mark Langsdorf) [2067294] - ACPI: EC: Call advance_transaction() from acpi_ec_dispatch_gpe() (Mark Langsdorf) [2067294] - ACPI: EC: Rework flushing of EC work while suspended to idle (Mark Langsdorf) [2067294] - ACPI / x86: Add PWM2 on the Xiaomi Mi Pad 2 to the always_present list (Mark Langsdorf) [2067294] - ACPI / x86: Add not-present quirk for the PCI0.SDHB.BRC1 device on the GPD win (Mark Langsdorf) [2067294] - ACPI / x86: Allow specifying acpi_device_override_status() quirks by path (Mark Langsdorf) [2067294] - ACPI: Change acpi_device_always_present() into acpi_device_override_status() (Mark Langsdorf) [2067294] - ACPI / x86: Drop PWM2 device on Lenovo Yoga Book from always present table (Mark Langsdorf) [2067294] - ACPI: processor idle: Use swap() instead of open coding it (Mark Langsdorf) [2067294] - ACPI: processor: Replace kernel.h with the necessary inclusions (Mark Langsdorf) [2067294] - ACPI: DPTF: Update device ID in a comment (Mark Langsdorf) [2067294] - ACPI: PM: Emit debug messages when enabling/disabling wakeup power (Mark Langsdorf) [2067294] - ACPI: thermal: drop an always true check (Mark Langsdorf) [2067294] - ACPI: Add a context argument for table parsing handlers (Mark Langsdorf) [2067294] - ACPI: Teach ACPI table parsing about the CEDT header format (Mark Langsdorf) [2067294] - ACPI: Keep sub-table parsing infrastructure available for modules (Mark Langsdorf) [2067294] - selftests/bpf: Prevent skeleton generation race (Yauheni Kaliuta) [2069045] - kernel.spec: disable vmlinux.h generation for s390 zfcpdump config (Yauheni Kaliuta) [2069045] - bpf: Fix possible race in inc_misses_counter (Yauheni Kaliuta) [2069045] - kbuild: Unify options for BTF generation for vmlinux and modules (Yauheni Kaliuta) [2069045] - tools/resolve_btfids: Switch to new btf__type_cnt API (Yauheni Kaliuta) [2069045] - bpf, x86: Fix "no previous prototype" warning (Yauheni Kaliuta) [2069045] - selftests/bpf: Add tests for restricted helpers (Yauheni Kaliuta) [2069045] - selftests/bpf: Check map in map pruning (Yauheni Kaliuta) [2069045] - bpf: selftest: Trigger a DCE on the whole subprog (Yauheni Kaliuta) [2069045] - libbpf: Deprecate bpf_objects_list (Yauheni Kaliuta) [2069045] - scripts: documentation-file-ref-check: fix bpf selftests path (Yauheni Kaliuta) [2069045] - libbpf: Add ability to fetch bpf_program's underlying instructions (Yauheni Kaliuta) [2069045] - selftests/bpf: Use cpu_number only on arches that have it (Yauheni Kaliuta) [2069045] - libbpf: Fix skel_internal.h to set errno on loader retval < 0 (Yauheni Kaliuta) [2069045] - bpf: Document BPF licensing. (Yauheni Kaliuta) [2069045] - libbpf: Fix gen_loader assumption on number of programs. (Yauheni Kaliuta) [2069045] - libbpf: Add "bool skipped" to struct bpf_map (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip all serial_test_get_branch_snapshot in vm (Yauheni Kaliuta) [2069045] - bpf: Fix a btf decl_tag bug when tagging a function (Yauheni Kaliuta) [2069045] - libbpf: Define BTF_KIND_* constants in btf.h to avoid compilation errors (Yauheni Kaliuta) [2069045] - libbpf: Use probe_name for legacy kprobe (Yauheni Kaliuta) [2069045] - selftests/bpf: Use "__se_" prefix on architectures without syscall wrapper (Yauheni Kaliuta) [2069045] - selftests/bpf: Extract syscall wrapper (Yauheni Kaliuta) [2069045] - tools/resolve_btfids: Skip unresolved symbol warning for empty BTF sets (Yauheni Kaliuta) [2069045] - libbpf: Perform map fd cleanup for gen_loader in case of error (Yauheni Kaliuta) [2069045] - libbpf: Fix non-C89 loop variable declaration in gen_loader.c (Yauheni Kaliuta) [2069045] - bpftool: Install libbpf headers for the bootstrap version, too (Yauheni Kaliuta) [2069045] - tools/runqslower: Fix cross-build (Yauheni Kaliuta) [2069045] - libbpf: Fix section counting logic (Yauheni Kaliuta) [2069045] - libbpf: Load global data maps lazily on legacy kernels (Yauheni Kaliuta) [2069045] - bpftool: Fix error check when calling hashmap__new() (Yauheni Kaliuta) [2069045] - libbpf: Remove deprecation attribute from struct bpf_prog_prep_result (Yauheni Kaliuta) [2069045] - bpftool: Fix print error when show bpf map (Yauheni Kaliuta) [2069045] - bpf: Add missing map_get_next_key method to bloom filter map. (Yauheni Kaliuta) [2069045] - bpf, selftests: Fix racing issue in btf_skc_cls_ingress test (Yauheni Kaliuta) [2069045] - selftest/bpf: Add a test that reads various addresses. (Yauheni Kaliuta) [2069045] - bpf: Fix extable address check. (Yauheni Kaliuta) [2069045] - bpf: Fix extable fixup offset. (Yauheni Kaliuta) [2069045] - bpf: Make 32->64 bounds propagation slightly more robust (Yauheni Kaliuta) [2069045] - bpf: Fix signed bounds propagation after mov32 (Yauheni Kaliuta) [2069045] - bpf, selftests: Update test case for atomic cmpxchg on r0 with pointer (Yauheni Kaliuta) [2069045] - bpf, selftests: Add test case for atomic fetch on spilled pointer (Yauheni Kaliuta) [2069045] - bpf: Fix kernel address leakage in atomic cmpxchg's r0 aux reg (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix OOB write in test_verifier (Yauheni Kaliuta) [2069045] - selftests/bpf: Tests for state pruning with u32 spill/fill (Yauheni Kaliuta) [2069045] - bpf: Fix incorrect state pruning for <8B spill/fill (Yauheni Kaliuta) [2069045] - bpf: Add selftests to cover packet access corner cases (Yauheni Kaliuta) [2069045] - bpf: Fix the off-by-two error in range markings (Yauheni Kaliuta) [2069045] - treewide: Add missing includes masked by cgroup -> bpf dependency (Yauheni Kaliuta) [2069045] - bpf: Fix bpf_check_mod_kfunc_call for built-in modules (Yauheni Kaliuta) [2069045] - redhat/configs: Add CONFIG_DEBUG_INFO_DWARF5 (Yauheni Kaliuta) [2069045] - bpf: Make CONFIG_DEBUG_INFO_BTF depend upon CONFIG_BPF_SYSCALL (Yauheni Kaliuta) [2069045] - Documentation/locking/locktypes: Update migrate_disable() bits. (Yauheni Kaliuta) [2069045] - bpf: Make sure bpf_disable_instrumentation() is safe vs preemption. (Yauheni Kaliuta) [2069045] - libbpf: Fix lookup_and_delete_elem_flags error reporting (Yauheni Kaliuta) [2069045] - selftests/bpf: Verifier test on refill from a smaller spill (Yauheni Kaliuta) [2069045] - bpf: Do not reject when the stack read size is different from the tracked scalar size (Yauheni Kaliuta) [2069045] - selftests/bpf: Make netcnt selftests serial to avoid spurious failures (Yauheni Kaliuta) [2069045] - selftests/bpf: Test RENAME_EXCHANGE and RENAME_NOREPLACE on bpffs (Yauheni Kaliuta) [2069045] - selftests/bpf: Convert test_bpffs to ASSERT macros (Yauheni Kaliuta) [2069045] - libfs: Support RENAME_EXCHANGE in simple_rename() (Yauheni Kaliuta) [2069045] - libfs: Move shmem_exchange to simple_rename_exchange (Yauheni Kaliuta) [2069045] - libbpf: Deprecate AF_XDP support (Yauheni Kaliuta) [2069045] - selftests/bpf: Add a testcase for 64-bit bounds propagation issue. (Yauheni Kaliuta) [2069045] - bpf: Fix propagation of signed bounds from 64-bit min/max into 32-bit. (Yauheni Kaliuta) [2069045] - bpf: Fix propagation of bounds from 64-bit min/max into 32-bit and var_off. (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix also no-alu32 strobemeta selftest (Yauheni Kaliuta) [2069045] - bpf: Add missing map_delete_elem method to bloom filter map (Yauheni Kaliuta) [2069045] - selftests/bpf: Add bloom map success test for userspace calls (Yauheni Kaliuta) [2069045] - bpf: Add alignment padding for "map_extra" + consolidate holes (Yauheni Kaliuta) [2069045] - bpf: Bloom filter map naming fixups (Yauheni Kaliuta) [2069045] - selftests/bpf: Add test cases for struct_ops prog (Yauheni Kaliuta) [2069045] - bpf: Add dummy BPF STRUCT_OPS for test purpose (Yauheni Kaliuta) [2069045] - bpf: Factor out helpers for ctx access checking (Yauheni Kaliuta) [2069045] - bpf: Factor out a helper to prepare trampoline for struct_ops prog (Yauheni Kaliuta) [2069045] - cgroup: bpf: Move wrapper for __cgroup_bpf_*() to kernel/bpf/cgroup.c (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix strobemeta selftest regression (Yauheni Kaliuta) [2069045] - bpf: Disallow unprivileged bpf by default (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix fclose/pclose mismatch in test_progs (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix memory leak in test_ima (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix fd cleanup in sk_lookup test (Yauheni Kaliuta) [2069045] - selftests/bpf: Add weak/typeless ksym test for light skeleton (Yauheni Kaliuta) [2069045] - libbpf: Use O_CLOEXEC uniformly when opening fds (Yauheni Kaliuta) [2069045] - libbpf: Ensure that BPF syscall fds are never 0, 1, or 2 (Yauheni Kaliuta) [2069045] - libbpf: Add weak ksym support to gen_loader (Yauheni Kaliuta) [2069045] - libbpf: Add typeless ksym support to gen_loader (Yauheni Kaliuta) [2069045] - bpf: Add bpf_kallsyms_lookup_name helper (Yauheni Kaliuta) [2069045] - bpf/benchs: Add benchmarks for comparing hashmap lookups w/ vs. w/out bloom filter (Yauheni Kaliuta) [2069045] - bpf/benchs: Add benchmark tests for bloom filter throughput + false positive (Yauheni Kaliuta) [2069045] - selftests/bpf: Add bloom filter map test cases (Yauheni Kaliuta) [2069045] - libbpf: Add "map_extra" as a per-map-type extra flag (Yauheni Kaliuta) [2069045] - bpf: Add bloom filter map implementation (Yauheni Kaliuta) [2069045] - bpf, tests: Add module parameter test_suite to test_bpf module (Yauheni Kaliuta) [2069045] - selftests/bpf: Adding a namespace reset for tc_redirect (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix attach_probe in parallel mode (Yauheni Kaliuta) [2069045] - selfetests/bpf: Update vmtest.sh defaults (Yauheni Kaliuta) [2069045] - bpf: Use u64_stats_t in struct bpf_prog_stats (Yauheni Kaliuta) [2069045] - bpf: Fixes possible race in update_prog_stats() for 32bit arches (Yauheni Kaliuta) [2069045] - bpf: Avoid races in __bpf_prog_run() for 32bit arches (Yauheni Kaliuta) [2069045] - s390: introduce nospec_uses_trampoline() (Yauheni Kaliuta) [2069045] - selftests/bpf: Guess function end for test_get_branch_snapshot (Yauheni Kaliuta) [2069045] - selftests/bpf: Use __BYTE_ORDER__ (Yauheni Kaliuta) [2069045] - libbpf: Use __BYTE_ORDER__ (Yauheni Kaliuta) [2069045] - libbpf: Fix endianness detection in BPF_CORE_READ_BITFIELD_PROBED() (Yauheni Kaliuta) [2069045] - libbpf: Deprecate ambiguously-named bpf_program__size() API (Yauheni Kaliuta) [2069045] - libbpf: Deprecate multi-instance bpf_program APIs (Yauheni Kaliuta) [2069045] - bpftool: Switch to libbpf's hashmap for PIDs/names references (Yauheni Kaliuta) [2069045] - bpftool: Switch to libbpf's hashmap for programs/maps in BTF listing (Yauheni Kaliuta) [2069045] - bpftool: Switch to libbpf's hashmap for pinned paths of BPF objects (Yauheni Kaliuta) [2069045] - bpftool: Do not expose and init hash maps for pinned path in main.c (Yauheni Kaliuta) [2069045] - bpftool: Remove Makefile dep. on $(LIBBPF) for $(LIBBPF_INTERNAL_HDRS) (Yauheni Kaliuta) [2069045] - selftests/bpf: Split out bpf_verif_scale selftests into multiple tests (Yauheni Kaliuta) [2069045] - selftests/bpf: Mark tc_redirect selftest as serial (Yauheni Kaliuta) [2069045] - bpf/selftests: remove .c suffix from prog_tests/rhskip (Yauheni Kaliuta) [2069045] - selftests/bpf: Support multiple tests per file (Yauheni Kaliuta) [2069045] - selftests/bpf: Normalize selftest entry points (Yauheni Kaliuta) [2069045] - libbpf: Fix BTF header parsing checks (Yauheni Kaliuta) [2069045] - libbpf: Fix overflow in BTF sanity checks (Yauheni Kaliuta) [2069045] - docs/bpf: Update documentation for BTF_KIND_DECL_TAG typedef support (Yauheni Kaliuta) [2069045] - selftests/bpf: Add BTF_KIND_DECL_TAG typedef example in tag.c (Yauheni Kaliuta) [2069045] - selftests/bpf: Test deduplication for BTF_KIND_DECL_TAG typedef (Yauheni Kaliuta) [2069045] - selftests/bpf: Add BTF_KIND_DECL_TAG typedef unit tests (Yauheni Kaliuta) [2069045] - bpf: Add BTF_KIND_DECL_TAG typedef support (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix flow dissector tests (Yauheni Kaliuta) [2069045] - libbpf: Use func name when pinning programs with LIBBPF_STRICT_SEC_NAME (Yauheni Kaliuta) [2069045] - bpftool: Avoid leaking the JSON writer prepared for program metadata (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch to new btf__type_cnt/btf__raw_data APIs (Yauheni Kaliuta) [2069045] - bpftool: Switch to new btf__type_cnt API (Yauheni Kaliuta) [2069045] - libbpf: Add btf__type_cnt() and btf__raw_data() APIs (Yauheni Kaliuta) [2069045] - libbpf: Deprecate btf__finalize_data() and move it into libbpf.c (Yauheni Kaliuta) [2069045] - libbpf: Fix memory leak in btf__dedup() (Yauheni Kaliuta) [2069045] - selftests/bpf: Make perf_buffer selftests work on 4.9 kernel again (Yauheni Kaliuta) [2069045] - libbpf: Fix the use of aligned attribute (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch to ".bss"/".rodata"/".data" lookups for internal maps (Yauheni Kaliuta) [2069045] - libbpf: Simplify look up by name of internal maps (Yauheni Kaliuta) [2069045] - selftests/bpf: Demonstrate use of custom .rodata/.data sections (Yauheni Kaliuta) [2069045] - libbpf: Support multiple .rodata.* and .data.* BPF maps (Yauheni Kaliuta) [2069045] - bpftool: Improve skeleton generation for data maps without DATASEC type (Yauheni Kaliuta) [2069045] - bpftool: Support multiple .rodata/.data internal maps in skeleton (Yauheni Kaliuta) [2069045] - libbpf: Remove assumptions about uniqueness of .rodata/.data/.bss maps (Yauheni Kaliuta) [2069045] - libbpf: Use Elf64-specific types explicitly for dealing with ELF (Yauheni Kaliuta) [2069045] - libbpf: Extract ELF processing state into separate struct (Yauheni Kaliuta) [2069045] - selftests/bpf: Add verif_stats test (Yauheni Kaliuta) [2069045] - bpf: Add verified_insns to bpf_prog_info and fdinfo (Yauheni Kaliuta) [2069045] - libbpf: Fix ptr_is_aligned() usages (Yauheni Kaliuta) [2069045] - selftests/bpf: Test bpf_skc_to_unix_sock() helper (Yauheni Kaliuta) [2069045] - bpf: Add bpf_skc_to_unix_sock() helper (Yauheni Kaliuta) [2069045] - samples: bpf: Suppress readelf stderr when probing for BTF support (Yauheni Kaliuta) [2069045] - net: bpf: Switch over to memdup_user() (Yauheni Kaliuta) [2069045] - selftests/bpf: Some more atomic tests (Yauheni Kaliuta) [2069045] - libbpf: Fix dumping non-aligned __int128 (Yauheni Kaliuta) [2069045] - libbpf: Fix dumping big-endian bitfields (Yauheni Kaliuta) [2069045] - bpftool: Remove useless #include to <perf-sys.h> from map_perf_ring.c (Yauheni Kaliuta) [2069045] - selftests/bpf: Remove duplicated include in cgroup_helpers (Yauheni Kaliuta) [2069045] - bpf/preload: Clean up .gitignore and "clean-files" target (Yauheni Kaliuta) [2069045] - libbpf: Migrate internal use of bpf_program__get_prog_info_linear (Yauheni Kaliuta) [2069045] - bpf: Silence Coverity warning for find_kfunc_desc_btf (Yauheni Kaliuta) [2069045] - bpftool: Turn check on zlib from a phony target into a conditional error (Yauheni Kaliuta) [2069045] - bpftool: Do not FORCE-build libbpf (Yauheni Kaliuta) [2069045] - bpftool: Fix install for libbpf's internal header(s) (Yauheni Kaliuta) [2069045] - libbpf: Remove Makefile warnings on out-of-sync netlink.h/if_link.h (Yauheni Kaliuta) [2069045] - bpf: Rename BTF_KIND_TAG to BTF_KIND_DECL_TAG (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip verifier tests that fail to load with ENOTSUPP (Yauheni Kaliuta) [2069045] - selfetest/bpf: Make some tests serial (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix pid check in fexit_sleep test (Yauheni Kaliuta) [2069045] - selftests/bpf: Adding pid filtering for atomics test (Yauheni Kaliuta) [2069045] - selftests/bpf: Make cgroup_v1v2 use its own port (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix race condition in enable_stats (Yauheni Kaliuta) [2069045] - selftests/bpf: Add per worker cgroup suffix (Yauheni Kaliuta) [2069045] - selftests/bpf: Allow some tests to be executed in sequence (Yauheni Kaliuta) [2069045] - selftests/bpf: Add parallelism to test_progs (Yauheni Kaliuta) [2069045] - bpf/selftests: Add test for writable bare tracepoint (Yauheni Kaliuta) [2069045] - libbpf: Support detecting and attaching of writable tracepoint program (Yauheni Kaliuta) [2069045] - bpf: Support writable context for bare tracepoint (Yauheni Kaliuta) [2069045] - bpftool: Add install-bin target to install binary only (Yauheni Kaliuta) [2069045] - selftests/bpf: Better clean up for runqslower in test_bpftool_build.sh (Yauheni Kaliuta) [2069045] - samples/bpf: Do not FORCE-recompile libbpf (Yauheni Kaliuta) [2069045] - samples/bpf: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - samples/bpf: Update .gitignore (Yauheni Kaliuta) [2069045] - bpf: iterators: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - bpf: preload: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - tools/runqslower: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - tools/resolve_btfids: Install libbpf headers when building (Yauheni Kaliuta) [2069045] - bpftool: Install libbpf headers instead of including the dir (Yauheni Kaliuta) [2069045] - bpftool: Remove unused includes to <bpf/bpf_gen_internal.h> (Yauheni Kaliuta) [2069045] - libbpf: Skip re-installing headers file if source is older than target (Yauheni Kaliuta) [2069045] - selftests/bpf: Remove SEC("version") from test progs (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip the second half of get_branch_snapshot in vm (Yauheni Kaliuta) [2069045] - bpf, tests: Add more LD_IMM64 tests (Yauheni Kaliuta) [2069045] - bpf, x64: Factor out emission of REX byte in more cases (Yauheni Kaliuta) [2069045] - libbpf: Deprecate bpf_object__unload() API since v0.6 (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch to new bpf_object__next_{map,program} APIs (Yauheni Kaliuta) [2069045] - libbpf: Deprecate bpf_{map,program}__{prev,next} APIs since v0.7 (Yauheni Kaliuta) [2069045] - libbpf: Add API documentation convention guidelines (Yauheni Kaliuta) [2069045] - bpf: Use $(pound) instead of \# in Makefiles (Yauheni Kaliuta) [2069045] - selftests/bpf: Test new btf__add_btf() API (Yauheni Kaliuta) [2069045] - selftests/bpf: Refactor btf_write selftest to reuse BTF generation logic (Yauheni Kaliuta) [2069045] - libbpf: Add API that copies all BTF types from one BTF object to another (Yauheni Kaliuta) [2069045] - bpf, x64: Save bytes for DIV by reducing reg copies (Yauheni Kaliuta) [2069045] - bpf: Avoid retpoline for bpf_for_each_map_elem (Yauheni Kaliuta) [2069045] - bpf: selftests: Add selftests for module kfunc support (Yauheni Kaliuta) [2069045] - libbpf: Update gen_loader to emit BTF_KIND_FUNC relocations (Yauheni Kaliuta) [2069045] - libbpf: Resolve invalid weak kfunc calls with imm = 0, off = 0 (Yauheni Kaliuta) [2069045] - libbpf: Support kernel module function calls (Yauheni Kaliuta) [2069045] - bpf: Enable TCP congestion control kfunc from modules (Yauheni Kaliuta) [2069045] - tools: Allow specifying base BTF file in resolve_btfids (Yauheni Kaliuta) [2069045] - bpf: btf: Introduce helpers for dynamic BTF set registration (Yauheni Kaliuta) [2069045] - bpf: Be conservative while processing invalid kfunc calls (Yauheni Kaliuta) [2069045] - bpf: Introduce BPF support for kernel module function calls (Yauheni Kaliuta) [2069045] - selftests/bpf: Use BTF-defined key/value for map definitions (Yauheni Kaliuta) [2069045] - libbpf: Support uniform BTF-defined key/value specification across all BPF maps (Yauheni Kaliuta) [2069045] - bpf/tests: Add test of LDX_MEM with operand aliasing (Yauheni Kaliuta) [2069045] - bpf/tests: Add test of ALU shifts with operand register aliasing (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of BPF_ATOMIC register combinations (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of ALU register combinations (Yauheni Kaliuta) [2069045] - bpf/tests: Minor restructuring of ALU tests (Yauheni Kaliuta) [2069045] - bpf/tests: Add more tests for ALU and ATOMIC register clobbering (Yauheni Kaliuta) [2069045] - bpf/tests: Add tests to check source register zero-extension (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of BPF_ATOMIC magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add zero-extension checks in BPF_ATOMIC tests (Yauheni Kaliuta) [2069045] - bpf/tests: Add tests of BPF_LDX and BPF_STX with small sizes (Yauheni Kaliuta) [2069045] - bpf, xdp, docs: Correct some English grammar and spelling (Yauheni Kaliuta) [2069045] - selftests/bpf: Use kselftest skip code for skipped tests (Yauheni Kaliuta) [2069045] - bpf: Do not invoke the XDP dispatcher for PROG_RUN with single repeat (Yauheni Kaliuta) [2069045] - libbpf: Make gen_loader data aligned. (Yauheni Kaliuta) [2069045] - bpf: selftests: Fix fd cleanup in get_branch_snapshot (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix probe_user test failure with clang build kernel (Yauheni Kaliuta) [2069045] - bpf: Replace callers of BPF_CAST_CALL with proper function typedef (Yauheni Kaliuta) [2069045] - bpf: Replace "want address" users of BPF_CAST_CALL with BPF_CALL_IMM (Yauheni Kaliuta) [2069045] - bpf/tests: Add tail call limit test with external function call (Yauheni Kaliuta) [2069045] - bpftool: Avoid using "?: " in generated code (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch sk_lookup selftests to strict SEC("sk_lookup") use (Yauheni Kaliuta) [2069045] - libbpf: Add opt-in strict BPF program section name handling logic (Yauheni Kaliuta) [2069045] - libbpf: Complete SEC() table unification for BPF_APROG_SEC/BPF_EAPROG_SEC (Yauheni Kaliuta) [2069045] - libbpf: Refactor ELF section handler definitions (Yauheni Kaliuta) [2069045] - libbpf: Reduce reliance of attach_fns on sec_def internals (Yauheni Kaliuta) [2069045] - libbpf: Refactor internal sec_def handling to enable pluggability (Yauheni Kaliuta) [2069045] - selftests/bpf: Normalize all the rest SEC() uses (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch SEC("classifier*") usage to a strict SEC("tc") (Yauheni Kaliuta) [2069045] - selftests/bpf: Normalize XDP section names in selftests (Yauheni Kaliuta) [2069045] - libbpf: Add "tc" SEC_DEF which is a better name for "classifier" (Yauheni Kaliuta) [2069045] - bpf/tests: Add more BPF_END byte order conversion tests (Yauheni Kaliuta) [2069045] - bpf/tests: Expand branch conversion JIT test (Yauheni Kaliuta) [2069045] - bpf/tests: Add JMP tests with degenerate conditional (Yauheni Kaliuta) [2069045] - bpf/tests: Add JMP tests with small offsets (Yauheni Kaliuta) [2069045] - bpf/tests: Add test case flag for verifier zero-extension (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive test of LD_IMM64 immediate magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add staggered JMP and JMP32 tests (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of JMP operand magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of ALU operand magnitudes (Yauheni Kaliuta) [2069045] - bpf/tests: Add exhaustive tests of ALU shift values (Yauheni Kaliuta) [2069045] - bpf/tests: Reduce memory footprint of test suite (Yauheni Kaliuta) [2069045] - bpf/tests: Allow different number of runs per test case (Yauheni Kaliuta) [2069045] - bpf: selftest: Add verifier tests for <8-byte scalar spill and refill (Yauheni Kaliuta) [2069045] - bpf: selftest: A bpf prog that has a 32bit scalar spill (Yauheni Kaliuta) [2069045] - bpf: Support <8-byte scalar spill and refill (Yauheni Kaliuta) [2069045] - bpf: Check the other end of slot_type for STACK_SPILL (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix btf_dump __int128 test failure with clang build kernel (Yauheni Kaliuta) [2069045] - libbpf: Add legacy uprobe attaching support (Yauheni Kaliuta) [2069045] - libbpf: Refactor and simplify legacy kprobe code (Yauheni Kaliuta) [2069045] - selftests/bpf: Adopt attach_probe selftest to work on old kernels (Yauheni Kaliuta) [2069045] - libbpf: Fix memory leak in legacy kprobe attach logic (Yauheni Kaliuta) [2069045] - samples: bpf: Convert ARP table network order fields into readable format (Yauheni Kaliuta) [2069045] - samples: bpf: Convert route table network order fields into readable format (Yauheni Kaliuta) [2069045] - libbpf: Add doc comments in libbpf.h (Yauheni Kaliuta) [2069045] - bpf: Clarify data_len param in bpf_snprintf and bpf_seq_printf comments (Yauheni Kaliuta) [2069045] - selftests/bpf: Add trace_vprintk test prog (Yauheni Kaliuta) [2069045] - selftests/bpf: Migrate prog_tests/trace_printk CHECKs to ASSERTs (Yauheni Kaliuta) [2069045] - bpftool: Only probe trace_vprintk feature in 'full' mode (Yauheni Kaliuta) [2069045] - libbpf: Use static const fmt string in __bpf_printk (Yauheni Kaliuta) [2069045] - libbpf: Modify bpf_printk to choose helper based on arg count (Yauheni Kaliuta) [2069045] - bpf: Add bpf_trace_vprintk helper (Yauheni Kaliuta) [2069045] - selftests/bpf: Stop using bpf_program__load (Yauheni Kaliuta) [2069045] - bpf: Merge printk and seq_printf VARARG max macros (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix a few compiler warnings (Yauheni Kaliuta) [2069045] - libbpf: Constify all high-level program attach APIs (Yauheni Kaliuta) [2069045] - libbpf: Schedule open_opts.attach_prog_fd deprecation since v0.7 (Yauheni Kaliuta) [2069045] - selftests/bpf: Switch fexit_bpf2bpf selftest to set_attach_target() API (Yauheni Kaliuta) [2069045] - libbpf: Allow skipping attach_func_name in bpf_program__set_attach_target() (Yauheni Kaliuta) [2069045] - libbpf: Deprecated bpf_object_open_opts.relaxed_core_relocs (Yauheni Kaliuta) [2069045] - selftests/bpf: Stop using relaxed_core_relocs which has no effect (Yauheni Kaliuta) [2069045] - libbpf: Use pre-setup sec_def in libbpf_find_attach_btf_id() (Yauheni Kaliuta) [2069045] - bpf: Update bpf_get_smp_processor_id() documentation (Yauheni Kaliuta) [2069045] - libbpf: Add sphinx code documentation comments (Yauheni Kaliuta) [2069045] - selftests/bpf: Skip btf_tag test if btf_tag attribute not supported (Yauheni Kaliuta) [2069045] - docs/bpf: Add documentation for BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - selftests/bpf: Add a test with a bpf program with btf_tag attributes (Yauheni Kaliuta) [2069045] - selftests/bpf: Test BTF_KIND_TAG for deduplication (Yauheni Kaliuta) [2069045] - selftests/bpf: Add BTF_KIND_TAG unit tests (Yauheni Kaliuta) [2069045] - selftests/bpf: Change NAME_NTH/IS_NAME_NTH for BTF_KIND_TAG format (Yauheni Kaliuta) [2069045] - selftests/bpf: Test libbpf API function btf__add_tag() (Yauheni Kaliuta) [2069045] - bpftool: Add support for BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - libbpf: Add support for BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - libbpf: Rename btf_{hash,equal}_int to btf_{hash,equal}_int_tag (Yauheni Kaliuta) [2069045] - bpf: Support for new btf kind BTF_KIND_TAG (Yauheni Kaliuta) [2069045] - btf: Change BTF_KIND_* macros to enums (Yauheni Kaliuta) [2069045] - selftests/bpf: Fix .gitignore to not ignore test_progs.c (Yauheni Kaliuta) [2069045] - bpf,x64 Emit IMUL instead of MUL for x86-64 (Yauheni Kaliuta) [2069045] - libbpf: Minimize explicit iterator of section definition array (Yauheni Kaliuta) [2069045] - libbpf: Simplify BPF program auto-attach code (Yauheni Kaliuta) [2069045] - libbpf: Ensure BPF prog types are set before relocations (Yauheni Kaliuta) [2069045] - selftests/bpf: Update selftests to always provide "struct_ops" SEC (Yauheni Kaliuta) [2069045] - libbpf: Introduce legacy kprobe events support (Yauheni Kaliuta) [2069045] - bpf, selftests: Replicate tailcall limit test for indirect call case (Yauheni Kaliuta) [2069045] - selftests/bpf: Add test for bpf_get_branch_snapshot (Yauheni Kaliuta) [2069045] - bpf: Introduce helper bpf_get_branch_snapshot (Yauheni Kaliuta) [2069045] - selftests/bpf: Test new __sk_buff field hwtstamp (Yauheni Kaliuta) [2069045] - bpf: Permit ingress_ifindex in bpf_prog_test_run_xattr (Yauheni Kaliuta) [2069045] - bpf: Add hardware timestamp field to __sk_buff (Yauheni Kaliuta) [2069045] - libbpf: Make libbpf_version.h non-auto-generated (Yauheni Kaliuta) [2069045] - libbpf: Add LIBBPF_DEPRECATED_SINCE macro for scheduling API deprecations (Yauheni Kaliuta) [2069045] - libbpf: Fix build with latest gcc/binutils with LTO (Yauheni Kaliuta) [2069045] - selftests/bpf: Add checks for X__elf_bytes() skeleton helper (Yauheni Kaliuta) [2069045] - bpftool: Provide a helper method for accessing skeleton's embedded ELF data (Yauheni Kaliuta) [2069045] - libbpf: Change bpf_object_skeleton data field to const pointer (Yauheni Kaliuta) [2069045] - libbpf: Don't crash on object files with no symbol tables (Yauheni Kaliuta) [2069045] - bpf, selftests: Fix spelling mistake "tained" -> "tainted" (Yauheni Kaliuta) [2069045] - bpf, selftests: Add test case trying to taint map value pointer (Yauheni Kaliuta) [2069045] Resolves: rhbz#2087259, rhbz#2092430, rhbz#2094245, rhbz#2094302, rhbz#2078696, rhbz#2067294, rhbz#2040058, rhbz#2069045 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-16 10:09:08 +00:00
CONFIG_ACPI_PFRUT=m
CONFIG_ACPI_PLATFORM_PROFILE=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
CONFIG_ACPI_PRMT=y
CONFIG_ACPI_PROCESSOR=y
CONFIG_ACPI_SPCR_TABLE=y
CONFIG_ACPI_TABLE_UPGRADE=y
CONFIG_ACPI_THERMAL=y
kernel-5.14.0-186.el9 * Thu Nov 03 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-186.el9] - perf/arm-cmn: Decode CAL devices properly in debugfs (Jeremy Linton) [2118804] - perf/arm-cmn: Fix filter_sel lookup (Jeremy Linton) [2118804] - perf/arm-cmn: Add CMN-700 support (Jeremy Linton) [2118804] - perf/arm-cmn: Refactor occupancy filter selector (Jeremy Linton) [2118804] - perf/arm-cmn: Add CMN-650 support (Jeremy Linton) [2118804] - dt-bindings: perf: arm-cmn: Add CMN-650 and CMN-700 (Jeremy Linton) [2118804] - perf/arm-cmn: Update watchpoint format (Jeremy Linton) [2118804] - perf/arm-cmn: Hide XP PUB events for CMN-600 (Jeremy Linton) [2118804] - perf/arm-cmn: Make arm_cmn_debugfs static (Jeremy Linton) [2118804] - perf/arm-cmn: Add debugfs topology info (Jeremy Linton) [2118804] - perf/arm-cmn: Add CI-700 Support (Jeremy Linton) [2118804] - dt-bindings: perf: arm-cmn: Add CI-700 (Jeremy Linton) [2118804] - perf/arm-cmn: Support new IP features (Jeremy Linton) [2118804] - perf/arm-cmn: Demarcate CMN-600 specifics (Jeremy Linton) [2118804] - perf/arm-cmn: Move group validation data off-stack (Jeremy Linton) [2118804] - perf/arm-cmn: Optimise DTC counter accesses (Jeremy Linton) [2118804] - perf/arm-cmn: Optimise DTM counter reads (Jeremy Linton) [2118804] - perf/arm-cmn: Refactor DTM handling (Jeremy Linton) [2118804] - perf/arm-cmn: Streamline node iteration (Jeremy Linton) [2118804] - perf/arm-cmn: Refactor node ID handling (Jeremy Linton) [2118804] - perf/arm-cmn: Drop compile-test restriction (Jeremy Linton) [2118804] - perf/arm-cmn: Account for NUMA affinity (Jeremy Linton) [2118804] - perf/arm-cmn: Fix CPU hotplug unregistration (Jeremy Linton) [2118804] - selftests: cgroup: add a selftest for memory.reclaim (Waiman Long) [1880574] - selftests: cgroup: fix alloc_anon_noexit() instantly freeing memory (Waiman Long) [1880574] - selftests: cgroup: return -errno from cg_read()/cg_write() on failure (Waiman Long) [1880574] - selftests: memcg: test high limit for single entry allocation (Waiman Long) [1880574] - memcg: introduce per-memcg reclaim interface (Waiman Long) [1880574] - spec: fix path to `installing_core` stamp file for subpackages (Jonathan Lebon) [2134215] - redhat/configs: enable RTC_DRV_RV8803 for x86_64 (David Arcari) [2136121] - rtc: rv8803: fix missing unlock on error in rv8803_set_time() (David Arcari) [2136121] - rtc: rv8803: re-initialize all Epson RX8803 registers on voltage loss (David Arcari) [2136121] - rtc: rv8803: initialize registers on post-probe voltage loss (David Arcari) [2136121] - rtc: rv8803: factor out existing register initialization to function (David Arcari) [2136121] - rtc: rv8803: Add support for the Epson RX8804 RTC (David Arcari) [2136121] - rtc: rv8803: fix writing back ctrl in flag register (David Arcari) [2136121] - mm/rmap: Fix anon_vma->degree ambiguity leading to double-reuse (Waiman Long) [2137587] {CVE-2022-42703} - mm/rmap: Fix typos in comments (Waiman Long) [2137587] {CVE-2022-42703} - blk-mq: don't add non-pt request with ->end_io to batch (Ming Lei) [2131144] - blktrace: remove unnessary stop block trace in 'blk_trace_shutdown' (Ming Lei) [2131144] - blktrace: fix possible memleak in '__blk_trace_remove' (Ming Lei) [2131144] - blktrace: introduce 'blk_trace_{start,stop}' helper (Ming Lei) [2131144] - bio: safeguard REQ_ALLOC_CACHE bio put (Ming Lei) [2131144] - block, bfq: remove unused variable for bfq_queue (Ming Lei) [2131144] - drbd: only clone bio if we have a backing device (Ming Lei) [2131144] - blk-mq: fix null pointer dereference in blk_mq_clear_rq_mapping() (Ming Lei) [2131144] - block: fix leaking minors of hidden disks (Ming Lei) [2131144] - block: avoid sign extend problem with default queue flags mask (Ming Lei) [2131144] - blk-wbt: fix that 'rwb->wc' is always set to 1 in wbt_init() (Ming Lei) [2131144] - block: Remove the repeat word 'can' (Ming Lei) [2131144] - sbitmap: fix lockup while swapping (Ming Lei) [2131144] - block: add rationale for not using blk_mq_plug() when applicable (Ming Lei) [2131144] - block: adapt blk_mq_plug() to not plug for writes that require a zone lock (Ming Lei) [2131144] - blk-cgroup: don't update the blkg lookup hint in blkg_conf_prep (Ming Lei) [2131144] - blk-mq: use quiesced elevator switch when reinitializing queues (Ming Lei) [2131144] - block: replace blk_queue_nowait with bdev_nowait (Ming Lei) [2131144] - blk-cgroup: pass a gendisk to the blkg allocation helpers (Ming Lei) [2131144] - blk-cgroup: pass a gendisk to blkcg_schedule_throttle (Ming Lei) [2131144] - blk-cgroup: pass a gendisk to blkg_destroy_all (Ming Lei) [2131144] - blk-throttle: pass a gendisk to blk_throtl_cancel_bios (Ming Lei) [2131144] - blk-throttle: pass a gendisk to blk_throtl_register_queue (Ming Lei) [2131144] - blk-throttle: pass a gendisk to blk_throtl_init and blk_throtl_exit (Ming Lei) [2131144] - blk-iocost: cleanup ioc_qos_write (Ming Lei) [2131144] - blk-iocost: pass a gendisk to blk_iocost_init (Ming Lei) [2131144] - blk-iocost: simplify ioc_name (Ming Lei) [2131144] - blk-iolatency: pass a gendisk to blk_iolatency_init (Ming Lei) [2131144] - blk-ioprio: pass a gendisk to blk_ioprio_init and blk_ioprio_exit (Ming Lei) [2131144] - blk-cgroup: pass a gendisk to blkcg_init_queue and blkcg_exit_queue (Ming Lei) [2131144] - blk-cgroup: remove blkg_lookup_check (Ming Lei) [2131144] - blk-cgroup: cleanup the blkg_lookup family of functions (Ming Lei) [2131144] - blk-cgroup: remove open coded blkg_lookup instances (Ming Lei) [2131144] - blk-cgroup: remove blk_queue_root_blkg (Ming Lei) [2131144] - blk-cgroup: fix error unwinding in blkcg_init_queue (Ming Lei) [2131144] - blk-mq: don't redirect completion for hctx withs only one ctx mapping (Ming Lei) [2131144] - blk-throttle: improve bypassing bios checkings (Ming Lei) [2131144] - blk-throttle: remove THROTL_TG_HAS_IOPS_LIMIT (Ming Lei) [2131144] - block/blk-rq-qos: delete useless enmu RQ_QOS_IOPRIO (Ming Lei) [2131144] - block: aoe: use DEFINE_SHOW_ATTRIBUTE to simplify aoe_debugfs (Ming Lei) [2131144] - block: move from strlcpy with unused retval to strscpy (Ming Lei) [2131144] - block/drbd: remove useless comments in receive_DataReply() (Ming Lei) [2131144] - drbd: remove orphan _req_may_be_done() declaration (Ming Lei) [2131144] - blk-wbt: call rq_qos_add() after wb_normal is initialized (Ming Lei) [2131144] - rnbd-srv: remove struct rnbd_dev (Ming Lei) [2131144] - rnbd-srv: remove rnbd_dev_{open,close} (Ming Lei) [2131144] - rnbd-srv: remove rnbd_endio (Ming Lei) [2131144] - rnbd-srv: simplify rnbd_srv_fill_msg_open_rsp (Ming Lei) [2131144] - block: Fix the enum blk_eh_timer_return documentation (Ming Lei) [2131144] - blk-iocost: Remove unnecessary (void*) conversions (Ming Lei) [2131144] - block: remove PSI accounting from the bio layer (Ming Lei) [2131144] - sched/psi: export psi_memstall_{enter,leave} (Ming Lei) [2131144] - mm: add PSI accounting around ->read_folio and ->readahead calls (Ming Lei) [2131144] - block: fix comment typo in submit_bio of block-core.c. (Ming Lei) [2131144] - block/drbd: remove unused w_start_resync declaration (Ming Lei) [2131144] - blk-throttle: cleanup tg_update_disptime() (Ming Lei) [2131144] - blk-throttle: calling throtl_dequeue/enqueue_tg in pairs (Ming Lei) [2131144] - blk-throttle: use 'READ/WRITE' instead of '0/1' (Ming Lei) [2131144] - blk-throttle: fix io hung due to configuration updates (Ming Lei) [2131144] - blk-throttle: factor out code to calculate ios/bytes_allowed (Ming Lei) [2131144] - blk-throttle: prevent overflow while calculating wait time (Ming Lei) [2131144] - blk-throttle: fix that io throttle can only work for single bio (Ming Lei) [2131144] - sbitmap: fix batched wait_cnt accounting (Ming Lei) [2131144] - sbitmap: Use atomic_long_try_cmpxchg in __sbitmap_queue_get_batch (Ming Lei) [2131144] - nbd: Fix hung when signal interrupts nbd_start_device_ioctl() (Ming Lei) [2131144] - sbitmap: Avoid leaving waitqueue in invalid state in __sbq_wake_up() (Ming Lei) [2131144] - rnbd-srv: remove redundant setting of blk_open_flags (Ming Lei) [2131144] - rnbd-srv: make process_msg_close returns void (Ming Lei) [2131144] - rnbd-srv: add comment in rnbd_srv_rdma_ev (Ming Lei) [2131144] - block: remove unneeded return value of bio_check_ro() (Ming Lei) [2131144] - blk-mq: remove unneeded needs_restart check (Ming Lei) [2131144] - blk-throttle: clean up codes that can't be reached (Ming Lei) [2131144] - Revert "sbitmap: fix batched wait_cnt accounting" (Ming Lei) [2131144] - block: enable per-cpu bio caching for the fs bio set (Ming Lei) [2131144] - sbitmap: fix batched wait_cnt accounting (Ming Lei) [2131144] - sbitmap: remove unnecessary code in __sbitmap_queue_get_batch (Ming Lei) [2131144] - block/rnbd-clt: Remove the unneeded result variable (Ming Lei) [2131144] - sbitmap: fix possible io hung due to lost wakeup (Ming Lei) [2131144] - block: shrink rq_map_data a bit (Ming Lei) [2131144] - block, bfq: remove useless parameter for bfq_add/del_bfqq_busy() (Ming Lei) [2131144] - block, bfq: remove useless checking in bfq_put_queue() (Ming Lei) [2131144] - block, bfq: remove unused functions (Ming Lei) [2131144] - block: Change the return type of blk_mq_map_queues() into void (Ming Lei) [2131144] - null_blk: Modify the behavior of null_map_queues() (Ming Lei) [2131144] - block/rnbd-srv: Add event tracing support (Ming Lei) [2131144] - block: sed-opal: Add ioctl to return device status (Ming Lei) [2131144] - block: extend functionality to map bvec iterator (Ming Lei) [2131144] - block: factor out blk_rq_map_bio_alloc helper (Ming Lei) [2131144] - block: rename bio_map_put to blk_mq_map_bio_put (Ming Lei) [2131144] - block: enable bio caching use for passthru IO (Ming Lei) [2131144] - block: add blk_rq_map_user_io (Ming Lei) [2131144] - block: allow end_io based requests in the completion batch handling (Ming Lei) [2131144] - block: change request end_io handler to pass back a return value (Ming Lei) [2131144] - block: enable batched allocation for blk_mq_alloc_request() (Ming Lei) [2131144] - block: kill deprecated BUG_ON() in the flush handling (Ming Lei) [2131144] - virtio-blk: validate num_queues during probe (Ming Lei) [2131144] - selftests/bpf: Limit unroll_count for pyperf600 test (Frantisek Hrbata) [2139836] - devdax: Fix soft-reservation memory description (Mark Langsdorf) [2122317] - ACPI: CPPC: Fix enabling CPPC on AMD systems with shared memory (Mark Langsdorf) [2122317] - arm64: cacheinfo: Fix incorrect assignment of signed error value to unsigned fw_level (Mark Langsdorf) [2122317] - ACPI: property: Remove default association from integer maximum values (Mark Langsdorf) [2122317] - ACPI: property: Ignore already existing data node tags (Mark Langsdorf) [2122317] - ACPI: property: Fix type detection of unified integer reading functions (Mark Langsdorf) [2122317] - ACPI: processor: Remove freq Qos request for all CPUs (Mark Langsdorf) [2122317] - ACPI: CPPC: Do not prevent CPPC from working in the future (Mark Langsdorf) [2122317] - ACPI: CPPC: Don't require flexible address space if X86_FEATURE_CPPC is supported (Mark Langsdorf) [2122317] - ACPI: CPPC: Don't require _OSC if X86_FEATURE_CPPC is supported (Mark Langsdorf) [2122317] - ACPI: CPPC: fix typo in comment (Mark Langsdorf) [2122317] - cpufreq: CPPC: Enable fast_switch (Mark Langsdorf) [2122317] - ACPI: CPPC: Assume no transition latency if no PCCT (Mark Langsdorf) [2122317] - ACPI: bus: Set CPPC _OSC bits for all and when CPPC_LIB is supported (Mark Langsdorf) [2122317] - ACPI: CPPC: Check _OSC for flexible address space (Mark Langsdorf) [2122317] - ACPI: property: Fix error handling in acpi_init_properties() (Mark Langsdorf) [2122317] - ACPI: VIOT: Do not dereference fwnode in struct device (Mark Langsdorf) [2122317] - ACPI/PCI: Remove useless NULL pointer checks (Mark Langsdorf) [2122317] - ACPI: property: Read buffer properties as integers (Mark Langsdorf) [2122317] - ACPI: property: Add support for parsing buffer property UUID (Mark Langsdorf) [2122317] - ACPI: property: Unify integer value reading functions (Mark Langsdorf) [2122317] - ACPI: property: Switch node property referencing from ifs to a switch (Mark Langsdorf) [2122317] - ACPI: property: Move property ref argument parsing into a new function (Mark Langsdorf) [2122317] - ACPI: property: Use acpi_object_type consistently in property ref parsing (Mark Langsdorf) [2122317] - ACPI: property: Tie data nodes to acpi handles (Mark Langsdorf) [2122317] - ACPI: property: Return type of acpi_add_nondev_subnodes() should be bool (Mark Langsdorf) [2122317] - ACPI: PM: x86: Print messages regarding LPS0 idle support (Mark Langsdorf) [2122317] - ACPI: PPTT: Leave the table mapped for the runtime usage (Mark Langsdorf) [2122317] - ACPI: resource: skip IRQ override on AMD Zen platforms (Mark Langsdorf) [2122317] - ACPI: irq: Allow acpi_gsi_to_irq() to have an arch-specific fallback (Mark Langsdorf) [2122317] - APCI: irq: Add support for multiple GSI domains (Mark Langsdorf) [2122317] - ACPI: video: Use native backlight on Dell Inspiron N4010 (Mark Langsdorf) [2122317] - ACPI: PM: s2idle: Use LPS0 idle if ACPI_FADT_LOW_POWER_S0 is unset (Mark Langsdorf) [2122317] - Revert "ACPI / PM: LPIT: Register sysfs attributes based on FADT" (Mark Langsdorf) [2122317] - ACPI: utils: Add api to read _SUB from ACPI (Mark Langsdorf) [2122317] - PCI: loongson: Add ACPI init support (Mark Langsdorf) [2122317] - ACPI: video: Shortening quirk list by identifying Clevo by board_name only (Mark Langsdorf) [2122317] - ACPI: video: Force backlight native for some TongFang devices (Mark Langsdorf) [2122317] - PCI/ACPI: Guard ARM64-specific mcfg_quirks (Mark Langsdorf) [2122317] - ACPI: PM: s2idle: Add support for upcoming AMD uPEP HID AMDI008 (Mark Langsdorf) [2122317] - ACPI: PPTT: Use table offset as fw_token instead of virtual address (Mark Langsdorf) [2122317] - ACPI: Move PRM config option under the main ACPI config (Mark Langsdorf) [2122317] - ACPI: Enable Platform Runtime Mechanism(PRM) support on ARM64 (Mark Langsdorf) [2122317] - efi: Simplify arch_efi_call_virt() macro (Mark Langsdorf) [2122317] - ACPI: PRM: Change handler_addr type to void pointer (Mark Langsdorf) [2122317] - ACPI: bus: Drop driver member of struct acpi_device (Mark Langsdorf) [2122317] - ACPI: bus: Drop redundant check in acpi_device_remove() (Mark Langsdorf) [2122317] - ACPI: APEI: Fix _EINJ vs EFI_MEMORY_SP (Mark Langsdorf) [2122317] - ACPI: LPSS: Fix missing check in register_device_clock() (Mark Langsdorf) [2122317] - ACPI: APEI: Better fix to avoid spamming the console with old error logs (Mark Langsdorf) [2122317] - ACPI: PM: save NVS memory for Lenovo G40-45 (Mark Langsdorf) [2122317] - ACPI: EC: Drop unused ident initializers from dmi_system_id tables (Mark Langsdorf) [2122317] - ACPI: EC: Re-use boot_ec when possible even when EC_FLAGS_TRUST_DSDT_GPE is set (Mark Langsdorf) [2122317] - ACPI: EC: Drop the EC_FLAGS_IGNORE_DSDT_GPE quirk (Mark Langsdorf) [2122317] - ACPI: EC: Remove duplicate ThinkPad X1 Carbon 6th entry from DMI quirks (Mark Langsdorf) [2122317] - ACPI: processor: Drop leftover acpi_processor_get_limit_info() declaration (Mark Langsdorf) [2122317] - ACPI: processor: Split out thermal initialization from ACPI PSS (Mark Langsdorf) [2122317] - redhat/configs: move CONFIG_ACPI_VIDEO to common/generic (Mark Langsdorf) [2122317] - ACPI: video: Drop X86 dependency from Kconfig (Mark Langsdorf) [2122317] - ACPI: video: Fix acpi_video_handles_brightness_key_presses() (Mark Langsdorf) [2122317] - ACPI: video: Change how we determine if brightness key-presses are handled (Mark Langsdorf) [2122317] - ACPI / MMC: PM: Unify fixing up device power (Mark Langsdorf) [2122317] - mmc: sdhci-acpi: Use the new soc_intel_is_byt() helper (Mark Langsdorf) [2122317] - mmc: sdhci-acpi: Remove special handling for GPD win/pocket devices (Mark Langsdorf) [2122317] - ACPI: scan: Walk ACPI device's children using driver core (Mark Langsdorf) [2122317] - ACPI: bus: Introduce acpi_dev_for_each_child_reverse() (Mark Langsdorf) [2122317] - ACPI: video: Use acpi_dev_for_each_child() (Mark Langsdorf) [2122317] - ACPI: bus: Export acpi_dev_for_each_child() to modules (Mark Langsdorf) [2122317] - ACPI: property: Use acpi_dev_for_each_child() for child lookup (Mark Langsdorf) [2122317] - ACPI: container: Use acpi_dev_for_each_child() (Mark Langsdorf) [2122317] - ACPI: glue: Introduce acpi_find_child_by_adr() (Mark Langsdorf) [2122317] - ACPI: glue: Introduce acpi_dev_has_children() (Mark Langsdorf) [2122317] - ACPI: glue: Use acpi_dev_for_each_child() (Mark Langsdorf) [2122317] - ACPI: glue: Use acpi_device_adr() in acpi_find_child_device() (Mark Langsdorf) [2122317] - ACPI: APEI: Fix double word in a comment (Mark Langsdorf) [2122317] - ACPI: processor/idle: Annotate more functions to live in cpuidle section (Mark Langsdorf) [2122317] - ACPI: video: improve PM notifer callback (Mark Langsdorf) [2122317] - ACPI: utils: include UUID in _DSM evaluation warning (Mark Langsdorf) [2122317] - acpi/nfit: rely on mce->misc to determine poison granularity (Mark Langsdorf) [2122317] - ACPI: PM: Block ASUS B1400CEAE from suspend to idle by default (Mark Langsdorf) [2122317] - ACPI: clean up white space in a few places for consistency (Mark Langsdorf) [2122317] - ACPI: glue: Rearrange find_child_checks() (Mark Langsdorf) [2122317] - ACPI: DPTF: Add support for high frequency impedance notification (Mark Langsdorf) [2122317] - ACPI: processor: idle: Expose max_cstate/nocst/bm_check_disable read-only in sysfs (Mark Langsdorf) [2122317] - ACPI: battery: Make "not-charging" the default on no charging or full info (Mark Langsdorf) [2122317] - PCI/ACPI: negotiate CXL _OSC (Mark Langsdorf) [2122317] - PCI/ACPI: Prefer CXL _OSC instead of PCIe _OSC for CXL host bridges (Mark Langsdorf) [2122317] - PCI/ACPI: add a helper for retrieving _OSC Control DWORDs (Mark Langsdorf) [2122317] - ACPI: OSL: Remove the helper for deactivating memory region (Mark Langsdorf) [2122317] - ACPI: bus: Avoid non-ACPI device objects in walks over children (Mark Langsdorf) [2122317] - ACPI: DPTF: Correct description of INT3407 / INT3532 attributes (Mark Langsdorf) [2122317] - ACPI: BGRT: use static for BGRT_SHOW kobj_attribute defines (Mark Langsdorf) [2122317] - ACPI, APEI, EINJ: Refuse to inject into the zero page (Mark Langsdorf) [2122317] - ACPI: PM: Always print final debug message in acpi_device_set_power() (Mark Langsdorf) [2122317] - ACPI: SPCR: Add support for NVIDIA 16550-compatible port subtype (Mark Langsdorf) [2122317] - ACPI: APEI: Fix missing ERST record id (Mark Langsdorf) [2122317] - ACPICA: iASL/MADT: Add OEM-defined subtable (Mark Langsdorf) [2122317] - ACPICA: Add support for ARM Performance Monitoring Unit Table. (Mark Langsdorf) [2122317] - ACPICA: Headers: Replace zero-length array with flexible-array member (Mark Langsdorf) [2122317] - ACPICA: Removed some tabs and // comments (Mark Langsdorf) [2122317] - ACPICA: Update copyright notices to the year 2022 (Mark Langsdorf) [2122317] - ACPICA: Clean up double word in comment (Mark Langsdorf) [2122317] - ACPICA: Add new ACPI 6.4 semantics for LoadTable() operator (Mark Langsdorf) [2122317] - ACPICA: Add new ACPI 6.4 semantics to the Load() operator (Mark Langsdorf) [2122317] - ACPICA: iASL: NHLT: Rename linux specific strucures to device_info (Mark Langsdorf) [2122317] - ACPICA: iASL: NHLT: Fix parsing undocumented bytes at the end of Endpoint Descriptor (Mark Langsdorf) [2122317] - ACPICA: iASL: NHLT: Treat Terminator as specific_config (Mark Langsdorf) [2122317] - ACPICA: Add the subtable CFMWS to the CEDT table (Mark Langsdorf) [2122317] - ACPICA: Add support for the Windows 11 _OSI string (Mark Langsdorf) [2122317] - ACPI: property: Release subnode properties with data nodes (Mark Langsdorf) [2122317] - PCI: ACPI: PM: Power up devices in D3cold before scanning them (Mark Langsdorf) [2122317] - ACPI: PM: Introduce acpi_dev_power_up_children_with_adr() (Mark Langsdorf) [2122317] - ACPI: bus: Introduce acpi_dev_for_each_child() (Mark Langsdorf) [2122317] - ACPI: PM: Unify debug messages in acpi_device_set_power() (Mark Langsdorf) [2122317] - ACPI: PM: Change pr_fmt() in device_pm.c (Mark Langsdorf) [2122317] - ACPI: PM: Convert debug message in acpi_device_get_power() (Mark Langsdorf) [2122317] - ACPI: property: Move acpi_fwnode_device_get_match_data() up (Mark Langsdorf) [2122317] - ACPI: Add perf low power callback (Mark Langsdorf) [2122317] Resolves: rhbz#2118804, rhbz#1880574, rhbz#2134215, rhbz#2136121, rhbz#2137587, rhbz#2131144, rhbz#2139836, rhbz#2122317 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-03 17:44:31 +00:00
CONFIG_ACPI_VIDEO=m
# CONFIG_ACQUIRE_WDT is not set
# CONFIG_AD2S1200 is not set
# CONFIG_AD2S90 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_AD3552R is not set
# CONFIG_AD5064 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_AD5110 is not set
# CONFIG_AD525X_DPOT is not set
# CONFIG_AD5272 is not set
# CONFIG_AD5360 is not set
# CONFIG_AD5380 is not set
# CONFIG_AD5421 is not set
# CONFIG_AD5446 is not set
# CONFIG_AD5449 is not set
# CONFIG_AD5504 is not set
# CONFIG_AD5592R is not set
# CONFIG_AD5593R is not set
# CONFIG_AD5624R_SPI is not set
# CONFIG_AD5686_SPI is not set
# CONFIG_AD5696_I2C is not set
# CONFIG_AD5755 is not set
# CONFIG_AD5758 is not set
# CONFIG_AD5761 is not set
# CONFIG_AD5764 is not set
# CONFIG_AD5766 is not set
# CONFIG_AD5770R is not set
# CONFIG_AD5791 is not set
# CONFIG_AD7091R5 is not set
# CONFIG_AD7124 is not set
# CONFIG_AD7150 is not set
# CONFIG_AD7192 is not set
# CONFIG_AD7266 is not set
# CONFIG_AD7291 is not set
# CONFIG_AD7292 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_AD7293 is not set
# CONFIG_AD7298 is not set
# CONFIG_AD7303 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_AD74413R is not set
# CONFIG_AD7476 is not set
# CONFIG_AD7606_IFACE_PARALLEL is not set
# CONFIG_AD7606_IFACE_SPI is not set
# CONFIG_AD7766 is not set
# CONFIG_AD7768_1 is not set
# CONFIG_AD7780 is not set
# CONFIG_AD7791 is not set
# CONFIG_AD7793 is not set
# CONFIG_AD7887 is not set
# CONFIG_AD7923 is not set
# CONFIG_AD7949 is not set
# CONFIG_AD799X is not set
# CONFIG_AD8366 is not set
# CONFIG_AD8801 is not set
# CONFIG_AD9523 is not set
# CONFIG_ADF4350 is not set
# CONFIG_ADF4371 is not set
# CONFIG_ADFS_FS is not set
# CONFIG_ADIN_PHY is not set
# CONFIG_ADIS16080 is not set
# CONFIG_ADIS16130 is not set
# CONFIG_ADIS16136 is not set
# CONFIG_ADIS16201 is not set
# CONFIG_ADIS16209 is not set
# CONFIG_ADIS16260 is not set
# CONFIG_ADIS16400 is not set
# CONFIG_ADIS16460 is not set
# CONFIG_ADIS16475 is not set
# CONFIG_ADIS16480 is not set
# CONFIG_ADJD_S311 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_ADMV1013 is not set
# CONFIG_ADMV8818 is not set
# CONFIG_ADRF6780 is not set
# CONFIG_ADUX1020 is not set
# CONFIG_ADVANTECH_WDT is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_ADV_SWBUTTON is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_ADXL313_I2C is not set
# CONFIG_ADXL313_SPI is not set
# CONFIG_ADXL345_I2C is not set
# CONFIG_ADXL345_SPI is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_ADXL355_I2C is not set
# CONFIG_ADXL355_SPI is not set
# CONFIG_ADXL372_I2C is not set
# CONFIG_ADXL372_SPI is not set
# CONFIG_ADXRS290 is not set
# CONFIG_ADXRS450 is not set
# CONFIG_AFE4403 is not set
# CONFIG_AFE4404 is not set
# CONFIG_AFFS_FS is not set
# CONFIG_AF_KCM is not set
kernel-5.14.0-272.el9 * Wed Feb 15 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-272.el9] - Split partner modules into a sub-package (Alice Mitchell) [2039020] - Enable kAFS and it's dependancies in RHEL (Alice Mitchell) [2039020] - netfilter: ipset: Fix overflow before widen in the bitmap_ip_create() function. (Florian Westphal) [2161695] - netfilter: ipset: fix hash:net,port,net hang with /0 subnet (Florian Westphal) [2161695] - ipvs: use u64_stats_t for the per-cpu counters (Florian Westphal) [2161695] - netfilter: flowtable: really fix NAT IPv6 offload (Florian Westphal) [2161695] - netfilter: conntrack: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695] - netfilter: flowtable_offload: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695] - netfilter: nft_set_pipapo: Actually validate intervals in fields after the first one (Florian Westphal) [2161695] - netfilter: flowtable_offload: add missing locking (Florian Westphal) [2161695] - netfilter: ipset: restore allowing 64 clashing elements in hash:net,iface (Florian Westphal) [2161695] - netfilter: ipset: regression in ip_set_hash_ip.c (Florian Westphal) [2161695] - netfilter: Cleanup nft_net->module_list from nf_tables_exit_net() (Florian Westphal) [2161695] - netfilter: nfnetlink: fix potential dead lock in nfnetlink_rcv_msg() (Florian Westphal) [2161695] - netfilter: nf_tables: nft_parse_register can return a negative value (Florian Westphal) [2161695] - intel_th: msu: Use memset_startat() for clearing hw header (Eric Chanudet) [2159468] - redhat/configs: leave -Werror off for now (Eric Chanudet) [2159468] - gcc-12: disable '-Warray-bounds' universally for now (Eric Chanudet) [2159468] - kbuild: Fix -Wimplicit-fallthrough=5 error for GCC 5.x and 6.x (Eric Chanudet) [2159468] - s390: disable -Warray-bounds (Eric Chanudet) [2159468] - gcc-12: disable '-Wdangling-pointer' warning for now (Eric Chanudet) [2159468] - Makefile: fix 2 typos (Eric Chanudet) [2159468] - Makefile: Enable -Wzero-length-bounds (Eric Chanudet) [2159468] - Makefile: Enable -Warray-bounds (Eric Chanudet) [2159468] - sparc: Unbreak the build (Eric Chanudet) [2159468] - Makefile: Enable -Wcast-function-type (Eric Chanudet) [2159468] - kconfig: Add support for -Wimplicit-fallthrough (Eric Chanudet) [2159468] - Makefile: use -Wno-main in the full kernel tree (Eric Chanudet) [2159468] - s390: remove WARN_DYNAMIC_STACK (Eric Chanudet) [2159468] - Enable '-Werror' by default for all kernel builds (Eric Chanudet) [2159468] - kbuild: Shuffle blank line to improve comment meaning (Eric Chanudet) [2159468] - x86/boot: Wrap literal addresses in absolute_pointer() (Eric Chanudet) [2159468] - scsi: lpfc: Use struct_group() to initialize struct lpfc_cgn_info (Eric Chanudet) [2159468] - bnx2x: Use struct_group() for memcpy() region (Eric Chanudet) [2159468] - RDMA/cxgb4: fix accept failure due to increased cpl_t5_pass_accept_rpl size (Eric Chanudet) [2159468] - iw_cxgb4: Use memset_startat() for cpl_t5_pass_accept_rpl (Eric Chanudet) [2159468] - dm integrity: Use struct_group() to zero struct journal_sector (Eric Chanudet) [2159468] - bnxt_en: Use struct_group_attr() for memcpy() region (Eric Chanudet) [2159468] - ipv6: Use memset_after() to zero rt6_info (Eric Chanudet) [2159468] - xfrm: Use memset_after() to clear padding (Eric Chanudet) [2159468] - virtio-pci: Remove wrong address verification in vp_del_vqs() (Eric Chanudet) [2159468] - ipv4: ip_output.c: Fix out-of-bounds warning in ip_copy_addrs() (Eric Chanudet) [2159468] - skbuff: Switch structure bounds to struct_group() (Eric Chanudet) [2159468] - tracing: Use memset_startat() to zero struct trace_iterator (Eric Chanudet) [2159468] - kernel/sysctl.c: fixup printk sysctl constants (Eric Chanudet) [2159468] - crypto: dh - constify struct dh's pointer members (Eric Chanudet) [2159468] - cert host tools: Stop complaining about deprecated OpenSSL functions (Eric Chanudet) [2159468] - kernel/sysctl.c: remove unused variable ten_thousand (Eric Chanudet) [2159468] Resolves: rhbz#2039020, rhbz#2161695, rhbz#2159468 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-15 19:08:53 +00:00
CONFIG_AF_RXRPC_DEBUG=y
# CONFIG_AF_RXRPC_INJECT_LOSS is not set
CONFIG_AF_RXRPC_IPV6=y
CONFIG_AF_RXRPC=m
# CONFIG_AFS_DEBUG_CURSOR is not set
CONFIG_AFS_DEBUG=y
CONFIG_AFS_FSCACHE=y
CONFIG_AFS_FS=m
# CONFIG_AGP is not set
# CONFIG_AHCI_CEVA is not set
# CONFIG_AHCI_QORIQ is not set
CONFIG_AIO=y
# CONFIG_AIX_PARTITION is not set
# CONFIG_AK09911 is not set
# CONFIG_AK8975 is not set
# CONFIG_AL3010 is not set
# CONFIG_AL3320A is not set
# CONFIG_AL_FIC is not set
# CONFIG_ALIENWARE_WMI is not set
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_ALIM1535_WDT is not set
# CONFIG_ALIM7101_WDT is not set
# CONFIG_ALTERA_MBOX is not set
# CONFIG_ALTERA_MSGDMA is not set
CONFIG_ALTERA_STAPL=m
# CONFIG_ALTERA_TSE is not set
CONFIG_ALTIVEC=y
CONFIG_ALX=m
# CONFIG_AM2315 is not set
# CONFIG_AMD8111_ETH is not set
# CONFIG_AMD_IOMMU_DEBUGFS is not set
CONFIG_AMD_PHY=m
CONFIG_AMD_PMC=m
# CONFIG_AMDTEE is not set
# CONFIG_AMIGA_PARTITION is not set
# CONFIG_ANDROID is not set
kernel-5.14.0-179.el9 * Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9] - dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028] - dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028] - dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028] - MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028] - dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028] - dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028] - dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028] - dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028] - dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028] - dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028] - dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028] - dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028] - dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028] - dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028] - dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028] - MAINTAINERS: update my email address (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028] - dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028] - dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028] - dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028] - dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028] - dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028] - dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028] - dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028] - dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028] - dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028] - Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028] - pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693] - gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693] - pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693] - ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693] - pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693] - nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526] - Enabling configs for AUTH support. (Gopal Tiwari) [2124526] - nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526] - nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526] - nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526] - nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526] - nvmet: fix a use-after-free (Gopal Tiwari) [2124526] - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526] - nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526] - nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526] - nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526] - nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526] - nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526] - nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526] - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526] - nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526] - nvme: refactor namespace probing (Gopal Tiwari) [2124526] - nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526] - nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526] - nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526] - nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526] - nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526] - nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526] - nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526] - nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526] - nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: don't always build constants.o (Gopal Tiwari) [2124526] - nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526] - nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526] - nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526] - nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526] - nvme-auth: fix off by one checks (Gopal Tiwari) [2124526] - nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526] - nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526] - nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526] - nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526] - nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526] - nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526] - nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526] - nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526] - nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526] - nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526] - nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_shash() (Gopal Tiwari) [2124526] - lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526] - nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526] - nvme: implement In-Band authentication (Gopal Tiwari) [2124526] - nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526] - nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526] - nvme: remove a double word in a comment (Gopal Tiwari) [2124526] - nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526] - nvme: remove unused timeout parameter (Gopal Tiwari) [2124526] - nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526] - nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526] - nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: fix block device naming collision (Gopal Tiwari) [2124526] - nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526] - nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526] - nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526] - nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526] - nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526] - nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526] - nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526] - block: simplify disk shutdown (Gopal Tiwari) [2124526] - nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526] - nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526] - nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526] - nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526] - nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526] - nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526] - nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526] - nvmet: fix typo in comment (Gopal Tiwari) [2124526] - nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526] - nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526] - nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526] - nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526] - nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526] - nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526] - nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526] - nvme: set dma alignment to dword (Gopal Tiwari) [2124526] - nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526] - nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526] - nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526] - nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526] - nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526] - nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526] - nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526] - nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526] - nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526] - nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526] - nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526] - nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526] - nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526] - nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526] - nvmet: don't fold lines (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526] - nvme-tcp: don't fold the line (Gopal Tiwari) [2124526] - nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526] - nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526] - nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526] - nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526] - nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526] - nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526] - nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526] - nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526] - nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526] - nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526] - nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526] - nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526] - nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526] - nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882} - watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882} - watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882} - cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632] - Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161] - net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161] - docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161] - net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161] - tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161] - net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161] - net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161] - net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161] - tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161] - net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161] - net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161] - net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161] - net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161] - tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161] - tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161] - tcp: get rid of rst_seq_match (Antoine Tenart) [2059161] - tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161] - tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161] - ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161] - net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161] - net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161] - net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161] - skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161] - net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161] - net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161] - net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161] - net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161] - net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161] - skb: make drop reason booleanable (Antoine Tenart) [2059161] - net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161] - net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161] - net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161] - net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161] - net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161] - net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161] - net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161] - net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161] - ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161] - net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161] - net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161] - net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161] - arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054] - irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140] - add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352] - mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352] - mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352] - mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352] - mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352] - mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352] - powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352] - powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352] - s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352] - arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352] - mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352] - mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352] - mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352] - mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352] - mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352] - mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352] - mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352] - mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352] - mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352] - mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352] - s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352] - compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352] - config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352] - config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352] - config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352] - config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352] - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352] - mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352] - mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352] - userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352] - mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352] - mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352] - kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352] - mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352] - mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352] - include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352] - video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352] - ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352] - mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352] - mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352] - mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352] - mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352] - mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352] - mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352] - mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352] - fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352] - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352] - fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352] - mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352] - mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352] - mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352] - mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352] - kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352] - no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352] - kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352] - page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352] - mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352] - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352] - memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352] - mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352] - vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352] - mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352] - mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352] - mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352] - mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352] - mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352] - kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352] - mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352] - mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352] - s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352] - mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352] - mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352] - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352] - highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352] - mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352] - mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352] - fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352] - mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352] - mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352] - Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352] - mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352] - mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352] - mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352] - mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352] - mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352] - Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352] - ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352] - fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352] - readahead: Update comments (Chris von Recklinghausen) [2120352] - mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352] - mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352] - fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352] - readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352] - mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352] - mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352] - s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352] - mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352] - mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352] - mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352] - mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352] - mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352] - mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352] - kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352] - kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352] - kasan: reorder reporting functions (Chris von Recklinghausen) [2120352] - kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352] - kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352] - kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352] - kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352] - kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352] - kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352] - kasan: restructure kasan_report (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352] - kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352] - kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352] - kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352] - kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352] - kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352] - kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: more line breaks in reports (Chris von Recklinghausen) [2120352] - kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352] - kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352] - kasan: update function name in comments (Chris von Recklinghausen) [2120352] - mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352] - kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352] - kasan: documentation updates (Chris von Recklinghausen) [2120352] - arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352] - kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352] - kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352] - kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352] - kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352] - kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352] - kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352] - kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352] - kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352] - kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352] - mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352] - kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352] - kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352] - kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352] - mm: unexport page_init_poison (Chris von Recklinghausen) [2120352] - kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352] - mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352] - Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352] - selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352] - mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352] - mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352] - mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352] - Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352] - Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352] - Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352] - mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352] - mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352] - mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352] - mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352] - mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352] - mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352] - mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352] - kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352] - mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352] - mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352] - mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352] - mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352] - mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352] - mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352] - mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352] - mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352] - mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352] - mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352] - mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352] - memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352] - NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352] - NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352] - mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352] - mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352] - mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352] - mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352] - mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352] - mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352] - mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352] - mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352] - userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352] - mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352] - mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352] - mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352] - mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352] - mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352] - mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352] - mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352] - mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352] - mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352] - mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352] - mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352] - mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352] - mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352] - mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352] - mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352] - mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352] - mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352] - mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352] - mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352] - mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352] - mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352] - mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352] - memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352] - memcg: unify force charging conditions (Chris von Recklinghausen) [2120352] - memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352] - mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352] - memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352] - mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - tmpfs: support for file creation time (Chris von Recklinghausen) [2120352] - mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352] - mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352] - mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352] - mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352] - filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352] - mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352] - remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352] - remove inode_congested() (Chris von Recklinghausen) [2120352] - mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352] - mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352] - mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352] - mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352] - mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352] - mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352] - fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352] - fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352] - afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352] - fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352] - fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352] - fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352] - resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352] - resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352] - signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352] - task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352] - task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352] - task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352] - task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352] - ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352] - ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352] - ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352] - ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352] - mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352] - s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352] - mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352] - mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352] - mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352] - mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352] - memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352] - mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352] - mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352] - mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352] - mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352] - mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352] - mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352] - fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352] - mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352] - mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352] - mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352] - s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352] - s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352] - s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352] - s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352] - mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352] - usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352] - Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352] - uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352] - uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352] - arm64: simplify access_ok() (Chris von Recklinghausen) [2120352] - uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352] - x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352] - x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352] - mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352] - mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352] - tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352] - memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352] - memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352] - mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352] - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352] - ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352] - mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352] - mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352] - Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352] - mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352] - memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352] - fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352] - fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352] - selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352] - mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352] - frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352] - mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352] - mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352] - frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352] - mm: remove cleancache (Chris von Recklinghausen) [2120352] - lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352] - sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352] - delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352] - delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352] - mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352] - mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352] - bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352] - mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352] - find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352] - include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352] - lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352] - arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352] - include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352] - bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352] - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352] - mm: fix some comment errors (Chris von Recklinghausen) [2120352] - zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352] - mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352] - mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352] - mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352] - mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352] - mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352] - mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352] - mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352] - mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352] - mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352] - mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352] - mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352] - mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352] - mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352] - mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352] - vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352] - mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352] - mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352] - mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352] - mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352] - mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352] - mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352] - mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352] - mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352] - mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352] - mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352] - mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352] - mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352] - mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352] - mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352] - mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352] - mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352] - shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352] - mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352] - mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352] - gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352] - mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352] - mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352] - mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352] - mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352] - mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352] - kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352] - mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352] - virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - fscache: Rewrite documentation (Chris von Recklinghausen) [2120352] - ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352] - ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352] - taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352] - exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352] - exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352] - exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352] - exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352] - exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352] - signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352] - signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352] - signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352] - coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352] - signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352] - signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352] - signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352] - signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352] - exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352] - h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352] - kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352] - mm: Remove slab from struct page (Chris von Recklinghausen) [2120352] - mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352] - bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352] - mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352] - mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352] - mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352] - mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352] - mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352] - mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352] - mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352] - mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352] - mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352] - mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352] - mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352] - mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352] - mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352] - kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352] - kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352] - fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352] - fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352] - iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352] - objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352] - exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352] - kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352] - exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352] - exit: Implement kthread_exit (Chris von Recklinghausen) [2120352] - exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352] - exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352] - exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352] - exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352] - exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352] - mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352] - selftests/damon: split test cases (Chris von Recklinghausen) [2120352] - selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352] - selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352] - mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352] - percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352] - memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352] - powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352] - s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352] - hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352] - kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352] - hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352] - mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352] - mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352] - mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352] - signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352] - signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352] - signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352] - signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352] - mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352] - mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352] - mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352] - mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352] - vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352] - selftests/damon: support watermarks (Chris von Recklinghausen) [2120352] - tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352] - mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352] - selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352] - include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352] - include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352] - mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352] - mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352] - mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352] - mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352] - mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352] - mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352] - mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352] - mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352] - mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352] - mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352] - mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352] - mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352] - mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352] - mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352] - mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352] - mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352] - hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352] - mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352] - mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352] - mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352] - mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352] - mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352] - mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352] - mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352] - mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352] - mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352] - mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352] - mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352] - mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352] - memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352] - mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352] - mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352] - mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352] - mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352] - mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352] - fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352] - mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352] - mm: export bdi_unregister (Chris von Recklinghausen) [2120352] - mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352] - mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352] - mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352] - mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352] - mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352] - signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352] - signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352] - signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352] - exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352] - signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352] - signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352] - ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352] - MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352] - signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352] - signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352] - docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352] - mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352] - mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352] - pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352] - mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352] - s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352] - coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352] - kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352] - kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352] - coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352] - exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352] - exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352] - ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352] - signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352] - scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352] - entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352] - mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352] - s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352] - io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352] - io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352] - io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352] - io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352] - io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352] - io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352] - io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352] - io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352] - io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352] - signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352] - s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352] - mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352] - io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352] - io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352] - io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352] - io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352] - fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352] - fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352] - kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352] - asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352] - asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352] - memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352] - Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352] - x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352] - mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352] - arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352] - powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352] - powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352] - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352] - powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352] - s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352] - s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352] - mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352] - x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352] - powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352] - powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352] - powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352] - powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352] - powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352] - arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352] - arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352] - mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352] - mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352] - x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352] - powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352] - powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352] - powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352] - powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352] - powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352] - powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352] - mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352] - mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352] - mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352] - arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352] - arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352] - x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352] - mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352] - hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352] - hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352] - x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352] - arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352] - hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352] - x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352] - x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352] - Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352] - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352] - x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352] - x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352] - arm64: fix typos in comments (Chris von Recklinghausen) [2120352] - powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352] - mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352] - mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352] - hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352] - mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352] - mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352] - mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352] - arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352] - mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352] - x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352] - x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352] - powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352] - s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352] - s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352] - s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352] - s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352] - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352] - powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352] - hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352] - x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352] - powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352] - powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352] - powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352] - powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352] - s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352] - s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352] - s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352] - mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352] - mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352] - powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352] - ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352] - docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352] - powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352] - mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352] - x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352] - mm: page table check (Chris von Recklinghausen) [2120352] - mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352] - mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352] - mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352] - powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352] - x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352] - s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352] - powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352] - s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352] - hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352] - s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352] - s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352] - s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352] - s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352] - s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352] - powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352] - powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352] - powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352] - powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352] - powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352] - powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352] - powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352] - powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352] - powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352] - powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352] - powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352] - powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352] - powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352] - x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352] - x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352] - powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352] - powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352] - powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352] - powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352] - powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352] - powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352] - powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352] - powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352] - powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352] - powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352] - powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352] - powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352] - Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352] - powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352] - s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352] - powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352] - powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352] - kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352] - arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352] - hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352] - memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352] - hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352] - hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352] - hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352] - mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352] - hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352] - s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352] - mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352] - powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352] - powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352] - powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352] - s390: make command line configurable (Chris von Recklinghausen) [2120352] - s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352] - s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352] - s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352] - s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352] - s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352] - s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352] - s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352] - s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352] - s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352] - powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352] - reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352] - exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352] - exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352] - powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352] - s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352] - powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352] - s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352] - s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352] - x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352] - x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352] - x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352] - x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352] - powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352] - powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352] - powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352] - powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352] - powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352] - powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352] - powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352] - powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352] - s390: fix typo in linker script (Chris von Recklinghausen) [2120352] - s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352] - s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352] - powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352] - powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352] - s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352] - s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352] - powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352] - powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352] - s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352] - s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352] - s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352] - s390: add support for KFENCE (Chris von Recklinghausen) [2120352] - s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352] - s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352] - s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352] - s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352] - s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352] - s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352] - s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352] - s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352] - s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352] - s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352] - s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352] - s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352] - s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352] - s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352] - s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352] - s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352] - s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352] - s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352] - powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352] - Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352] - Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352] - Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352] - Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352] - Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352] - Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352] - Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352] - selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352] - selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352] - selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352] - mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352] - mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352] - mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352] Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 19:28:31 +00:00
# CONFIG_ANON_VMA_NAME is not set
# CONFIG_APDS9300 is not set
CONFIG_APDS9802ALS=m
# CONFIG_APDS9960 is not set
# CONFIG_APPLE_MFI_FASTCHARGE is not set
CONFIG_APPLE_PROPERTIES=y
# CONFIG_APPLICOM is not set
# CONFIG_AQTION is not set
CONFIG_AQUANTIA_PHY=m
# CONFIG_AR5523 is not set
# CONFIG_ARCH_ACTIONS is not set
# CONFIG_ARCH_ALPINE is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_ARCH_APPLE is not set
# CONFIG_ARCH_BCM2835 is not set
# CONFIG_ARCH_BCM4908 is not set
# CONFIG_ARCH_BITMAIN is not set
# CONFIG_ARCH_BRCMSTB is not set
# CONFIG_ARCH_KEEMBAY is not set
# CONFIG_ARCH_LG1K is not set
CONFIG_ARCH_MEMORY_PROBE=y
# CONFIG_ARCH_MESON is not set
# CONFIG_ARCH_MVEBU is not set
# CONFIG_ARCH_MXC is not set
CONFIG_ARCH_RANDOM=y
# CONFIG_ARCH_REALTEK is not set
# CONFIG_ARCH_S32 is not set
# CONFIG_ARCH_SPARX5 is not set
# CONFIG_ARCH_SUNXI is not set
# CONFIG_ARCH_SYNQUACER is not set
# CONFIG_ARCNET is not set
CONFIG_ARM64_AMU_EXTN=y
CONFIG_ARM64_E0PD=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_ARM64_EPAN=y
CONFIG_ARM64_ERRATUM_1319367=y
CONFIG_ARM64_ERRATUM_1530923=y
CONFIG_ARM64_ERRATUM_1542419=y
CONFIG_ARM64_USE_LSE_ATOMICS=y
CONFIG_ARM_CMN=m
# CONFIG_ARM_MHU_V2 is not set
kernel-5.14.0-163.el9 * Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9] - x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362] - rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827] - s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827] - s390: make command line configurable (Mete Durlu) [2060827] - s390: support command lines longer than 896 bytes (Mete Durlu) [2060827] - s390/kexec_file: move kernel image size check (Mete Durlu) [2060827] - s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827] - rhel: set previously unused GPIO config items (Al Stone) [2071835] - gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835] - gpio: sim: check the label length when setting up device properties (Al Stone) [2071835] - gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835] - gpio: sim: fix a typo (Al Stone) [2071835] - gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835] - gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835] - gpio: sim: fix the chip_name configfs item (Al Stone) [2071835] - gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835] - gpio: virtio: Add IRQ support (Al Stone) [2071835] - gpio: virtio: remove timeout (Al Stone) [2071835] - gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835] - gpio: mxs: Fix header comment (Al Stone) [2071835] - gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835] - gpio: grgpio: Fix device removing (Al Stone) [2071835] - gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835] - gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835] - gpio: sch: make irq_chip immutable (Al Stone) [2071835] - gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835] - gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpio: tegra186: Add HTE support (Al Stone) [2071835] - gpiolib: Add HTE support (Al Stone) [2071835] - hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835] - hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835] - hte: Add Tegra HTE test driver (Al Stone) [2071835] - hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835] - gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835] - gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835] - gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835] - gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835] - gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835] - gpio: pl061: Make the irqchip immutable (Al Stone) [2071835] - gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835] - gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835] - gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835] - gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835] - gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835] - gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835] - gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835] - gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835] - gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835] - gpiolib: Move error message out of a spinlock (Al Stone) [2071835] - gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835] - gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835] - gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835] - gpiolib: acpi: use correct format characters (Al Stone) [2071835] - gpiolib: Get rid of redundant 'else' (Al Stone) [2071835] - gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835] - gpio: Properly document parent data union (Al Stone) [2071835] - gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835] - gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835] - gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835] - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835] - gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835] - gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835] - gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: make struct comments into real kernel docs (Al Stone) [2071835] - gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835] - gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835] - gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835] - gpiolib: Never return internal error codes to user space (Al Stone) [2071835] - gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835] - gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835] - gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835] - gpio: Remove unused local OF node pointers (Al Stone) [2071835] - gpio: Propagate firmware node from a parent device (Al Stone) [2071835] - gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835] - gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835] - gpiolib: allow line names from device props to override driver names (Al Stone) [2071835] - gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835] - gpio: sim: new testing module (Al Stone) [2071835] - gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835] - gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835] - gpio: sch: fix typo in a comment (Al Stone) [2071835] - gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835] - gpiolib: improve coding style for local variables (Al Stone) [2071835] - gpio: aggregator: Add interrupt support (Al Stone) [2071835] - gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835] - gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835] - gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835] - gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835] - gpio: bd70528 Drop BD70528 support (Al Stone) [2071835] - gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835] - gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835] - gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835] - gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835] - gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835] - gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835] - gpio: virtio: Fix sparse warnings (Al Stone) [2071835] - gpio: clean up Kconfig file (Al Stone) [2071835] - gpio: Allow per-parent interrupt data (Al Stone) [2071835] - gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835] - gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835] - gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835] - gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835] - gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835] - gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835] - gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835] - gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835] - gpio: Add virtio-gpio driver (Al Stone) [2071835] - gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835] - gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835] - gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835] - gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835] - gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835] - gpio: tegra186: Add ACPI support (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835] - dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835] - gpiolib: of: constify few local device_node variables (Al Stone) [2071835] - gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835] - devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713] - netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713] - netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713] - devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713] - bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713] - devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713] - devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713] - eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713] - eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713] - eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713] - devlink: expose instance locking and add locked port registering (Petr Oros) [2101713] - mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713] - vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809] - vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809] - intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036] - intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036] - rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830] - soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830] - fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830] - rhel: Enable EFI DXE memory attributes (Al Stone) [2071830] - rhel: Enable EFI COCO secret (Al Stone) [2071830] - firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830] - firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830] - efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830] - powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830] - firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830] - firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830] - video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830] - efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830] - efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830] - edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830] - firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830] - printk: stop including cache.h from printk.h (Al Stone) [2071830] - efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830] - efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830] - efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830] - efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830] - efi: libstub: declare DXE services table (Al Stone) [2071830] - firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830] - firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830] - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830] - firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830] - firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830] - firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830] - firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830] - firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830] - firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830] - firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830] - firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830] - firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830] - firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830] - firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830] - firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830] - firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830] - firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830] - efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830] - efi: Save location of EFI confidential computing area (Al Stone) [2071830] - efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830] - efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830] - EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830] - efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830] - firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830] - firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830] - firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830] - firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830] - rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830] - firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830] - pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830] - efi/mokvar: move up init order (Al Stone) [2071830] - sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830] - sysfb: Make config option dependencies explicit (Al Stone) [2071830] - efi: fix return value of __setup handlers (Al Stone) [2071830] - efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830] - firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830] - firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830] - firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830] - firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830] - firmware: imx: add get resource owner api (Al Stone) [2071830] - firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830] - firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830] - drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830] - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830] - efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830] - virtio: wrap config->reset calls (Al Stone) [2071830] - efi: use default_groups in kobj_type (Al Stone) [2071830] - efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830] - efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830] - drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830] - firmware: edd: remove empty default_attrs array (Al Stone) [2071830] - firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830] - qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830] - firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830] - firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830] - firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830] - firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830] - firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830] - firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830] - firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830] - firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830] - include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830] - firmware: arm_scmi: Refactor message response path (Al Stone) [2071830] - firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830] - firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830] - firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830] - firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830] - firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830] - efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830] - efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830] - firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830] - firmware: arm_scmi: Add optee transport (Al Stone) [2071830] - firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830] - firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830] - firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830] - firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830] - exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830] - firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830] - firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830] - firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830] - firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830] - firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830] - firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830] - efi/cper: use stack buffer for error record decoding (Al Stone) [2071830] - efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830] - firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830] - firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830] - firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830] - firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830] - firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830] - efi: cper: check section header more appropriately (Al Stone) [2071830] - efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830] - rhel: Enable Simple FrameBuffer support (Al Stone) [2071830] - rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830] - firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830] - firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830] - firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830] - firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830] - firmware: arm_scmi: Add virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830] - firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830] - firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830] - firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830] - firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830] - firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830] - firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830] - firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830] - firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830] - firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830] - firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830] - firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830] - firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830] - efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830] - drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830] - drivers: firmware: Add PDI load API support (Al Stone) [2071830] - drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830] - drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830] - bus: Make remove callback return void (Al Stone) [2071830] - s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830] - PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830] - s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830] - s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830] - redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata) - redhat: add missing CVE reference to latest changelog entries (Patrick Talbert) Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 10:37:47 +00:00
# CONFIG_ARM_SCMI_TRANSPORT_MAILBOX is not set
# CONFIG_ARM_SCMI_TRANSPORT_SMC_ATOMIC_ENABLE is not set
# CONFIG_ARM_SCMI_TRANSPORT_SMC is not set
# CONFIG_ARM_SCMI_TRANSPORT_VIRTIO is not set
CONFIG_ARM_SMCCC_SOC_ID=y
# CONFIG_ARM_SMMU_LEGACY_DT_BINDINGS is not set
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_ARM_SP805_WATCHDOG is not set
# CONFIG_AS3935 is not set
# CONFIG_AS73211 is not set
CONFIG_ASN1=y
# CONFIG_ASUS_WIRELESS is not set
CONFIG_ASYMMETRIC_TPM_KEY_SUBTYPE=m
CONFIG_ASYNC_RAID6_TEST=m
CONFIG_ASYNC_TX_DMA=y
CONFIG_ATA_ACPI=y
CONFIG_ATA_BMDMA=y
CONFIG_ATA_GENERIC=m
# CONFIG_ATALK is not set
CONFIG_ATA=m
# CONFIG_ATA_OVER_ETH is not set
CONFIG_ATA_PIIX=m
# CONFIG_ATARI_PARTITION is not set
CONFIG_ATA_SFF=y
CONFIG_ATA_VERBOSE_ERROR=y
CONFIG_ATH10K_DEBUGFS=y
CONFIG_ATH10K_DEBUG=y
CONFIG_ATH10K=m
CONFIG_ATH10K_PCI=m
# CONFIG_ATH10K_SDIO is not set
# CONFIG_ATH10K_SPECTRAL is not set
CONFIG_ATH10K_TRACING=y
# CONFIG_ATH10K_USB is not set
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
CONFIG_ATH11K_DEBUGFS=y
CONFIG_ATH11K_DEBUG=y
# CONFIG_ATH11K is not set
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_ATH11K_PCI=m
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
CONFIG_ATH11K_SPECTRAL=y
CONFIG_ATH11K_TRACING=y
kernel-5.14.0-318.el9 * Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9] - vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854] - config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526] - wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526] - rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526] - mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526] - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526] - net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526] - mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526] - wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526] - mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526] - wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526] - bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526] - bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526] - bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526] - mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526] - bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526] - bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526] - wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526] - treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526] - treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526] - hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526] - Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250] - usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250] - usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250] - usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250] - USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250] - usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250] - usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250] - usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250] - USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250] - usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250] - usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250] - usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250] - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250] - usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250] - xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250] - xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250] - xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250] - usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250] - xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250] - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250] - USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250] - thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250] - thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250] - thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250] - usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250] - usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250] - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250] - thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250] - treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250] - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250] - nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250] - mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250] - atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250] - xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250] - xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250] - USB: core: export usb_cache_string() (Desnes Nunes) [2190250] - usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250] - usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250] - usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250] - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250] - usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250] - usb: storage: Add check for kcalloc (Desnes Nunes) [2190250] - USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250] - USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250] - USB: sisusbvga: remove console support (Desnes Nunes) [2190250] - media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250] - media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250] - firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250] - usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250] - device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250] - driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250] - container_of: remove container_of_safe() (Desnes Nunes) [2190250] - net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250] - net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250] - USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250] - xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250] - xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250] - xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250] - xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250] - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250] - xhci: print warning when HCE was set (Desnes Nunes) [2190250] - Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250] - USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250] - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250] - xhci: Convert to use list_count() (Desnes Nunes) [2190250] - usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250] - usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250] - usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250] - usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250] - usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250] - usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250] - usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250] - usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250] - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250] - net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250] - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250] - media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250] - media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250] - media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250] - media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250] - media: videobuf2: set q->streaming later (Desnes Nunes) [2190250] - media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250] - USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250] - usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250] - usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250] - usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250] - usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250] - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250] - usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250] - usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250] - usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250] - Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250] - hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250] - usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250] - tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250] - usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250] - usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250] - usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250] - dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250] - dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250] - usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250] - usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250] - usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250] - thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250] - usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250] - thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250] - usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250] - usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250] - usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250] - usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250] - usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250] - usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250] - thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250] - thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250] - device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250] - device property: Constify device child node APIs (Desnes Nunes) [2190250] - device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250] - usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250] - device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250] - device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250] - device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250] - usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250] - USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250] - USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250] - kernel.spec: add bonding selftest (Hangbin Liu) [2179216] - selftests: bonding: add arp validate test (Hangbin Liu) [2179216] - selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216] - bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216] - net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375] - selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375] - seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375] - seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375] - net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375] - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375] - seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375] - udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375] - icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375] - seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375] - seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375] - net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375] - net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375] - net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375] - seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375] - ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375] - ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375] - netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375] - HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079} - HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079} - NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854] - NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854] - NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854] - NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854] - NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854] - NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854] - netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854] - i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194} - netlink: provide an ability to set default extack message (Petr Oros) [2193453] - mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712] Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 19:08:35 +00:00
# CONFIG_ATH12K is not set
# CONFIG_ATH5K is not set
# CONFIG_ATH5K_PCI is not set
# CONFIG_ATH6KL is not set
CONFIG_ATH9K_AHB=y
CONFIG_ATH9K_BTCOEX_SUPPORT=y
# CONFIG_ATH9K_CHANNEL_CONTEXT is not set
# CONFIG_ATH9K_COMMON_SPECTRAL is not set
CONFIG_ATH9K_DEBUGFS=y
# CONFIG_ATH9K_DYNACK is not set
# CONFIG_ATH9K_HTC_DEBUGFS is not set
CONFIG_ATH9K_HTC=m
# CONFIG_ATH9K_HWRNG is not set
CONFIG_ATH9K=m
# CONFIG_ATH9K_PCI_NO_EEPROM is not set
CONFIG_ATH9K_PCI=y
# CONFIG_ATH9K_STATION_STATISTICS is not set
CONFIG_ATH9K_WOW=y
CONFIG_ATH_COMMON=m
CONFIG_ATH_DEBUG=y
# CONFIG_ATH_TRACEPOINTS is not set
CONFIG_ATL1C=m
CONFIG_ATL1E=m
CONFIG_ATL1=m
CONFIG_ATL2=m
# CONFIG_ATLAS_EZO_SENSOR is not set
# CONFIG_ATLAS_PH_SENSOR is not set
# CONFIG_ATM_BR2684_IPFILTER is not set
CONFIG_ATM_BR2684=m
CONFIG_ATM_CLIP=m
# CONFIG_ATM_CLIP_NO_ICMP is not set
# CONFIG_ATM_DRIVERS is not set
CONFIG_ATM_LANE=m
CONFIG_ATM=m
# CONFIG_ATM_MPOA is not set
CONFIG_ATOMIC64_SELFTEST=y
# CONFIG_ATP is not set
CONFIG_AUDITSYSCALL=y
CONFIG_AUDIT=y
CONFIG_AUTOFS4_FS=y
# CONFIG_AUXDISPLAY is not set
CONFIG_AX88796B_PHY=m
# CONFIG_B43 is not set
# CONFIG_B43LEGACY is not set
# CONFIG_B44 is not set
# CONFIG_BACKLIGHT_ADP8860 is not set
# CONFIG_BACKLIGHT_ADP8870 is not set
# CONFIG_BACKLIGHT_ARCXCNN is not set
# CONFIG_BACKLIGHT_BD6107 is not set
CONFIG_BACKLIGHT_CLASS_DEVICE=y
# CONFIG_BACKLIGHT_GPIO is not set
# CONFIG_BACKLIGHT_KTD253 is not set
CONFIG_BACKLIGHT_LED=m
# CONFIG_BACKLIGHT_LM3630A is not set
# CONFIG_BACKLIGHT_LM3639 is not set
CONFIG_BACKLIGHT_LP855X=m
# CONFIG_BACKLIGHT_LV5207LP is not set
CONFIG_BACKLIGHT_PWM=m
# CONFIG_BACKLIGHT_QCOM_WLED is not set
# CONFIG_BACKLIGHT_SAHARA is not set
# CONFIG_BACKTRACE_SELF_TEST is not set
CONFIG_BALLOON_COMPACTION=y
CONFIG_BAREUDP=m
# CONFIG_BATMAN_ADV is not set
# CONFIG_BATTERY_BQ27XXX is not set
# CONFIG_BATTERY_CW2015 is not set
# CONFIG_BATTERY_DS2780 is not set
# CONFIG_BATTERY_DS2781 is not set
# CONFIG_BATTERY_DS2782 is not set
# CONFIG_BATTERY_GAUGE_LTC2941 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_BATTERY_GOLDFISH is not set
# CONFIG_BATTERY_MAX17040 is not set
# CONFIG_BATTERY_MAX17042 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_BATTERY_RT5033 is not set
kernel-5.14.0-267.el9 * Mon Feb 13 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-267.el9] - mmc: sdhci-tegra: Issue CMD and DAT resets together (Mark Salter) [2144641] - mmc: sdhci-tegra: Add support to program MC stream ID (Mark Salter) [2144641] - iommu/tegra: Add tegra_dev_iommu_get_stream_id() helper (Mark Salter) [2144641] - mmc: sdhci-tegra: Sort includes alphabetically (Mark Salter) [2144641] - mmc: sdhci-tegra: Separate Tegra194 and Tegra234 SoC data (Mark Salter) [2144641] - mmc: sdhci: Centralize CMD and DATA reset handling (Mark Salter) [2144641] - mmc: sdhci: Get rid of SDHCI_QUIRK_RESET_CMD_DATA_ON_IOS (Mark Salter) [2144641] - mmc: sdhci: Remove misleading comment about resets (Mark Salter) [2144641] - mmc: sdhci: Separate out sdhci_reset_for_all() (Mark Salter) [2144641] - mmc: sdhci: Fix ADMA for PAGE_SIZE >= 64KiB (Mark Salter) [2144641] - mmc: sdhci-pci: Remove dead code (rst_n_gpio et al) (Mark Salter) [2144641] - mmc: sdhci-pci: Remove dead code (cd_gpio, cd_irq et al) (Mark Salter) [2144641] - mmc: sdhci: Remove unused prototype declaration in the header (Mark Salter) [2144641] - mmc: sdhci: Deduplicate sdhci_get_cd_nogpio() (Mark Salter) [2144641] - mmc: sdhci-pci: Read card detect from ACPI for Intel Merrifield (Mark Salter) [2144641] - mmc: sdhci: Return true only when timeout exceeds capacity of the HW timer (Mark Salter) [2144641] - mmc: sdhci: Change the code to check auto_cmd23 (Mark Salter) [2144641] - mmc: sdhci: Map more voltage level to SDHCI_POWER_330 (Mark Salter) [2144641] - mmc: sdhci: Correct the tuning command handle for PIO mode (Mark Salter) [2144641] - mmc: sdhci: Fix issue with uninitialized dma_slave_config (Mark Salter) [2144641] - mmc: sdhci: Introduce max_timeout_count variable in sdhci_host (Mark Salter) [2144641] - power: supply: samsung-sdi-battery: Add missing charge restart voltages (Al Stone) [2071846] - power: supply: bq256xx: Handle OOM correctly (Al Stone) [2071846] - power: supply: fix table problem in sysfs-class-power (Al Stone) [2071846] - set proper default for Samsung batteries (Al Stone) [2071846] - power: supply: Fix typo in power_supply_check_supplies (Al Stone) [2071846] - power: supply: core: Fix boundary conditions in interpolation (Al Stone) [2071846] - power: supply: core: Initialize struct to zero (Al Stone) [2071846] - power: supply: Reset err after not finding static battery (Al Stone) [2071846] - power: supply: Static data for Samsung batteries (Al Stone) [2071846] - power: supply: Support VBAT-to-Ri lookup tables (Al Stone) [2071846] - power: supply: ab8500: Standardize BTI resistance (Al Stone) [2071846] - power: supply: ab8500: Standardize alert mode charging (Al Stone) [2071846] - power: supply: ab8500: Standardize maintenance charging (Al Stone) [2071846] - power: supply: Provide stubs for charge_behaviour helpers (Al Stone) [2071846] - power: supply: core: Add kerneldoc to battery struct (Al Stone) [2071846] - power: supply: sbs-charger: Don't cancel work that is not initialized (Al Stone) [2071846] - power: supply: Introduces bypass charging property (Al Stone) [2071846] - power: supply: core: Use device_property_string_array_count() (Al Stone) [2071846] - power: supply: core: Simplify hwmon memory allocation (Al Stone) [2071846] - power: supply: core: Add support for generic fwnodes to power_supply_get_battery_info() (Al Stone) [2071846] - power: supply: core: Use fwnode_property_*() in power_supply_get_battery_info() (Al Stone) [2071846] - power: supply: core: Refactor power_supply_set_input_current_limit_from_supplier() (Al Stone) [2071846] - power: supply: core: fix application of sizeof to pointer (Al Stone) [2071846] - power: supply: fix charge_behaviour attribute initialization (Al Stone) [2071846] - power: supply_core: Pass pointer to battery info (Al Stone) [2071846] - power: supply: add helpers for charge_behaviour sysfs (Al Stone) [2071846] - power: supply: add charge_behaviour attributes (Al Stone) [2071846] - power: supply: core: Use library interpolation (Al Stone) [2071846] - power: supply: core: add POWER_SUPPLY_HEALTH_NO_BATTERY (Al Stone) [2071846] - power: supply: core: Break capacity loop (Al Stone) [2071846] - power: supply: core: Move psy_has_property() to fix build (Al Stone) [2071846] - power: supply: core: Add psy_has_property() (Al Stone) [2071846] - power: supply: core: Fix parsing of battery chemistry/technology (Al Stone) [2071846] - power: supply: core: Parse battery chemistry/technology (Al Stone) [2071846] - power: supply: sbs-battery: add support for time_to_empty_now attribute (Al Stone) [2071846] - power: supply: sbs-battery: relax voltage limit (Al Stone) [2071846] Resolves: rhbz#2144641, rhbz#2071846 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-13 22:30:27 +00:00
# CONFIG_BATTERY_SAMSUNG_SDI is not set
# CONFIG_BATTERY_SBS is not set
# CONFIG_BCACHE is not set
# CONFIG_BCM54140_PHY is not set
CONFIG_BCM7XXX_PHY=m
# CONFIG_BCM84881_PHY is not set
CONFIG_BCM87XX_PHY=m
# CONFIG_BCMA_DEBUG is not set
CONFIG_BCMA_DRIVER_GMAC_CMN=y
CONFIG_BCMA_DRIVER_GPIO=y
CONFIG_BCMA_HOST_PCI_POSSIBLE=y
CONFIG_BCMA_HOST_PCI=y
# CONFIG_BCMA_HOST_SOC is not set
CONFIG_BCMA=m
kernel-5.14.0-17.el9 * Tue Nov 16 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-17.el9] - net: mana: Support hibernation and kexec (Mohammed Gamal) [2011883] - net: mana: Improve the HWC error handling (Mohammed Gamal) [2011883] - net: mana: Report OS info to the PF driver (Mohammed Gamal) [2011883] - net: mana: Fix the netdev_err()'s vPort argument in mana_init_port() (Mohammed Gamal) [2011883] - net: mana: Allow setting the number of queues while the NIC is down (Mohammed Gamal) [2011883] - net: mana: Fix error handling in mana_create_rxq() (Mohammed Gamal) [2011883] - net: mana: Use kcalloc() instead of kzalloc() (Mohammed Gamal) [2011883] - net: mana: Prefer struct_size over open coded arithmetic (Mohammed Gamal) [2011883] - net: mana: Add WARN_ON_ONCE in case of CQE read overflow (Mohammed Gamal) [2011883] - net: mana: Add support for EQ sharing (Mohammed Gamal) [2011883] - net: mana: Move NAPI from EQ to CQ (Mohammed Gamal) [2011883] - PCI: hv: Fix sleep while in non-sleep context when removing child devices from the bus (Mohammed Gamal) [2008571] - objtool: Remove redundant 'len' field from struct section (C. Erastus Toe) [2002440] - objtool: Make .altinstructions section entry size consistent (C. Erastus Toe) [2002440] - s390/topology: fix topology information when calling cpu hotplug notifiers (Phil Auld) [2003998] - fs: remove leftover comments from mandatory locking removal (Jeffrey Layton) [2017438] - locks: remove changelog comments (Jeffrey Layton) [2017438] - docs: fs: locks.rst: update comment about mandatory file locking (Jeffrey Layton) [2017438] - Documentation: remove reference to now removed mandatory-locking doc (Jeffrey Layton) [2017438] - locks: remove LOCK_MAND flock lock support (Jeffrey Layton) [2017438] - fs: clean up after mandatory file locking support removal (Jeffrey Layton) [2017438] - fs: remove mandatory file locking support (Jeffrey Layton) [2017438] - fcntl: fix potential deadlock for &fasync_struct.fa_lock (Jeffrey Layton) [2017438] - fcntl: fix potential deadlocks for &fown_struct.lock (Jeffrey Layton) [2017438] - KVM: s390: Enable specification exception interpretation (Thomas Huth) [2001770] - redhat/configs: enable CONFIG_BCMGENET as module (Joel Savitz) [2011025] Resolves: rhbz#2001770, rhbz#2002440, rhbz#2003998, rhbz#2008571, rhbz#2011025, rhbz#2011883, rhbz#2017438 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-16 21:20:14 +00:00
CONFIG_BCMGENET=m
# CONFIG_BCM_KONA_USB2_PHY is not set
# CONFIG_BCM_SBA_RAID is not set
# CONFIG_BCM_VK is not set
CONFIG_BE2ISCSI=m
# CONFIG_BE2NET_BE2 is not set
# CONFIG_BE2NET_BE3 is not set
CONFIG_BE2NET_HWMON=y
CONFIG_BE2NET_LANCER=y
CONFIG_BE2NET=m
CONFIG_BE2NET_SKYHAWK=y
# CONFIG_BEFS_FS is not set
# CONFIG_BFQ_CGROUP_DEBUG is not set
CONFIG_BFQ_GROUP_IOSCHED=y
# CONFIG_BFS_FS is not set
# CONFIG_BH1750 is not set
# CONFIG_BH1780 is not set
CONFIG_BIG_KEYS=y
CONFIG_BINFMT_ELF=y
CONFIG_BINFMT_MISC=m
CONFIG_BINFMT_SCRIPT=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_BITFIELD_KUNIT=m
CONFIG_BITS_TEST=m
CONFIG_BLK_CGROUP_FC_APPID=y
# CONFIG_BLK_CGROUP_IOCOST is not set
CONFIG_BLK_CGROUP_IOLATENCY=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_BLK_CGROUP_IOPRIO is not set
CONFIG_BLK_CGROUP=y
CONFIG_BLK_DEBUG_FS=y
# CONFIG_BLK_DEV_3W_XXXX_RAID is not set
CONFIG_BLK_DEV_BSGLIB=y
CONFIG_BLK_DEV_BSG=y
CONFIG_BLK_DEV_DM=m
# CONFIG_BLK_DEV_DRBD is not set
# CONFIG_BLK_DEV_FD is not set
CONFIG_BLK_DEV_INITRD=y
CONFIG_BLK_DEV_INTEGRITY=y
CONFIG_BLK_DEV_IO_TRACE=y
CONFIG_BLK_DEV_LOOP=m
CONFIG_BLK_DEV_LOOP_MIN_COUNT=0
CONFIG_BLK_DEV_MD=y
CONFIG_BLK_DEV_NBD=m
# CONFIG_BLK_DEV_NULL_BLK_FAULT_INJECTION is not set
CONFIG_BLK_DEV_NULL_BLK=m
CONFIG_BLK_DEV_NVME=m
# CONFIG_BLK_DEV_PCIESSD_MTIP32XX is not set
CONFIG_BLK_DEV_PMEM=m
CONFIG_BLK_DEV_RAM_COUNT=16
CONFIG_BLK_DEV_RAM=m
CONFIG_BLK_DEV_RAM_SIZE=16384
CONFIG_BLK_DEV_RBD=m
CONFIG_BLK_DEV_RSXX=m
CONFIG_BLK_DEV_SD=m
CONFIG_BLK_DEV_SR=m
# CONFIG_BLK_DEV_SX8 is not set
# CONFIG_BLK_DEV_THROTTLING_LOW is not set
CONFIG_BLK_DEV_THROTTLING=y
CONFIG_BLK_DEV=y
kernel-5.14.0-0.rc3.29.el9 * Mon Jul 26 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc3.29] - configs/process_configs.sh: Handle config items with no help text (Patrick Talbert) - fedora: sound config updates for 5.14 (Peter Robinson) - fedora: Only enable FSI drivers on POWER platform (Peter Robinson) - The CONFIG_RAW_DRIVER has been removed from upstream (Peter Robinson) - fedora: updates for 5.14 with a few disables for common from pending (Peter Robinson) - fedora: migrate from MFD_TPS68470 -> INTEL_SKL_INT3472 (Peter Robinson) - fedora: Remove STAGING_GASKET_FRAMEWORK (Peter Robinson) - Fedora: move DRM_VMWGFX configs from ark -> common (Peter Robinson) - fedora: arm: disabled unused FB drivers (Peter Robinson) - fedora: don't enable FB_VIRTUAL (Peter Robinson) - redhat/configs: Double MAX_LOCKDEP_ENTRIES (Waiman Long) [1940075] - rpmspec: fix verbose output on kernel-devel installation (Herton R. Krzesinski) [1981406] - Build Fedora x86s kernels with bytcr-wm5102 (Marius Hoch) - Deleted redhat/configs/fedora/generic/x86/CONFIG_FB_HYPERV (Patrick Lang) - rpmspec: correct the ghost initramfs attributes (Herton R. Krzesinski) [1977056] - rpmspec: amend removal of depmod created files to include modules.builtin.alias.bin (Herton R. Krzesinski) [1977056] - configs: remove duplicate CONFIG_DRM_HYPERV file (Patrick Talbert) - CI: use common code for merge and release (Don Zickus) - rpmspec: add release string to kernel doc directory name (Jan Stancek) - redhat/configs: Add CONFIG_INTEL_PMT_CRASHLOG (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_INTEL_PMT_TELEMETRY (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_MFD_INTEL_PMT (Michael Petlan) [1880486] - redhat/configs: enable CONFIG_BLK_DEV_ZONED (Ming Lei) [1638087] - Add --with clang_lto option to build the kernel with Link Time Optimizations (Tom Stellard) - common: disable DVB_AV7110 and associated pieces (Peter Robinson) - Fix fedora-only config updates (Don Zickus) Resolves: rhbz#1638087, rhbz#1977056, rhbz#1940075 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-26 21:42:21 +00:00
CONFIG_BLK_DEV_ZONED=y
# CONFIG_BLK_INLINE_ENCRYPTION is not set
# CONFIG_BLK_SED_OPAL is not set
CONFIG_BLK_WBT_MQ=y
CONFIG_BLK_WBT=y
kernel-5.14.0-120.el9 * Mon Jun 27 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-120.el9] - vmxnet3: disable overlay offloads if UPT device does not support (Kamal Heib) [2095826] - vmxnet3: update to version 7 (Kamal Heib) [2095826] - vmxnet3: use ext1 field to indicate encapsulated packet (Kamal Heib) [2095826] - vmxnet3: limit number of TXDs used for TSO packet (Kamal Heib) [2095826] - vmxnet3: add command to set ring buffer sizes (Kamal Heib) [2095826] - vmxnet3: add support for out of order rx completion (Kamal Heib) [2095826] - vmxnet3: add support for large passthrough BAR register (Kamal Heib) [2095826] - vmxnet3: add support for capability registers (Kamal Heib) [2095826] - vmxnet3: prepare for version 7 changes (Kamal Heib) [2095826] - net: vmxnet3: fix possible NULL pointer dereference in vmxnet3_rq_cleanup() (Kamal Heib) [2095826] - net: vmxnet3: fix possible use-after-free bugs in vmxnet3_rq_alloc_rx_buf() (Kamal Heib) [2095826] - netfilter: nf_tables: sanitize nft_set_desc_concat_parse() (Florian Westphal) [2096401] {CVE-2022-2078} - fanotify: Fix stale file descriptor in copy_event_to_user() (Andrey Albershteyn) [2054337] {CVE-2022-1998} - scsi: mpi3mr: Fix kernel-doc (Tomas Henzl) [2091880] - scsi: mpi3mr: Rework mrioc->bsg_device model to fix warnings (Tomas Henzl) [2091880] - scsi: mpi3mr: Add target device related sysfs attributes (Tomas Henzl) [2091880] - scsi: mpi3mr: Add shost related sysfs attributes (Tomas Henzl) [2091880] - scsi: mpi3mr: Return error if dma_alloc_coherent() fails (Tomas Henzl) [2091880] - scsi: mpi3mr: Fix a NULL vs IS_ERR() bug in mpi3mr_bsg_init() (Tomas Henzl) [2091880] - scsi: mpi3mr: Return I/Os to an unrecoverable HBA with DID_ERROR (Tomas Henzl) [2091880] - scsi: mpi3mr: Hidden drives not removed during soft reset (Tomas Henzl) [2091880] - scsi: mpi3mr: Increase I/O timeout value to 60s (Tomas Henzl) [2091880] - scsi: mpi3mr: Update driver version to 8.0.0.69.0 (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for NVMe passthrough (Tomas Henzl) [2091880] - scsi: mpi3mr: Expose adapter state to sysfs (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for PEL commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for MPT commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Move data structures/definitions from MPI headers to uapi header (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for driver commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Add bsg device support (Tomas Henzl) [2091880] - tcp: Don't acquire inet_listen_hashbucket::lock with disabled BH. (Paolo Abeni) [2090409] - block/bfq: Enable I/O statistics (Ming Lei) [2083917] - blk-mq: don't clear flush_rq from tags->rqs[] (Ming Lei) [2083917] - blk-mq: avoid to touch q->elevator without any protection (Ming Lei) [2083917] - blk-mq: protect q->elevator by ->sysfs_lock in blk_mq_elv_switch_none (Ming Lei) [2083917] - md/raid5-ppl: Fix argument order in bio_alloc_bioset() (Ming Lei) [2083917] - dm: fix bio length of empty flush (Ming Lei) [2083917] - rhel: configs: add config option CONFIG_CRYPTO_CRC64* (Ming Lei) [2083917] - rhel: configs: enable BLOCK_LEGACY_AUTOLOAD (Ming Lei) [2083917] - bcache: put bch_bio_map() back to correct location in journal_write_unlocked() (Ming Lei) [2083917] - bcache: fix wrong bdev parameter when calling bio_alloc_clone() in do_bio_hook() (Ming Lei) [2083917] - block: null_blk: Fix null_zone_write() (Ming Lei) [2083917] - nbd: use pr_err to output error message (Ming Lei) [2083917] - nbd: fix possible overflow on 'first_minor' in nbd_dev_add() (Ming Lei) [2083917] - nbd: fix io hung while disconnecting device (Ming Lei) [2083917] - nbd: don't clear 'NBD_CMD_INFLIGHT' flag if request is not completed (Ming Lei) [2083917] - nbd: fix race between nbd_alloc_config() and module removal (Ming Lei) [2083917] - nbd: call genl_unregister_family() first in nbd_cleanup() (Ming Lei) [2083917] - blk-mq: remove the done argument to blk_execute_rq_nowait (Ming Lei) [2083917] - blk-mq: avoid a mess of casts for blk_end_sync_rq (Ming Lei) [2083917] - blk-mq: remove __blk_execute_rq_nowait (Ming Lei) [2083917] - block: Fix potential deadlock in blk_ia_range_sysfs_show() (Ming Lei) [2083917] - block: fix bio_clone_blkg_association() to associate with proper blkcg_gq (Ming Lei) [2083917] - block: remove useless BUG_ON() in blk_mq_put_tag() (Ming Lei) [2083917] - blk-mq: do not update io_ticks with passthrough requests (Ming Lei) [2083917] - block: make bioset_exit() fully resilient against being called twice (Ming Lei) [2083917] - block: use bio_queue_enter instead of blk_queue_enter in bio_poll (Ming Lei) [2083917] - block: document BLK_STS_AGAIN usage (Ming Lei) [2083917] - block: take destination bvec offsets into account in bio_copy_data_iter (Ming Lei) [2083917] - blk-iolatency: Fix inflight count imbalances and IO hangs on offline (Ming Lei) [2083917] - blk-mq: don't touch ->tagset in blk_mq_get_sq_hctx (Ming Lei) [2083917] - mtip32xx: fix typo in comment (Ming Lei) [2083917] - nbd: Fix hung on disconnect request if socket is closed before (Ming Lei) [2083917] - loop: remove most the top-of-file boilerplate comment from the UAPI header (Ming Lei) [2083917] - loop: remove most the top-of-file boilerplate comment (Ming Lei) [2083917] - loop: add a SPDX header (Ming Lei) [2083917] - loop: remove loop.h (Ming Lei) [2083917] - block: null_blk: Improve device creation with configfs (Ming Lei) [2083917] - block: null_blk: Cleanup messages (Ming Lei) [2083917] - block: null_blk: Cleanup device creation and deletion (Ming Lei) [2083917] - block: null_blk: Fix code style issues (Ming Lei) [2083917] - xen-blkback: use bdev_discard_alignment (Ming Lei) [2083917] - rnbd-srv: use bdev_discard_alignment (Ming Lei) [2083917] - nvme: remove a spurious clear of discard_alignment (Ming Lei) [2083917] - loop: remove a spurious clear of discard_alignment (Ming Lei) [2083917] - dasd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - raid5: don't set the discard_alignment queue limit (Ming Lei) [2083917] - dm-zoned: don't set the discard_alignment queue limit (Ming Lei) [2083917] - virtio_blk: fix the discard_granularity and discard_alignment queue limits (Ming Lei) [2083917] - null_blk: don't set the discard_alignment queue limit (Ming Lei) [2083917] - nbd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - ubd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - aoe: Avoid flush_scheduled_work() usage (Ming Lei) [2083917] - null-blk: save memory footprint for struct nullb_cmd (Ming Lei) [2083917] - block/rnbd-clt: Avoid flush_workqueue(system_long_wq) usage (Ming Lei) [2083917] - loop: don't destroy lo->workqueue in __loop_clr_fd (Ming Lei) [2083917] - loop: remove lo_refcount and avoid lo_mutex in ->open / ->release (Ming Lei) [2083917] - loop: avoid loop_validate_mutex/lo_mutex in ->release (Ming Lei) [2083917] - loop: suppress uevents while reconfiguring the device (Ming Lei) [2083917] - loop: implement ->free_disk (Ming Lei) [2083917] - loop: only freeze the queue in __loop_clr_fd when needed (Ming Lei) [2083917] - loop: don't freeze the queue in lo_release (Ming Lei) [2083917] - loop: remove the racy bd_inode->i_mapping->nrpages asserts (Ming Lei) [2083917] - loop: initialize the worker tracking fields once (Ming Lei) [2083917] - loop: de-duplicate the idle worker freeing code (Ming Lei) [2083917] - block: turn bdev->bd_openers into an atomic_t (Ming Lei) [2083917] - block: add a disk_openers helper (Ming Lei) [2083917] - zram: cleanup zram_remove (Ming Lei) [2083917] - zram: cleanup reset_store (Ming Lei) [2083917] - nbd: use the correct block_device in nbd_bdev_reset (Ming Lei) [2083917] - drdb: Switch to kvfree_rcu() API (Ming Lei) [2083917] - drbd: Replace "unsigned" with "unsigned int" (Ming Lei) [2083917] - drbd: Make use of PFN_UP helper macro (Ming Lei) [2083917] - block: drbd: drbd_receiver: Remove redundant assignment to err (Ming Lei) [2083917] - drbd: address enum mismatch warnings (Ming Lei) [2083917] - drbd: fix duplicate array initializer (Ming Lei) [2083917] - blk-mq: fix typo in comment (Ming Lei) [2083917] - bfq: Remove bfq_requeue_request_body() (Ming Lei) [2083917] - bfq: Remove superfluous conversion from RQ_BIC() (Ming Lei) [2083917] - bfq: Allow current waker to defend against a tentative one (Ming Lei) [2083917] - bfq: Relax waker detection for shared queues (Ming Lei) [2083917] - blk-cgroup: delete rcu_read_lock_held() WARN_ON_ONCE() (Ming Lei) [2083917] - blk-throttle: Set BIO_THROTTLED when bio has been throttled (Ming Lei) [2083917] - blk-cgroup: Remove unnecessary rcu_read_lock/unlock() (Ming Lei) [2083917] - blk-cgroup: always terminate io.stat lines (Ming Lei) [2083917] - block, bfq: make bfq_has_work() more accurate (Ming Lei) [2083917] - block, bfq: protect 'bfqd->queued' by 'bfqd->lock' (Ming Lei) [2083917] - block: cleanup the VM accounting in submit_bio (Ming Lei) [2083917] - block: Fix the bio.bi_opf comment (Ming Lei) [2083917] - block: reorder the REQ_ flags (Ming Lei) [2083917] - blk-iocost: combine local_stat and desc_stat to stat (Ming Lei) [2083917] - block: improve the error message from bio_check_eod (Ming Lei) [2083917] - block: allow passing a NULL bdev to bio_alloc_clone/bio_init_clone (Ming Lei) [2083917] - block: remove superfluous calls to blkcg_bio_issue_init (Ming Lei) [2083917] - kthread: unexport kthread_blkcg (Ming Lei) [2083917] - blk-cgroup: cleanup blkcg_maybe_throttle_current (Ming Lei) [2083917] - blk-cgroup: cleanup blk_cgroup_congested (Ming Lei) [2083917] - blk-cgroup: move blkcg_css to blk-cgroup.c (Ming Lei) [2083917] - blk-cgroup: remove unneeded includes from <linux/blk-cgroup.h> (Ming Lei) [2083917] - blk-cgroup: remove pointless CONFIG_BLOCK ifdefs (Ming Lei) [2083917] - blk-cgroup: replace bio_blkcg with bio_blkcg_css (Ming Lei) [2083917] - blktrace: cleanup the __trace_note_message interface (Ming Lei) [2083917] - blk-cgroup: move struct blkcg to block/blk-cgroup.h (Ming Lei) [2083917] - blk-cgroup: move blkcg_{pin,unpin}_online out of line (Ming Lei) [2083917] - blk-cgroup: move blk_cgroup_congested out line (Ming Lei) [2083917] - blk-cgroup: move blkcg_{get,set}_fc_appid out of line (Ming Lei) [2083917] - nvme-fc: fold t fc_update_appid into fc_appid_store (Ming Lei) [2083917] - nvme-fc: don't support the appid attribute without CONFIG_BLK_CGROUP_FC_APPID (Ming Lei) [2083917] - blk-cgroup: remove __bio_blkcg (Ming Lei) [2083917] - block/partitions/ldm: Remove redundant assignments (Ming Lei) [2083917] - block/partitions/atari: Remove redundant assignment (Ming Lei) [2083917] - block/partitions/acorn: Remove redundant assignments (Ming Lei) [2083917] - block/blk-map: Remove redundant assignment (Ming Lei) [2083917] - block/badblocks: Remove redundant assignments (Ming Lei) [2083917] - block: change exported IO accounting interface from gendisk to bdev (Ming Lei) [2083917] - direct-io: remove random prefetches (Ming Lei) [2083917] - block: decouple REQ_OP_SECURE_ERASE from REQ_OP_DISCARD (Ming Lei) [2083917] - block: add a bdev_discard_granularity helper (Ming Lei) [2083917] - block: remove QUEUE_FLAG_DISCARD (Ming Lei) [2083917] - block: add a bdev_max_discard_sectors helper (Ming Lei) [2083917] - block: refactor discard bio size limiting (Ming Lei) [2083917] - block: move {bdev,queue_limit}_discard_alignment out of line (Ming Lei) [2083917] - block: use bdev_discard_alignment in part_discard_alignment_show (Ming Lei) [2083917] - block: remove queue_discard_alignment (Ming Lei) [2083917] - block: move bdev_alignment_offset and queue_limit_alignment_offset out of line (Ming Lei) [2083917] - block: use bdev_alignment_offset in disk_alignment_offset_show (Ming Lei) [2083917] - block: use bdev_alignment_offset in part_alignment_offset_show (Ming Lei) [2083917] - block: add a bdev_max_zone_append_sectors helper (Ming Lei) [2083917] - block: add a bdev_stable_writes helper (Ming Lei) [2083917] - block: add a bdev_fua helper (Ming Lei) [2083917] - block: add a bdev_write_cache helper (Ming Lei) [2083917] - block: add a bdev_nonrot helper (Ming Lei) [2083917] - mm: use bdev_is_zoned in claim_swapfile (Ming Lei) [2083917] - drbd: cleanup decide_on_discard_support (Ming Lei) [2083917] - drbd: use bdev_alignment_offset instead of queue_alignment_offset (Ming Lei) [2083917] - drbd: use bdev based limit helpers in drbd_send_sizes (Ming Lei) [2083917] - drbd: remove assign_p_sizes_qlim (Ming Lei) [2083917] - target: fix discard alignment on partitions (Ming Lei) [2083917] - target: pass a block_device to target_configure_unmap_from_queue (Ming Lei) [2083917] - target: remove an incorrect unmap zeroes data deduction (Ming Lei) [2083917] - bfq: Make sure bfqg for which we are queueing requests is online (Ming Lei) [2083917] - bfq: Get rid of __bio_blkcg() usage (Ming Lei) [2083917] - bfq: Track whether bfq_group is still online (Ming Lei) [2083917] - bfq: Remove pointless bfq_init_rq() calls (Ming Lei) [2083917] - bfq: Drop pointless unlock-lock pair (Ming Lei) [2083917] - bfq: Update cgroup information before merging bio (Ming Lei) [2083917] - bfq: Split shared queues on move between cgroups (Ming Lei) [2083917] - bfq: Avoid merging queues with different parents (Ming Lei) [2083917] - bfq: Avoid false marking of bic as stably merged (Ming Lei) [2083917] - pktcdvd: stop using bio_reset (Ming Lei) [2083917] - block: turn bio_kmalloc into a simple kmalloc wrapper (Ming Lei) [2083917] - target/pscsi: remove pscsi_get_bio (Ming Lei) [2083917] - squashfs: always use bio_kmalloc in squashfs_bio_read (Ming Lei) [2083917] - block: allow use of per-cpu bio alloc cache by block drivers (Ming Lei) [2083917] - block: allow using the per-cpu bio cache from bio_alloc_bioset (Ming Lei) [2083917] - blk-mq: fix passthrough plugging (Ming Lei) [2083917] - block: wire-up support for passthrough plugging (Ming Lei) [2083917] - scsi: block: Remove REQ_OP_WRITE_SAME support (Ming Lei) [2083917] - scsi: dm: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: md: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: sd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: rnbd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: drbd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: cxlflash: Query write_zeroes limit for zeroing (Ming Lei) [2083917] - block/mq-deadline: Set the fifo_time member also if inserting at head (Ming Lei) [2083917] - Revert "block: release rq qos structures for queue without disk" (Ming Lei) [2083917] - bfq: Fix warning in bfqq_request_over_limit() (Ming Lei) [2083917] - Revert "block: inherit request start time from bio for BLK_CGROUP" (Ming Lei) [2083917] - iocost: don't reset the inuse weight of under-weighted debtors (Ming Lei) [2083917] - block: don't print I/O error warning for dead disks (Ming Lei) [2083917] - block/compat_ioctl: fix range check in BLKGETSIZE (Ming Lei) [2083917] - block: null_blk: end timed out poll request (Ming Lei) [2083917] - block: fix offset/size check in bio_trim() (Ming Lei) [2083917] - asm-generic: fix __get_unaligned_be48() on 32 bit platforms (Ming Lei) [2083917] - block: move lower_48_bits() to block (Ming Lei) [2083917] - drbd: set QUEUE_FLAG_STABLE_WRITES (Ming Lei) [2083917] - drbd: fix an invalid memory access caused by incorrect use of list iterator (Ming Lei) [2083917] - drbd: Fix five use after free bugs in get_initial_state (Ming Lei) [2083917] - cdrom: remove unused variable (Ming Lei) [2083917] - Revert "nbd: fix possible overflow on 'first_minor' in nbd_dev_add()" (Ming Lei) [2083917] - drbd: remove check of list iterator against head past the loop body (Ming Lei) [2083917] - drbd: remove usage of list iterator variable after loop (Ming Lei) [2083917] - nbd: fix possible overflow on 'first_minor' in nbd_dev_add() (Ming Lei) [2083917] - MAINTAINERS: add drbd co-maintainer (Ming Lei) [2083917] - drbd: fix potential silent data corruption (Ming Lei) [2083917] - loop: fix ioctl calls using compat_loop_info (Ming Lei) [2083917] - n64cart: convert bi_disk to bi_bdev->bd_disk fix build (Ming Lei) [2083917] - blk-wbt: remove wbt_track stub (Ming Lei) [2083917] - block: use dedicated list iterator variable (Ming Lei) [2083917] - block: Fix the maximum minor value is blk_alloc_ext_minor() (Ming Lei) [2083917] - block: avoid calling blkg_free() in atomic context (Ming Lei) [2083917] - crypto: fix crc64 testmgr digest byte order (Ming Lei) [2083917] - nvme: add support for enhanced metadata (Ming Lei) [2083917] - block: add pi for extended integrity (Ming Lei) [2083917] - crypto: add rocksoft 64b crc guard tag framework (Ming Lei) [2083917] - lib: add rocksoft model crc64 (Ming Lei) [2083917] - linux/kernel: introduce lower_48_bits function (Ming Lei) [2083917] - asm-generic: introduce be48 unaligned accessors (Ming Lei) [2083917] - nvme: allow integrity on extended metadata formats (Ming Lei) [2083917] - block: support pi with extended metadata (Ming Lei) [2083917] - fs: do not pass __GFP_HIGHMEM to bio_alloc in do_mpage_readpage (Ming Lei) [2083917] - f2fs: pass the bio operation to bio_alloc_bioset (Ming Lei) [2083917] - f2fs: don't pass a bio to f2fs_target_device (Ming Lei) [2083917] - nilfs2: pass the operation to bio_alloc (Ming Lei) [2083917] - ext4: pass the operation to bio_alloc (Ming Lei) [2083917] - mpage: pass the operation to bio_alloc (Ming Lei) [2083917] - virtio_blk: eliminate anonymous module_init & module_exit (Ming Lei) [2083917] - floppy: use memcpy_{to,from}_bvec (Ming Lei) [2083917] - drbd: use bvec_kmap_local in recv_dless_read (Ming Lei) [2083917] - drbd: use bvec_kmap_local in drbd_csum_bio (Ming Lei) [2083917] - bcache: use bvec_kmap_local in bio_csum (Ming Lei) [2083917] - nvdimm-btt: use bvec_kmap_local in btt_rw_integrity (Ming Lei) [2083917] - nvdimm-blk: use bvec_kmap_local in nd_blk_rw_integrity (Ming Lei) [2083917] - zram: use memcpy_from_bvec in zram_bvec_write (Ming Lei) [2083917] - zram: use memcpy_to_bvec in zram_bvec_read (Ming Lei) [2083917] - aoe: use bvec_kmap_local in bvcpy (Ming Lei) [2083917] - iss-simdisk: use bvec_kmap_local in simdisk_submit_bio (Ming Lei) [2083917] - null_blk: null_alloc_page() cleanup (Ming Lei) [2083917] - null_blk: remove hardcoded null_alloc_page() param (Ming Lei) [2083917] - null_blk: remove hardcoded alloc_cmd() parameter (Ming Lei) [2083917] - loop: allow user to set the queue depth (Ming Lei) [2083917] - loop: remove extra variable in lo_req_flush (Ming Lei) [2083917] - loop: remove extra variable in lo_fallocate() (Ming Lei) [2083917] - loop: use sysfs_emit() in the sysfs xxx show() (Ming Lei) [2083917] - null_blk: fix return value from null_add_dev() (Ming Lei) [2083917] - loop: clean up grammar in warning message (Ming Lei) [2083917] - block/rnbd: Remove a useless mutex (Ming Lei) [2083917] - block/rnbd: client device does not care queue/rotational (Ming Lei) [2083917] - block/rnbd-clt: fix CHECK:BRACES warning (Ming Lei) [2083917] - block: cancel all throttled bios in del_gendisk() (Ming Lei) [2083917] - block: let blkcg_gq grab request queue's refcnt (Ming Lei) [2083917] - block: avoid use-after-free on throttle data (Ming Lei) [2083917] - block/bfq-iosched: Fix spelling mistake "tenative" -> "tentative" (Ming Lei) [2083917] - sr: simplify the local variable initialization in sr_block_open() (Ming Lei) [2083917] - block: don't merge across cgroup boundaries if blkcg is enabled (Ming Lei) [2083917] - block: move rq_qos_exit() into disk_release() (Ming Lei) [2083917] - block: do more work in elevator_exit (Ming Lei) [2083917] - block: move blk_exit_queue into disk_release (Ming Lei) [2083917] - block: move q_usage_counter release into blk_queue_release (Ming Lei) [2083917] - block: don't remove hctx debugfs dir from blk_mq_exit_queue (Ming Lei) [2083917] - block: move blkcg initialization/destroy into disk allocation/release handler (Ming Lei) [2083917] - sr: implement ->free_disk to simplify refcounting (Ming Lei) [2083917] - sd: implement ->free_disk to simplify refcounting (Ming Lei) [2083917] - blk-mq: handle already freed tags gracefully in blk_mq_free_rqs (Ming Lei) [2083917] - blk-mq: do not include passthrough requests in I/O accounting (Ming Lei) [2083917] - blk-mq: manage hctx map via xarray (Ming Lei) [2083917] - blk-mq: prepare for implementing hctx table via xarray (Ming Lei) [2083917] - block: mtip32xx: don't touch q->queue_hw_ctx (Ming Lei) [2083917] - blk-mq: reconfigure poll after queue map is changed (Ming Lei) [2083917] - blk-mq: simplify reallocation of hw ctxs a bit (Ming Lei) [2083917] - blk-mq: figure out correct numa node for hw queue (Ming Lei) [2083917] - Revert "Revert "block, bfq: honor already-setup queue merges"" (Ming Lei) [2083917] - block: remove bio_devname (Ming Lei) [2083917] - raid5-ppl: stop using bio_devname (Ming Lei) [2083917] - raid1: stop using bio_devname (Ming Lei) [2083917] - md-multipath: stop using bio_devname (Ming Lei) [2083917] - dm-integrity: stop using bio_devname (Ming Lei) [2083917] - dm-crypt: stop using bio_devname (Ming Lei) [2083917] - pktcdvd: remove a pointless debug check in pkt_submit_bio (Ming Lei) [2083917] - block: remove handle_bad_sector (Ming Lei) [2083917] - block: fix and cleanup bio_check_ro (Ming Lei) [2083917] - bfq: fix use-after-free in bfq_dispatch_request (Ming Lei) [2083917] - blk-crypto: show crypto capabilities in sysfs (Ming Lei) [2083917] - block: don't delete queue kobject before its children (Ming Lei) [2083917] - block: simplify calling convention of elv_unregister_queue() (Ming Lei) [2083917] - block: remove redundant semicolon (Ming Lei) [2083917] - block: default BLOCK_LEGACY_AUTOLOAD to y (Ming Lei) [2083917] - block, bfq: don't move oom_bfqq (Ming Lei) [2083917] - block, bfq: avoid moving bfqq to it's parent bfqg (Ming Lei) [2083917] - block, bfq: cleanup bfq_bfqq_to_bfqg() (Ming Lei) [2083917] - block/bfq_wf2q: correct weight to ioprio (Ming Lei) [2083917] - blk-mq: avoid extending delays of active hctx from blk_mq_delay_run_hw_queues (Ming Lei) [2083917] - virtio_blk: simplify refcounting (Ming Lei) [2083917] - memstick/mspro_block: simplify refcounting (Ming Lei) [2083917] - memstick/mspro_block: fix handling of read-only devices (Ming Lei) [2083917] - memstick/ms_block: simplify refcounting (Ming Lei) [2083917] - block: add a ->free_disk method (Ming Lei) [2083917] - block: revert 4f1e9630afe6 ("blk-throtl: optimize IOPS throttle for large IO scenarios") (Ming Lei) [2083917] - block: don't try to throttle split bio if iops limit isn't set (Ming Lei) [2083917] - block: throttle split bio in case of iops limit (Ming Lei) [2083917] - block: merge submit_bio_checks() into submit_bio_noacct (Ming Lei) [2083917] - block: don't check bio in blk_throtl_dispatch_work_fn (Ming Lei) [2083917] - block: don't declare submit_bio_checks in local header (Ming Lei) [2083917] - block: move blk_crypto_bio_prep() out of blk-mq.c (Ming Lei) [2083917] - block: move submit_bio_checks() into submit_bio_noacct (Ming Lei) [2083917] - dm: remove dm_dispatch_clone_request (Ming Lei) [2083917] - dm: remove useless code from dm_dispatch_clone_request (Ming Lei) [2083917] - blk-mq: remove the request_queue argument to blk_insert_cloned_request (Ming Lei) [2083917] - blk-mq: fold blk_cloned_rq_check_limits into blk_insert_cloned_request (Ming Lei) [2083917] - blk-mq: make the blk-mq stacking code optional (Ming Lei) [2083917] - blk-cgroup: set blkg iostat after percpu stat aggregation (Ming Lei) [2083917] - blk-lib: don't check bdev_get_queue() NULL check (Ming Lei) [2083917] - block: remove biodoc.rst (Ming Lei) [2083917] - docs: block: biodoc.rst: Drop the obsolete and incorrect content (Ming Lei) [2083917] - block: partition include/linux/blk-cgroup.h (Ming Lei) [2083917] - block: move initialization of q->blkg_list into blkcg_init_queue (Ming Lei) [2083917] - block: remove THROTL_IOPS_MAX (Ming Lei) [2083917] - block: introduce block_rq_error tracepoint (Ming Lei) [2083917] - sbitmap: Delete old sbitmap_queue_get_shallow() (Ming Lei) [2083917] - block: pass a block_device to bio_clone_fast (Ming Lei) [2083917] - block: initialize the target bio in __bio_clone_fast (Ming Lei) [2083917] - dm: use bio_clone_fast in alloc_io/alloc_tio (Ming Lei) [2083917] - block: clone crypto and integrity data in __bio_clone_fast (Ming Lei) [2083917] - dm-cache: remove __remap_to_origin_clear_discard (Ming Lei) [2083917] - dm: simplify the single bio fast path in __send_duplicate_bios (Ming Lei) [2083917] - dm: retun the clone bio from alloc_tio (Ming Lei) [2083917] - dm: pass the bio instead of tio to __map_bio (Ming Lei) [2083917] - dm: move cloning the bio into alloc_tio (Ming Lei) [2083917] - dm: fold __send_duplicate_bios into __clone_and_map_simple_bio (Ming Lei) [2083917] - dm: fold clone_bio into __clone_and_map_data_bio (Ming Lei) [2083917] - dm: add a clone_to_tio helper (Ming Lei) [2083917] - drbd: set ->bi_bdev in drbd_req_new (Ming Lei) [2083917] - block: call bio_associate_blkg from bio_reset (Ming Lei) [2083917] - scsi: use BLK_STS_OFFLINE for not fully online devices (Ming Lei) [2083917] - block: return -ENODEV for BLK_STS_OFFLINE (Ming Lei) [2083917] - block: introduce BLK_STS_OFFLINE (Ming Lei) [2083917] - block: fix boolreturn.cocci warning (Ming Lei) [2083917] - MAINTAINERS: add bio.h to the block section (Ming Lei) [2083917] - block: fix the kerneldoc for bio_end_io_acct (Ming Lei) [2083917] - block: check that there is a plug in blk_flush_plug (Ming Lei) [2083917] - block: remove blk_needs_flush_plug (Ming Lei) [2083917] - block: pass a block_device and opf to bio_reset (Ming Lei) [2083917] - block: pass a block_device and opf to bio_init (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc_kiocb (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc_bioset (Ming Lei) [2083917] - block: pass a block_device and opf to blk_next_bio (Ming Lei) [2083917] - block: move blk_next_bio to bio.c (Ming Lei) [2083917] - xen-blkback: bio_alloc can't fail if it is allow to sleep (Ming Lei) [2083917] - rnbd-srv: remove struct rnbd_dev_blk_io (Ming Lei) [2083917] - rnbd-srv: simplify bio mapping in process_rdma (Ming Lei) [2083917] - drbd: bio_alloc can't fail if it is allow to sleep (Ming Lei) [2083917] - dm-thin: use blkdev_issue_flush instead of open coding it (Ming Lei) [2083917] - dm-snap: use blkdev_issue_flush instead of open coding it (Ming Lei) [2083917] - dm-crypt: remove clone_init (Ming Lei) [2083917] - dm: bio_alloc can't fail if it is allowed to sleep (Ming Lei) [2083917] - nfs/blocklayout: remove bl_alloc_init_bio (Ming Lei) [2083917] - nilfs2: remove nilfs_alloc_seg_bio (Ming Lei) [2083917] - fs: remove mpage_alloc (Ming Lei) [2083917] - block: remove genhd.h (Ming Lei) [2083917] - block: move blk_drop_partitions to blk.h (Ming Lei) [2083917] - block: move disk_{block,unblock,flush}_events to blk.h (Ming Lei) [2083917] - block: deprecate autoloading based on dev_t (Ming Lei) [2083917] - zram_drv: allow reclaim on bio_alloc (Ming Lei) [2083917] - block: Add bio_for_each_folio_all() (Ming Lei) [2083917] - block: Add bio_add_folio() (Ming Lei) [2083917] - [s390] s390/zcrypt: Filter admin CPRBs on custom devices (Mete Durlu) [2076921] - [s390] s390/zcrypt: CCA control CPRB sending (Mete Durlu) [2076921] - [s390] s390/zcrypt: Add admask to zcdn (Mete Durlu) [2076921] - ntb: intel: fix port config status offset for SPR (John W. Linville) [2048751] - NTB/msi: Use struct_size() helper in devm_kzalloc() (John W. Linville) [2048751] - ntb_hw_switchtec: Fix a minor issue in config_req_id_table() (John W. Linville) [2048751] - ntb_hw_switchtec: Remove code for disabling ID protection (John W. Linville) [2048751] - ntb_hw_switchtec: Update the way of getting VEP instance ID (John W. Linville) [2048751] - ntb_hw_switchtec: AND with the part_map for a valid tpart_vec (John W. Linville) [2048751] - ntb_hw_switchtec: Fix bug with more than 32 partitions (John W. Linville) [2048751] - ntb_hw_switchtec: Fix pff ioread to read into mmio_part_cfg_all (John W. Linville) [2048751] - ntb_hw_switchtec: fix the spelling of "its" (John W. Linville) [2048751] - NTB/msi: Fix ntbm_msi_request_threaded_irq() kernel-doc comment (John W. Linville) [2048751] - ntb_hw_amd: Add NTB PCI ID for new gen CPU (John W. Linville) [2048751] - NTB: switch from 'pci_' to 'dma_' API (John W. Linville) [2048751] - ntb: ntb_pingpong: remove redundant initialization of variables msg_data and spad_data (John W. Linville) [2048751] - NTB: perf: Fix an error code in perf_setup_inbuf() (John W. Linville) [2048751] - NTB: Fix an error code in ntb_msit_probe() (John W. Linville) [2048751] - ntb: intel: remove invalid email address in header comment (John W. Linville) [2048751] - redhat: spec: trigger dracut when modules are installed separately (Jan Stancek) [2062518] Resolves: rhbz#2095826, rhbz#2096401, rhbz#2054337, rhbz#2091880, rhbz#2090409, rhbz#2083917, rhbz#2076921, rhbz#2048751, rhbz#2062518 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-27 08:00:43 +00:00
CONFIG_BLOCK_LEGACY_AUTOLOAD=y
# CONFIG_BMA180 is not set
# CONFIG_BMA220 is not set
# CONFIG_BMA400 is not set
# CONFIG_BMC150_ACCEL is not set
# CONFIG_BMC150_MAGN_I2C is not set
# CONFIG_BMC150_MAGN_SPI is not set
# CONFIG_BME680 is not set
# CONFIG_BMG160 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_BMI088_ACCEL is not set
# CONFIG_BMI160_I2C is not set
# CONFIG_BMI160_SPI is not set
# CONFIG_BMP280 is not set
# CONFIG_BNA is not set
CONFIG_BNX2=m
CONFIG_BNX2X=m
CONFIG_BNX2X_SRIOV=y
CONFIG_BNXT_DCB=y
CONFIG_BNXT_FLOWER_OFFLOAD=y
# CONFIG_BNXT_HWMON is not set
CONFIG_BNXT=m
CONFIG_BNXT_SRIOV=y
CONFIG_BONDING=m
CONFIG_BOOT_CONFIG=y
# CONFIG_BOOTPARAM_HARDLOCKUP_PANIC is not set
# CONFIG_BOOTPARAM_HOTPLUG_CPU0 is not set
# CONFIG_BOOTPARAM_HUNG_TASK_PANIC is not set
# CONFIG_BOOTPARAM_SOFTLOCKUP_PANIC is not set
CONFIG_BOOT_PRINTK_DELAY=y
CONFIG_BOOTTIME_TRACING=y
CONFIG_BOOTX_TEXT=y
CONFIG_BPF_EVENTS=y
# CONFIG_BPFILTER is not set
CONFIG_BPF_JIT_ALWAYS_ON=y
CONFIG_BPF_JIT=y
# CONFIG_BPF_KPROBE_OVERRIDE is not set
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_BPF_LSM=y
# CONFIG_BPF_PRELOAD is not set
CONFIG_BPF_STREAM_PARSER=y
CONFIG_BPF_SYSCALL=y
CONFIG_BPF_UNPRIV_DEFAULT_OFF=y
CONFIG_BRANCH_PROFILE_NONE=y
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
CONFIG_BRCMDBG=y
CONFIG_BRCMFMAC=m
CONFIG_BRCMFMAC_PCIE=y
CONFIG_BRCMFMAC_SDIO=y
CONFIG_BRCMFMAC_USB=y
CONFIG_BRCMSMAC=m
# CONFIG_BRCMSTB_GISB_ARB is not set
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
CONFIG_BRCM_TRACING=y
# CONFIG_BRIDGE_CFM is not set
CONFIG_BRIDGE_EBT_802_3=m
CONFIG_BRIDGE_EBT_AMONG=m
CONFIG_BRIDGE_EBT_ARP=m
CONFIG_BRIDGE_EBT_ARPREPLY=m
CONFIG_BRIDGE_EBT_BROUTE=m
CONFIG_BRIDGE_EBT_DNAT=m
CONFIG_BRIDGE_EBT_IP6=m
CONFIG_BRIDGE_EBT_IP=m
CONFIG_BRIDGE_EBT_LIMIT=m
CONFIG_BRIDGE_EBT_LOG=m
CONFIG_BRIDGE_EBT_MARK=m
CONFIG_BRIDGE_EBT_MARK_T=m
CONFIG_BRIDGE_EBT_NFLOG=m
CONFIG_BRIDGE_EBT_PKTTYPE=m
CONFIG_BRIDGE_EBT_REDIRECT=m
CONFIG_BRIDGE_EBT_SNAT=m
CONFIG_BRIDGE_EBT_STP=m
CONFIG_BRIDGE_EBT_T_FILTER=m
CONFIG_BRIDGE_EBT_T_NAT=m
CONFIG_BRIDGE_EBT_VLAN=m
CONFIG_BRIDGE_IGMP_SNOOPING=y
CONFIG_BRIDGE=m
# CONFIG_BRIDGE_MRP is not set
CONFIG_BRIDGE_NETFILTER=m
CONFIG_BRIDGE_NF_EBTABLES=m
CONFIG_BRIDGE_VLAN_FILTERING=y
CONFIG_BROADCOM_PHY=m
CONFIG_BSD_DISKLABEL=y
CONFIG_BSD_PROCESS_ACCT_V3=y
CONFIG_BSD_PROCESS_ACCT=y
# CONFIG_BT_6LOWPAN is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_BT_AOSPEXT is not set
CONFIG_BT_ATH3K=m
CONFIG_BT_BCM=m
CONFIG_BT_BNEP=m
CONFIG_BT_BNEP_MC_FILTER=y
CONFIG_BT_BNEP_PROTO_FILTER=y
CONFIG_BT_BREDR=y
CONFIG_BT_DEBUGFS=y
CONFIG_BT_HCIBCM203X=m
CONFIG_BT_HCIBFUSB=m
CONFIG_BT_HCIBPA10X=m
CONFIG_BT_HCIBTSDIO=m
CONFIG_BT_HCIBTUSB_AUTOSUSPEND=y
CONFIG_BT_HCIBTUSB_BCM=y
CONFIG_BT_HCIBTUSB=m
kernel-5.14.0-137.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-137.el9] - md: fix double free of io_acct_set bioset (Nigel Croxon) [2105293] - md: Don't set mddev private to NULL in raid0 pers->free (Nigel Croxon) [2105293] - md: remove most calls to bdevname (Nigel Croxon) [2105293] - md: protect md_unregister_thread from reentrancy (Nigel Croxon) [2105293] - md: don't unregister sync_thread with reconfig_mutex held (Nigel Croxon) [2105293] - md: Replace role magic numbers with defined constants (Nigel Croxon) [2105293] - md/raid0: Ignore RAID0 layout if the second zone has only one device (Nigel Croxon) [2105293] - md/raid5: Annotate functions that hold device_lock with __must_hold (Nigel Croxon) [2105293] - md/raid5-ppl: Annotate with rcu_dereference_protected() (Nigel Croxon) [2105293] - md/raid5: Annotate rdev/replacement access when mddev_lock is held (Nigel Croxon) [2105293] - md/raid5: Annotate rdev/replacement accesses when nr_pending is elevated (Nigel Croxon) [2105293] - md/raid5: Add __rcu annotation to struct disk_info (Nigel Croxon) [2105293] - md/raid5: Un-nest struct raid5_percpu definition (Nigel Croxon) [2105293] - md/raid5: Cleanup setup_conf() error returns (Nigel Croxon) [2105293] - md: replace deprecated strlcpy & remove duplicated line (Nigel Croxon) [2105293] - md/bitmap: don't set sb values if can't pass sanity check (Nigel Croxon) [2105293] - md: fix an incorrect NULL check in md_reload_sb (Nigel Croxon) [2105293] - md: fix an incorrect NULL check in does_sb_need_changing (Nigel Croxon) [2105293] - raid5: introduce MD_BROKEN (Nigel Croxon) [2105293] - md: Set MD_BROKEN for RAID1 and RAID10 (Nigel Croxon) [2105293] - raid5: initialize the stripe_head embeeded bios as needed (Nigel Croxon) [2105293] - raid5-cache: statically allocate the recovery ra bio (Nigel Croxon) [2105293] - raid5-cache: fully initialize flush_bio when needed (Nigel Croxon) [2105293] - raid5-ppl: fully initialize the bio in ppl_new_iounit (Nigel Croxon) [2105293] - md: use msleep() in md_notify_reboot() (Nigel Croxon) [2105293] - md: raid1/raid10: drop pending_cnt (Nigel Croxon) [2105293] - net: Don't include filter.h from net/sock.h (Petr Oros) [2101792] - sfc: fix considering that all channels have TX queues (Íñigo Huguet) [2106076] - sfc: fix wrong tx channel offset with efx_separate_tx_channels (Íñigo Huguet) [2106076] - NFSv4.1 support for NFS4_RESULT_PRESERVER_UNLINKED (Scott Mayhew) [2066368] - bpf: Fix request_sock leak in sk lookup helpers (Felix Maurer) [2102742] - xsk: Fix generic transmit when completion queue reservation fails (Felix Maurer) [2102742] - xsk: Fix handling of invalid descriptors in XSK TX batching API (Felix Maurer) [2102742] - xsk: Fix possible crash when multiple sockets are created (Felix Maurer) [2102742] - xsk: Fix l2fwd for copy mode + busy poll combo (Felix Maurer) [2102742] - bpf: Support dual-stack sockets in bpf_tcp_check_syncookie (Felix Maurer) [2102742] - xsk: Fix race at socket teardown (Felix Maurer) [2102742] - netdevsim: don't overwrite read only ethtool parms (Antoine Tenart) [2101836] - Bluetooth: btmtksdio: fix possible FW initialization failure (Gopal Tiwari) [2097262] - Bluetooth: btmtksdio: fix the reset takes too long (Gopal Tiwari) [2097262] - Bluetooth: btmtksdio: Fix kernel oops when sdio suspend. (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: support bluetooth reset mechanism (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: fix btmtksdio_[drv|fw]_pmctrl() (Gopal Tiwari) [2097262] - Bluetooth: btmtksdio: refactor btmtksdio_runtime_[suspend|resume]() (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: fix bus hang with wrong privilege (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: Enable SCO over I2S (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: fix firmware coredump retrieve (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: Support wake on bluetooth (Gopal Tiwari) [2097262] - Enable MTK BT Support for RHEL (Gopal Tiwari) [2097262] - redhat: workaround CKI cross compilation for scripts (Jan Stancek) [2101805] - redhat/configs: Support QAT devices for x86 only (Vladis Dronov) [2040726] - crypto: qat - expose device config through sysfs for 4xxx (Vladis Dronov) [2040726] - crypto: qat - relocate and rename adf_sriov_prepare_restart() (Vladis Dronov) [2040726] - crypto: qat - change behaviour of adf_cfg_add_key_value_param() (Vladis Dronov) [2040726] - crypto: qat - expose device state through sysfs for 4xxx (Vladis Dronov) [2040726] - crypto: qat - Removes the x86 dependency on the QAT drivers (Vladis Dronov) [2040726] - crypto: qat - replace get_current_node() with numa_node_id() (Vladis Dronov) [2040726] - crypto: qat - add support for 401xx devices (Vladis Dronov) [2040726] - crypto: qat - re-enable registration of algorithms (Vladis Dronov) [2040726] - crypto: qat - honor CRYPTO_TFM_REQ_MAY_SLEEP flag (Vladis Dronov) [2040726] - crypto: qat - add param check for DH (Vladis Dronov) [2040726] - crypto: qat - add param check for RSA (Vladis Dronov) [2040726] - crypto: qat - remove dma_free_coherent() for DH (Vladis Dronov) [2040726] - crypto: qat - fix memory leak in RSA (Vladis Dronov) [2040726] - crypto: qat - add backlog mechanism (Vladis Dronov) [2040726] - crypto: qat - refactor submission logic (Vladis Dronov) [2040726] - crypto: qat - use pre-allocated buffers in datapath (Vladis Dronov) [2040726] - crypto: qat - set to zero DH parameters before free (Vladis Dronov) [2040726] - crypto: qat - Fix unsigned function returning negative constant (Vladis Dronov) [2040726] - crypto: qat - remove line wrapping for pfvf_ops functions (Vladis Dronov) [2040726] - crypto: qat - use u32 variables in all GEN4 pfvf_ops (Vladis Dronov) [2040726] - crypto: qat - replace disable_vf2pf_interrupts() (Vladis Dronov) [2040726] - crypto: qat - leverage the GEN2 VF mask definiton (Vladis Dronov) [2040726] - crypto: qat - rework the VF2PF interrupt handling logic (Vladis Dronov) [2040726] - crypto: qat - fix off-by-one error in PFVF debug print (Vladis Dronov) [2040726] - crypto: qat - fix wording and formatting in code comment (Vladis Dronov) [2040726] - crypto: qat - test PFVF registers for spurious interrupts on GEN4 (Vladis Dronov) [2040726] - crypto: qat - add check for invalid PFVF protocol version 0 (Vladis Dronov) [2040726] - crypto: qat - add missing restarting event notification in VFs (Vladis Dronov) [2040726] - crypto: qat - remove unnecessary tests to detect PFVF support (Vladis Dronov) [2040726] - crypto: qat - remove unused PFVF stubs (Vladis Dronov) [2040726] - crypto: qat - remove unneeded braces (Vladis Dronov) [2040726] - crypto: qat - fix ETR sources enabled by default on GEN2 devices (Vladis Dronov) [2040726] - crypto: qat - set COMPRESSION capability for DH895XCC (Vladis Dronov) [2040726] - crypto: qat - set CIPHER capability for DH895XCC (Vladis Dronov) [2040726] - crypto: qat - stop using iommu_present() (Vladis Dronov) [2040726] - crypto: qat - fix initialization of pfvf rts_map_msg structures (Vladis Dronov) [2040726] - crypto: qat - fix initialization of pfvf cap_msg structures (Vladis Dronov) [2040726] - crypto: qat - remove unneeded assignment (Vladis Dronov) [2040726] - crypto: qat - disable registration of algorithms (Vladis Dronov) [2040726] - crypto: qat - enable power management for QAT GEN4 (Vladis Dronov) [2040726] - crypto: qat - move and rename GEN4 error register definitions (Vladis Dronov) [2040726] - crypto: qat - add misc workqueue (Vladis Dronov) [2040726] - crypto: qat - don't cast parameter in bit operations (Vladis Dronov) [2040726] - crypto: qat - fix access to PFVF interrupt registers for GEN4 (Vladis Dronov) [2040726] - crypto: qat - fix a signedness bug in get_service_enabled() (Vladis Dronov) [2040726] - e1000e: Fix possible overflow in LTR decoding (Ken Cox) [2037986] - e1000e: Print PHY register address when MDI read/write fails (Ken Cox) [2037986] - e1000e: Correct NVM checksum verification flow (Ken Cox) [2037986] - e1000e: Fix possible HW unit hang after an s0ix exit (Ken Cox) [2037986 2049953] - e1000e: Handshake with CSME starts from ADL platforms (Ken Cox) [2037986 2049953] - e1000e: Separate ADP board type from TGP (Ken Cox) [2037986 2049953] - e1000e: Fix packet loss on Tiger Lake and later (Ken Cox) [2037986] - e1000e: Separate TGP board type from SPT (Ken Cox) [2037986] - e1000e: Remove redundant statement (Ken Cox) [2037986] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ken Cox) [2037986] - net: e1000e: solve insmod 'Unknown symbol mutex_lock' error (Ken Cox) [2037986] - net/e1000e: Fix spelling mistake "The" -> "This" (Ken Cox) [2037986] - e1000e: Add space to the debug print (Ken Cox) [2037986] - e1000e: Add support for Lunar Lake (Ken Cox) [2037986] - e1000e: Additional PHY power saving in S0ix (Ken Cox) [2037986 2049953] - e1000e: Add polling mechanism to indicate CSME DPG exit (Ken Cox) [2037986 2049953] - e1000e: Add handshake with the CSME to support S0ix (Ken Cox) [2037986 2049953] - cpuidle,intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE (Lenny Szubowicz) [2075710] - intel_idle: Add AlderLake support (Lenny Szubowicz) [2075710] - intel_idle: Fix SPR C6 optimization (Lenny Szubowicz) [2075710] - intel_idle: Fix the 'preferred_cstates' module parameter (Lenny Szubowicz) [2075710] - cpuidle: intel_idle: Update intel_idle() kerneldoc comment (Lenny Szubowicz) [2075710] Resolves: rhbz#2105293, rhbz#2101792, rhbz#2106076, rhbz#2066368, rhbz#2102742, rhbz#2101836, rhbz#2097262, rhbz#2101805, rhbz#2040726, rhbz#2037986, rhbz#2049953, rhbz#2075710 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 13:40:51 +00:00
CONFIG_BT_HCIBTUSB_MTK=y
CONFIG_BT_HCIBTUSB_RTL=y
# CONFIG_BT_HCIUART_AG6XX is not set
CONFIG_BT_HCIUART_ATH3K=y
CONFIG_BT_HCIUART_BCSP=y
CONFIG_BT_HCIUART_H4=y
# CONFIG_BT_HCIUART_INTEL is not set
CONFIG_BT_HCIUART=m
CONFIG_BT_HCIVHCI=m
CONFIG_BT_HIDP=m
# CONFIG_BT_HS is not set
CONFIG_BT_INTEL=m
# CONFIG_BT_LEDS is not set
CONFIG_BT_LE=y
CONFIG_BT=m
CONFIG_BT_MRVL=m
CONFIG_BT_MRVL_SDIO=m
# CONFIG_BT_MSFTEXT is not set
# CONFIG_BT_MTKSDIO is not set
CONFIG_BT_RFCOMM=m
CONFIG_BT_RFCOMM_TTY=y
# CONFIG_BTRFS_FS is not set
CONFIG_BT_RTL=m
# CONFIG_BT_SELFTEST is not set
CONFIG_BTT=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_BT_VIRTIO is not set
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_BUG_ON_DATA_CORRUPTION=y
CONFIG_BUILD_SALT=""
# CONFIG_C2PORT is not set
# CONFIG_CACHEFILES_DEBUG is not set
kernel-5.14.0-165.el9 * Sat Sep 17 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-165.el9] - sysctl: returns -EINVAL when a negative value is passed to proc_doulongvec_minmax (Vratislav Bendel) [2121392] - nvme-fc: fix the fc_appid_store return value (Ewan D. Milne) [2113035] - i2c: ismt: prevent memory corruption in ismt_access() (David Arcari) [2125582] {CVE-2022-3077} - scsi: zfcp: Fix missing auto port scan and thus missing target ports (Tobias Huschle) [2121088] - s390/mmap: increase stack/mmap gap to 128MB (Tobias Huschle) [2080165] - s390/vdso: add vdso randomization (Tobias Huschle) [2080165] - s390/vdso: map vdso above stack (Tobias Huschle) [2080165] - s390/vdso: move vdso mapping to its own function (Tobias Huschle) [2080165] - netfs: do not unlock and put the folio twice (Jeffrey Layton) [1229736] - netfs: Rename the netfs_io_request cleanup op and give it an op pointer (Jeffrey Layton) [1229736] - ceph: call netfs_subreq_terminated with was_async == false (Jeffrey Layton) [1229736] - ceph: fix decoding of client session messages flags (Jeffrey Layton) [1229736] - netfs: Fix gcc-12 warning by embedding vfs inode in netfs_i_context (Jeffrey Layton) [1229736] - netfs: Eliminate Clang randstruct warning (Jeffrey Layton) [1229736] - ceph: replace usage of found with dedicated list iterator variable (Jeffrey Layton) [1229736] - Invalidate fscache cookie only when inode attributes are changed. (Jeffrey Layton) [1229736] - fscache: add tracepoint when failing cookie (Jeffrey Layton) [1229736] - fscache: don't leak cookie access refs if invalidation is in progress or failed (Jeffrey Layton) [1229736] - vfs: Check the truncate maximum size in inode_newsize_ok() (Jeffrey Layton) [1229736] - fscache: Fix invalidation/lookup race (Jeffrey Layton) [1229736] - cachefiles: narrow the scope of flushed requests when releasing fd (Jeffrey Layton) [1229736] - fscache: Introduce fscache_cookie_is_dropped() (Jeffrey Layton) [1229736] - fscache: Fix if condition in fscache_wait_on_volume_collision() (Jeffrey Layton) [1229736] - NFS: Pass i_size to fscache_unuse_cookie() when a file is released (Jeffrey Layton) [1229736] - NFS: Remove remaining dfprintks related to fscache and remove NFSDBG_FSCACHE (Jeffrey Layton) [1229736] - NFS: Replace dfprintks with tracepoints in fscache read and write page functions (Jeffrey Layton) [1229736] - NFS: Rename fscache read and write pages functions (Jeffrey Layton) [1229736] - NFS: Cleanup usage of nfs_inode in fscache interface (Jeffrey Layton) [1229736] - config: disable CONFIG_CACHEFILES_ERROR_INJECTION and CONFIG_CACHEFILES_ONDEMAND (Jeffrey Layton) [1229736] - afs: Fix afs_getattr() to refetch file status if callback break occurred (Jeffrey Layton) [1229736] - cachefiles: add tracepoints for on-demand read mode (Jeffrey Layton) [1229736] - cachefiles: enable on-demand read mode (Jeffrey Layton) [1229736] - cachefiles: implement on-demand read (Jeffrey Layton) [1229736] - cachefiles: notify the user daemon when withdrawing cookie (Jeffrey Layton) [1229736] - cachefiles: unbind cachefiles gracefully in on-demand mode (Jeffrey Layton) [1229736] - cachefiles: notify the user daemon when looking up cookie (Jeffrey Layton) [1229736] - cachefiles: extract write routine (Jeffrey Layton) [1229736] - fscache: remove FSCACHE_OLD_API Kconfig option (Jeffrey Layton) [1229736] - fscache: Use wrapper fscache_set_cache_state() directly when relinquishing (Jeffrey Layton) [1229736] - fscache: Move fscache_cookies_seq_ops specific code under CONFIG_PROC_FS (Jeffrey Layton) [1229736] - fscache: Remove the cookie parameter from fscache_clear_page_bits() (Jeffrey Layton) [1229736] - cachefiles: Fix KASAN slab-out-of-bounds in cachefiles_set_volume_xattr (Jeffrey Layton) [1229736] - cachefiles: unmark inode in use in error path (Jeffrey Layton) [1229736] - ceph: uninitialized variable in debug output (Jeffrey Layton) [1229736] - afs: Maintain netfs_i_context::remote_i_size (Jeffrey Layton) [1229736] - netfs: Split some core bits out into their own file (Jeffrey Layton) [1229736] - netfs: Split fs/netfs/read_helper.c (Jeffrey Layton) [1229736] - netfs: Rename read_helper.c to io.c (Jeffrey Layton) [1229736] - netfs: Prepare to split read_helper.c (Jeffrey Layton) [1229736] - netfs: Add a function to consolidate beginning a read (Jeffrey Layton) [1229736] - netfs: Keep track of the actual remote file size (Jeffrey Layton) [1229736] - netfs: Add a netfs inode context (Jeffrey Layton) [1229736] - ceph: Make ceph_init_request() check caps on readahead (Jeffrey Layton) [1229736] - netfs: Change ->init_request() to return an error code (Jeffrey Layton) [1229736] - netfs: Refactor arguments for netfs_alloc_read_request (Jeffrey Layton) [1229736] - netfs: Adjust the netfs_failure tracepoint to indicate non-subreq lines (Jeffrey Layton) [1229736] - netfs: Trace refcounting on the netfs_io_subrequest struct (Jeffrey Layton) [1229736] - netfs: Trace refcounting on the netfs_io_request struct (Jeffrey Layton) [1229736] - netfs: Adjust the netfs_rreq tracepoint slightly (Jeffrey Layton) [1229736] - netfs: Split netfs_io_* object handling out (Jeffrey Layton) [1229736] - netfs: Finish off rename of netfs_read_request to netfs_io_request (Jeffrey Layton) [1229736] - netfs: Rename netfs_read_*request to netfs_io_*request (Jeffrey Layton) [1229736] - netfs: Generate enums from trace symbol mapping lists (Jeffrey Layton) [1229736] - fscache: export fscache_end_operation() (Jeffrey Layton) [1229736] - cachefiles: Fix volume coherency attribute (Jeffrey Layton) [1229736] - afs: Fix potential thrashing in afs writeback (Jeffrey Layton) [1229736] - cachefiles: Fix incorrect length to fallocate() (Jeffrey Layton) [1229736] - ceph: uninline the data on a file opened for writing (Jeffrey Layton) [1229736] - ceph: make ceph_netfs_issue_op() handle inlined data (Jeffrey Layton) [1229736] - cifs: Implement cache I/O by accessing the cache directly (Jeffrey Layton) [1229736] - cifs: Transition from ->readpages() to ->readahead() (Jeffrey Layton) [1229736] - netfs, cachefiles: Add a method to query presence of data in the cache (Jeffrey Layton) [1229736] - Revert "fs/9p: search open fids first" (Jeffrey Layton) [1229736] - netfs: Make ops->init_rreq() optional (Jeffrey Layton) [1229736] - fscache: Add a comment explaining how page-release optimisation works (Jeffrey Layton) [1229736] - cachefiles: Check that the backing filesystem supports tmpfiles (Jeffrey Layton) [1229736] - cachefiles: Explain checks in a comment (Jeffrey Layton) [1229736] - cachefiles: Trace active-mark failure (Jeffrey Layton) [1229736] - cachefiles: Make some tracepoint adjustments (Jeffrey Layton) [1229736] - cachefiles: set default tag name if it's unspecified (Jeffrey Layton) [1229736] - cachefiles: Calculate the blockshift in terms of bytes, not pages (Jeffrey Layton) [1229736] - fscache: Fix the volume collision wait condition (Jeffrey Layton) [1229736] - cifs: Support fscache indexing rewrite (Jeffrey Layton) [1229736] - 9p, afs, ceph, nfs: Use current_is_kswapd() rather than gfpflags_allow_blocking() (Jeffrey Layton) [1229736] - fscache: Add a tracepoint for cookie use/unuse (Jeffrey Layton) [1229736] - ceph: add fscache writeback support (Jeffrey Layton) [1229736] - ceph: conversion to new fscache API (Jeffrey Layton) [1229736] - 9p: fix enodata when reading growing file (Jeffrey Layton) [1229736] - nfs: Implement cache I/O by accessing the cache directly (Jeffrey Layton) [1229736] - nfs: Convert to new fscache volume/cookie API (Jeffrey Layton) [1229736] - 9p: Copy local writes to the cache when writing to the server (Jeffrey Layton) [1229736] - 9p: Use fscache indexing rewrite and reenable caching (Jeffrey Layton) [1229736] - 9p: only copy valid iattrs in 9P2000.L setattr implementation (Jeffrey Layton) [1229736] - 9p: Use BUG_ON instead of if condition followed by BUG. (Jeffrey Layton) [1229736] - afs: Skip truncation on the server of data we haven't written yet (Jeffrey Layton) [1229736] - afs: Copy local writes to the cache when writing to the server (Jeffrey Layton) [1229736] - afs: Convert afs to use the new fscache API (Jeffrey Layton) [1229736] - fscache, cachefiles: Display stat of culling events (Jeffrey Layton) [1229736] - fscache, cachefiles: Display stats of no-space events (Jeffrey Layton) [1229736] - cachefiles: Allow cachefiles to actually function (Jeffrey Layton) [1229736] - fscache, cachefiles: Store the volume coherency data (Jeffrey Layton) [1229736] - cachefiles: Implement the I/O routines (Jeffrey Layton) [1229736] - cachefiles: Implement cookie resize for truncate (Jeffrey Layton) [1229736] - cachefiles: Implement begin and end I/O operation (Jeffrey Layton) [1229736] - cachefiles: Implement backing file wrangling (Jeffrey Layton) [1229736] - cachefiles: Implement culling daemon commands (Jeffrey Layton) [1229736] - cachefiles: Mark a backing file in use with an inode flag (Jeffrey Layton) [1229736] - cachefiles: Implement metadata/coherency data storage in xattrs (Jeffrey Layton) [1229736] - cachefiles: Implement key to filename encoding (Jeffrey Layton) [1229736] - cachefiles: Implement object lifecycle funcs (Jeffrey Layton) [1229736] - cachefiles: Add tracepoints for calls to the VFS (Jeffrey Layton) [1229736] - cachefiles: Implement volume support (Jeffrey Layton) [1229736] - cachefiles: Implement cache registration and withdrawal (Jeffrey Layton) [1229736] - cachefiles: Implement a function to get/create a directory in the cache (Jeffrey Layton) [1229736] - vfs, cachefiles: Mark a backing file in use with an inode flag (Jeffrey Layton) [1229736] - cachefiles: Provide a function to check how much space there is (Jeffrey Layton) [1229736] - cachefiles: Register a miscdev and parse commands over it (Jeffrey Layton) [1229736] - cachefiles: Add security derivation (Jeffrey Layton) [1229736] - cachefiles: Add cache error reporting macro (Jeffrey Layton) [1229736] - cachefiles: Add a couple of tracepoints for logging errors (Jeffrey Layton) [1229736] - cachefiles: Add some error injection support (Jeffrey Layton) [1229736] - cachefiles: Define structs (Jeffrey Layton) [1229736] - cachefiles: Introduce rewritten driver (Jeffrey Layton) [1229736] - fscache: Provide a function to resize a cookie (Jeffrey Layton) [1229736] - fscache: Provide a function to note the release of a page (Jeffrey Layton) [1229736] - vfs, fscache: Implement pinning of cache usage for writeback (Jeffrey Layton) [1229736] - fscache: Implement higher-level write I/O interface (Jeffrey Layton) [1229736] - fscache: Implement raw I/O interface (Jeffrey Layton) [1229736] - netfs: Pass more information on how to deal with a hole in the cache (Jeffrey Layton) [1229736] - fscache: Provide a function to let the netfs update its coherency data (Jeffrey Layton) [1229736] - fscache: Provide read/write stat counters for the cache (Jeffrey Layton) [1229736] - fscache: Count data storage objects in a cache (Jeffrey Layton) [1229736] - fscache: Provide a means to begin an operation (Jeffrey Layton) [1229736] - fscache: Implement cookie invalidation (Jeffrey Layton) [1229736] - fscache: Implement cookie user counting and resource pinning (Jeffrey Layton) [1229736] - fscache: Implement simple cookie state machine (Jeffrey Layton) [1229736] - fscache: Add a function for a cache backend to note an I/O error (Jeffrey Layton) [1229736] - fscache: Provide and use cache methods to lookup/create/free a volume (Jeffrey Layton) [1229736] - fscache: Implement functions add/remove a cache (Jeffrey Layton) [1229736] - fscache: Implement cookie-level access helpers (Jeffrey Layton) [1229736] - fscache: Implement volume-level access helpers (Jeffrey Layton) [1229736] - fscache: Implement cache-level access helpers (Jeffrey Layton) [1229736] - fscache: Implement cookie registration (Jeffrey Layton) [1229736] - fscache: Implement volume registration (Jeffrey Layton) [1229736] - fscache: Implement cache registration (Jeffrey Layton) [1229736] - fscache: Implement a hash function (Jeffrey Layton) [1229736] - fscache: Introduce new driver (Jeffrey Layton) [1229736] - netfs: Pass a flag to ->prepare_write() to say if there's no alloc'd space (Jeffrey Layton) [1229736] - netfs: Display the netfs inode number in the netfs_read tracepoint (Jeffrey Layton) [1229736] - fscache: Remove the contents of the fscache driver, pending rewrite (Jeffrey Layton) [1229736] - cachefiles: Delete the cachefiles driver pending rewrite (Jeffrey Layton) [1229736] - fscache, cachefiles: Disable configuration (Jeffrey Layton) [1229736] - fs: 9p: remove unneeded variable (Jeffrey Layton) [1229736] - afs: Fix mmap (Jeffrey Layton) [1229736] - netfs: fix parameter of cleanup() (Jeffrey Layton) [1229736] - netfs: Fix lockdep warning from taking sb_writers whilst holding mmap_lock (Jeffrey Layton) [1229736] - fs: add is_idmapped_mnt() helper (Jeffrey Layton) [1229736] - netfs: Adjust docs after foliation (Jeffrey Layton) [1229736] - afs: Use folios in directory handling (Jeffrey Layton) [1229736] - netfs, 9p, afs, ceph: Use folios (Jeffrey Layton) [1229736] - fs/netfs: Add folio fscache functions (Jeffrey Layton) [1229736] - 9p: fix a bunch of checkpatch warnings (Jeffrey Layton) [1229736] - 9p: set readahead and io size according to maxsize (Jeffrey Layton) [1229736] - 9p p9mode2perm: remove useless strlcpy and check sscanf return code (Jeffrey Layton) [1229736] - 9p v9fs_parse_options: replace simple_strtoul with kstrtouint (Jeffrey Layton) [1229736] - 9p: fix file headers (Jeffrey Layton) [1229736] - fs/9p: fix indentation and Add missing a blank line after declaration (Jeffrey Layton) [1229736] - fs/9p: fix warnings found by checkpatch.pl (Jeffrey Layton) [1229736] - 9p: fix minor indentation and codestyle (Jeffrey Layton) [1229736] - fs/9p: cleanup: opening brace at the beginning of the next line (Jeffrey Layton) [1229736] - 9p: Convert to using the netfs helper lib to do reads and caching (Jeffrey Layton) [1229736] - fscache_cookie_enabled: check cookie is valid before accessing it (Jeffrey Layton) [1229736] - afs: Set mtime from the client for yfs create operations (Jeffrey Layton) [1229736] - afs: Sort out symlink reading (Jeffrey Layton) [1229736] - afs: Fix afs_launder_page() to set correct start file position (Jeffrey Layton) [1229736] - netfs: Fix READ/WRITE confusion when calling iov_iter_xarray() (Jeffrey Layton) [1229736] - cachefiles: Fix oops with cachefiles_cull() due to NULL object (Jeffrey Layton) [1229736] - fscache: Remove an unused static variable (Jeffrey Layton) [1229736] - fscache: Fix some kerneldoc warnings shown up by W=1 (Jeffrey Layton) [1229736] - 9p: Fix a bunch of kerneldoc warnings shown up by W=1 (Jeffrey Layton) [1229736] - afs: Fix kerneldoc warning shown up by W=1 (Jeffrey Layton) [1229736] - cachefiles: Fix oops in trace_cachefiles_mark_buried due to NULL object (Jeffrey Layton) [1229736] - afs: Fix updating of i_blocks on file/dir extension (Jeffrey Layton) [1229736] - afs: Fix corruption in reads at fpos 2G-4G from an OpenAFS server (Jeffrey Layton) [1229736] - afs: Try to avoid taking RCU read lock when checking vnode validity (Jeffrey Layton) [1229736] - afs: Fix mmap coherency vs 3rd-party changes (Jeffrey Layton) [1229736] - afs: Fix incorrect triggering of sillyrename on 3rd-party invalidation (Jeffrey Layton) [1229736] - afs: Add missing vnode validation checks (Jeffrey Layton) [1229736] - afs: Fix page leak (Jeffrey Layton) [1229736] - afs: Fix missing put on afs_read objects and missing get on the key therein (Jeffrey Layton) [1229736] - fscache: Use refcount_t for the cookie refcount instead of atomic_t (Jeffrey Layton) [1229736] - fscache: Fix fscache_cookie_put() to not deref after dec (Jeffrey Layton) [1229736] - fscache: Fix cookie key hashing (Jeffrey Layton) [1229736] - cachefiles: Change %%p in format strings to something else (Jeffrey Layton) [1229736] - fscache: Change %%p in format strings to something else (Jeffrey Layton) [1229736] - fscache: Remove the object list procfile (Jeffrey Layton) [1229736] - fscache, cachefiles: Remove the histogram stuff (Jeffrey Layton) [1229736] - fscache: Procfile to display cookies (Jeffrey Layton) [1229736] - fscache: Add a cookie debug ID and use that in traces (Jeffrey Layton) [1229736] - cachefiles: Use file_inode() rather than accessing ->f_inode (Jeffrey Layton) [1229736] - netfs: Move cookie debug ID to struct netfs_cache_resources (Jeffrey Layton) [1229736] - fscache: Select netfs stats if fscache stats are enabled (Jeffrey Layton) [1229736] - ceph: Fix race between hole punch and page fault (Jeffrey Layton) [1229736] - nohz/full, sched/rt: Fix missed tick-reenabling bug in dequeue_task_rt() (Phil Auld) [2107236] - igmp: Add ip_mc_list lock in ip_check_mc_rcu (Hangbin Liu) [2114950] {CVE-2022-20141} Resolves: rhbz#2121392, rhbz#2113035, rhbz#2125582, rhbz#2121088, rhbz#2080165, rhbz#1229736, rhbz#2107236, rhbz#2114950 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-17 11:40:39 +00:00
# CONFIG_CACHEFILES_ERROR_INJECTION is not set
# CONFIG_CACHEFILES_HISTOGRAM is not set
CONFIG_CACHEFILES=m
kernel-5.14.0-165.el9 * Sat Sep 17 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-165.el9] - sysctl: returns -EINVAL when a negative value is passed to proc_doulongvec_minmax (Vratislav Bendel) [2121392] - nvme-fc: fix the fc_appid_store return value (Ewan D. Milne) [2113035] - i2c: ismt: prevent memory corruption in ismt_access() (David Arcari) [2125582] {CVE-2022-3077} - scsi: zfcp: Fix missing auto port scan and thus missing target ports (Tobias Huschle) [2121088] - s390/mmap: increase stack/mmap gap to 128MB (Tobias Huschle) [2080165] - s390/vdso: add vdso randomization (Tobias Huschle) [2080165] - s390/vdso: map vdso above stack (Tobias Huschle) [2080165] - s390/vdso: move vdso mapping to its own function (Tobias Huschle) [2080165] - netfs: do not unlock and put the folio twice (Jeffrey Layton) [1229736] - netfs: Rename the netfs_io_request cleanup op and give it an op pointer (Jeffrey Layton) [1229736] - ceph: call netfs_subreq_terminated with was_async == false (Jeffrey Layton) [1229736] - ceph: fix decoding of client session messages flags (Jeffrey Layton) [1229736] - netfs: Fix gcc-12 warning by embedding vfs inode in netfs_i_context (Jeffrey Layton) [1229736] - netfs: Eliminate Clang randstruct warning (Jeffrey Layton) [1229736] - ceph: replace usage of found with dedicated list iterator variable (Jeffrey Layton) [1229736] - Invalidate fscache cookie only when inode attributes are changed. (Jeffrey Layton) [1229736] - fscache: add tracepoint when failing cookie (Jeffrey Layton) [1229736] - fscache: don't leak cookie access refs if invalidation is in progress or failed (Jeffrey Layton) [1229736] - vfs: Check the truncate maximum size in inode_newsize_ok() (Jeffrey Layton) [1229736] - fscache: Fix invalidation/lookup race (Jeffrey Layton) [1229736] - cachefiles: narrow the scope of flushed requests when releasing fd (Jeffrey Layton) [1229736] - fscache: Introduce fscache_cookie_is_dropped() (Jeffrey Layton) [1229736] - fscache: Fix if condition in fscache_wait_on_volume_collision() (Jeffrey Layton) [1229736] - NFS: Pass i_size to fscache_unuse_cookie() when a file is released (Jeffrey Layton) [1229736] - NFS: Remove remaining dfprintks related to fscache and remove NFSDBG_FSCACHE (Jeffrey Layton) [1229736] - NFS: Replace dfprintks with tracepoints in fscache read and write page functions (Jeffrey Layton) [1229736] - NFS: Rename fscache read and write pages functions (Jeffrey Layton) [1229736] - NFS: Cleanup usage of nfs_inode in fscache interface (Jeffrey Layton) [1229736] - config: disable CONFIG_CACHEFILES_ERROR_INJECTION and CONFIG_CACHEFILES_ONDEMAND (Jeffrey Layton) [1229736] - afs: Fix afs_getattr() to refetch file status if callback break occurred (Jeffrey Layton) [1229736] - cachefiles: add tracepoints for on-demand read mode (Jeffrey Layton) [1229736] - cachefiles: enable on-demand read mode (Jeffrey Layton) [1229736] - cachefiles: implement on-demand read (Jeffrey Layton) [1229736] - cachefiles: notify the user daemon when withdrawing cookie (Jeffrey Layton) [1229736] - cachefiles: unbind cachefiles gracefully in on-demand mode (Jeffrey Layton) [1229736] - cachefiles: notify the user daemon when looking up cookie (Jeffrey Layton) [1229736] - cachefiles: extract write routine (Jeffrey Layton) [1229736] - fscache: remove FSCACHE_OLD_API Kconfig option (Jeffrey Layton) [1229736] - fscache: Use wrapper fscache_set_cache_state() directly when relinquishing (Jeffrey Layton) [1229736] - fscache: Move fscache_cookies_seq_ops specific code under CONFIG_PROC_FS (Jeffrey Layton) [1229736] - fscache: Remove the cookie parameter from fscache_clear_page_bits() (Jeffrey Layton) [1229736] - cachefiles: Fix KASAN slab-out-of-bounds in cachefiles_set_volume_xattr (Jeffrey Layton) [1229736] - cachefiles: unmark inode in use in error path (Jeffrey Layton) [1229736] - ceph: uninitialized variable in debug output (Jeffrey Layton) [1229736] - afs: Maintain netfs_i_context::remote_i_size (Jeffrey Layton) [1229736] - netfs: Split some core bits out into their own file (Jeffrey Layton) [1229736] - netfs: Split fs/netfs/read_helper.c (Jeffrey Layton) [1229736] - netfs: Rename read_helper.c to io.c (Jeffrey Layton) [1229736] - netfs: Prepare to split read_helper.c (Jeffrey Layton) [1229736] - netfs: Add a function to consolidate beginning a read (Jeffrey Layton) [1229736] - netfs: Keep track of the actual remote file size (Jeffrey Layton) [1229736] - netfs: Add a netfs inode context (Jeffrey Layton) [1229736] - ceph: Make ceph_init_request() check caps on readahead (Jeffrey Layton) [1229736] - netfs: Change ->init_request() to return an error code (Jeffrey Layton) [1229736] - netfs: Refactor arguments for netfs_alloc_read_request (Jeffrey Layton) [1229736] - netfs: Adjust the netfs_failure tracepoint to indicate non-subreq lines (Jeffrey Layton) [1229736] - netfs: Trace refcounting on the netfs_io_subrequest struct (Jeffrey Layton) [1229736] - netfs: Trace refcounting on the netfs_io_request struct (Jeffrey Layton) [1229736] - netfs: Adjust the netfs_rreq tracepoint slightly (Jeffrey Layton) [1229736] - netfs: Split netfs_io_* object handling out (Jeffrey Layton) [1229736] - netfs: Finish off rename of netfs_read_request to netfs_io_request (Jeffrey Layton) [1229736] - netfs: Rename netfs_read_*request to netfs_io_*request (Jeffrey Layton) [1229736] - netfs: Generate enums from trace symbol mapping lists (Jeffrey Layton) [1229736] - fscache: export fscache_end_operation() (Jeffrey Layton) [1229736] - cachefiles: Fix volume coherency attribute (Jeffrey Layton) [1229736] - afs: Fix potential thrashing in afs writeback (Jeffrey Layton) [1229736] - cachefiles: Fix incorrect length to fallocate() (Jeffrey Layton) [1229736] - ceph: uninline the data on a file opened for writing (Jeffrey Layton) [1229736] - ceph: make ceph_netfs_issue_op() handle inlined data (Jeffrey Layton) [1229736] - cifs: Implement cache I/O by accessing the cache directly (Jeffrey Layton) [1229736] - cifs: Transition from ->readpages() to ->readahead() (Jeffrey Layton) [1229736] - netfs, cachefiles: Add a method to query presence of data in the cache (Jeffrey Layton) [1229736] - Revert "fs/9p: search open fids first" (Jeffrey Layton) [1229736] - netfs: Make ops->init_rreq() optional (Jeffrey Layton) [1229736] - fscache: Add a comment explaining how page-release optimisation works (Jeffrey Layton) [1229736] - cachefiles: Check that the backing filesystem supports tmpfiles (Jeffrey Layton) [1229736] - cachefiles: Explain checks in a comment (Jeffrey Layton) [1229736] - cachefiles: Trace active-mark failure (Jeffrey Layton) [1229736] - cachefiles: Make some tracepoint adjustments (Jeffrey Layton) [1229736] - cachefiles: set default tag name if it's unspecified (Jeffrey Layton) [1229736] - cachefiles: Calculate the blockshift in terms of bytes, not pages (Jeffrey Layton) [1229736] - fscache: Fix the volume collision wait condition (Jeffrey Layton) [1229736] - cifs: Support fscache indexing rewrite (Jeffrey Layton) [1229736] - 9p, afs, ceph, nfs: Use current_is_kswapd() rather than gfpflags_allow_blocking() (Jeffrey Layton) [1229736] - fscache: Add a tracepoint for cookie use/unuse (Jeffrey Layton) [1229736] - ceph: add fscache writeback support (Jeffrey Layton) [1229736] - ceph: conversion to new fscache API (Jeffrey Layton) [1229736] - 9p: fix enodata when reading growing file (Jeffrey Layton) [1229736] - nfs: Implement cache I/O by accessing the cache directly (Jeffrey Layton) [1229736] - nfs: Convert to new fscache volume/cookie API (Jeffrey Layton) [1229736] - 9p: Copy local writes to the cache when writing to the server (Jeffrey Layton) [1229736] - 9p: Use fscache indexing rewrite and reenable caching (Jeffrey Layton) [1229736] - 9p: only copy valid iattrs in 9P2000.L setattr implementation (Jeffrey Layton) [1229736] - 9p: Use BUG_ON instead of if condition followed by BUG. (Jeffrey Layton) [1229736] - afs: Skip truncation on the server of data we haven't written yet (Jeffrey Layton) [1229736] - afs: Copy local writes to the cache when writing to the server (Jeffrey Layton) [1229736] - afs: Convert afs to use the new fscache API (Jeffrey Layton) [1229736] - fscache, cachefiles: Display stat of culling events (Jeffrey Layton) [1229736] - fscache, cachefiles: Display stats of no-space events (Jeffrey Layton) [1229736] - cachefiles: Allow cachefiles to actually function (Jeffrey Layton) [1229736] - fscache, cachefiles: Store the volume coherency data (Jeffrey Layton) [1229736] - cachefiles: Implement the I/O routines (Jeffrey Layton) [1229736] - cachefiles: Implement cookie resize for truncate (Jeffrey Layton) [1229736] - cachefiles: Implement begin and end I/O operation (Jeffrey Layton) [1229736] - cachefiles: Implement backing file wrangling (Jeffrey Layton) [1229736] - cachefiles: Implement culling daemon commands (Jeffrey Layton) [1229736] - cachefiles: Mark a backing file in use with an inode flag (Jeffrey Layton) [1229736] - cachefiles: Implement metadata/coherency data storage in xattrs (Jeffrey Layton) [1229736] - cachefiles: Implement key to filename encoding (Jeffrey Layton) [1229736] - cachefiles: Implement object lifecycle funcs (Jeffrey Layton) [1229736] - cachefiles: Add tracepoints for calls to the VFS (Jeffrey Layton) [1229736] - cachefiles: Implement volume support (Jeffrey Layton) [1229736] - cachefiles: Implement cache registration and withdrawal (Jeffrey Layton) [1229736] - cachefiles: Implement a function to get/create a directory in the cache (Jeffrey Layton) [1229736] - vfs, cachefiles: Mark a backing file in use with an inode flag (Jeffrey Layton) [1229736] - cachefiles: Provide a function to check how much space there is (Jeffrey Layton) [1229736] - cachefiles: Register a miscdev and parse commands over it (Jeffrey Layton) [1229736] - cachefiles: Add security derivation (Jeffrey Layton) [1229736] - cachefiles: Add cache error reporting macro (Jeffrey Layton) [1229736] - cachefiles: Add a couple of tracepoints for logging errors (Jeffrey Layton) [1229736] - cachefiles: Add some error injection support (Jeffrey Layton) [1229736] - cachefiles: Define structs (Jeffrey Layton) [1229736] - cachefiles: Introduce rewritten driver (Jeffrey Layton) [1229736] - fscache: Provide a function to resize a cookie (Jeffrey Layton) [1229736] - fscache: Provide a function to note the release of a page (Jeffrey Layton) [1229736] - vfs, fscache: Implement pinning of cache usage for writeback (Jeffrey Layton) [1229736] - fscache: Implement higher-level write I/O interface (Jeffrey Layton) [1229736] - fscache: Implement raw I/O interface (Jeffrey Layton) [1229736] - netfs: Pass more information on how to deal with a hole in the cache (Jeffrey Layton) [1229736] - fscache: Provide a function to let the netfs update its coherency data (Jeffrey Layton) [1229736] - fscache: Provide read/write stat counters for the cache (Jeffrey Layton) [1229736] - fscache: Count data storage objects in a cache (Jeffrey Layton) [1229736] - fscache: Provide a means to begin an operation (Jeffrey Layton) [1229736] - fscache: Implement cookie invalidation (Jeffrey Layton) [1229736] - fscache: Implement cookie user counting and resource pinning (Jeffrey Layton) [1229736] - fscache: Implement simple cookie state machine (Jeffrey Layton) [1229736] - fscache: Add a function for a cache backend to note an I/O error (Jeffrey Layton) [1229736] - fscache: Provide and use cache methods to lookup/create/free a volume (Jeffrey Layton) [1229736] - fscache: Implement functions add/remove a cache (Jeffrey Layton) [1229736] - fscache: Implement cookie-level access helpers (Jeffrey Layton) [1229736] - fscache: Implement volume-level access helpers (Jeffrey Layton) [1229736] - fscache: Implement cache-level access helpers (Jeffrey Layton) [1229736] - fscache: Implement cookie registration (Jeffrey Layton) [1229736] - fscache: Implement volume registration (Jeffrey Layton) [1229736] - fscache: Implement cache registration (Jeffrey Layton) [1229736] - fscache: Implement a hash function (Jeffrey Layton) [1229736] - fscache: Introduce new driver (Jeffrey Layton) [1229736] - netfs: Pass a flag to ->prepare_write() to say if there's no alloc'd space (Jeffrey Layton) [1229736] - netfs: Display the netfs inode number in the netfs_read tracepoint (Jeffrey Layton) [1229736] - fscache: Remove the contents of the fscache driver, pending rewrite (Jeffrey Layton) [1229736] - cachefiles: Delete the cachefiles driver pending rewrite (Jeffrey Layton) [1229736] - fscache, cachefiles: Disable configuration (Jeffrey Layton) [1229736] - fs: 9p: remove unneeded variable (Jeffrey Layton) [1229736] - afs: Fix mmap (Jeffrey Layton) [1229736] - netfs: fix parameter of cleanup() (Jeffrey Layton) [1229736] - netfs: Fix lockdep warning from taking sb_writers whilst holding mmap_lock (Jeffrey Layton) [1229736] - fs: add is_idmapped_mnt() helper (Jeffrey Layton) [1229736] - netfs: Adjust docs after foliation (Jeffrey Layton) [1229736] - afs: Use folios in directory handling (Jeffrey Layton) [1229736] - netfs, 9p, afs, ceph: Use folios (Jeffrey Layton) [1229736] - fs/netfs: Add folio fscache functions (Jeffrey Layton) [1229736] - 9p: fix a bunch of checkpatch warnings (Jeffrey Layton) [1229736] - 9p: set readahead and io size according to maxsize (Jeffrey Layton) [1229736] - 9p p9mode2perm: remove useless strlcpy and check sscanf return code (Jeffrey Layton) [1229736] - 9p v9fs_parse_options: replace simple_strtoul with kstrtouint (Jeffrey Layton) [1229736] - 9p: fix file headers (Jeffrey Layton) [1229736] - fs/9p: fix indentation and Add missing a blank line after declaration (Jeffrey Layton) [1229736] - fs/9p: fix warnings found by checkpatch.pl (Jeffrey Layton) [1229736] - 9p: fix minor indentation and codestyle (Jeffrey Layton) [1229736] - fs/9p: cleanup: opening brace at the beginning of the next line (Jeffrey Layton) [1229736] - 9p: Convert to using the netfs helper lib to do reads and caching (Jeffrey Layton) [1229736] - fscache_cookie_enabled: check cookie is valid before accessing it (Jeffrey Layton) [1229736] - afs: Set mtime from the client for yfs create operations (Jeffrey Layton) [1229736] - afs: Sort out symlink reading (Jeffrey Layton) [1229736] - afs: Fix afs_launder_page() to set correct start file position (Jeffrey Layton) [1229736] - netfs: Fix READ/WRITE confusion when calling iov_iter_xarray() (Jeffrey Layton) [1229736] - cachefiles: Fix oops with cachefiles_cull() due to NULL object (Jeffrey Layton) [1229736] - fscache: Remove an unused static variable (Jeffrey Layton) [1229736] - fscache: Fix some kerneldoc warnings shown up by W=1 (Jeffrey Layton) [1229736] - 9p: Fix a bunch of kerneldoc warnings shown up by W=1 (Jeffrey Layton) [1229736] - afs: Fix kerneldoc warning shown up by W=1 (Jeffrey Layton) [1229736] - cachefiles: Fix oops in trace_cachefiles_mark_buried due to NULL object (Jeffrey Layton) [1229736] - afs: Fix updating of i_blocks on file/dir extension (Jeffrey Layton) [1229736] - afs: Fix corruption in reads at fpos 2G-4G from an OpenAFS server (Jeffrey Layton) [1229736] - afs: Try to avoid taking RCU read lock when checking vnode validity (Jeffrey Layton) [1229736] - afs: Fix mmap coherency vs 3rd-party changes (Jeffrey Layton) [1229736] - afs: Fix incorrect triggering of sillyrename on 3rd-party invalidation (Jeffrey Layton) [1229736] - afs: Add missing vnode validation checks (Jeffrey Layton) [1229736] - afs: Fix page leak (Jeffrey Layton) [1229736] - afs: Fix missing put on afs_read objects and missing get on the key therein (Jeffrey Layton) [1229736] - fscache: Use refcount_t for the cookie refcount instead of atomic_t (Jeffrey Layton) [1229736] - fscache: Fix fscache_cookie_put() to not deref after dec (Jeffrey Layton) [1229736] - fscache: Fix cookie key hashing (Jeffrey Layton) [1229736] - cachefiles: Change %%p in format strings to something else (Jeffrey Layton) [1229736] - fscache: Change %%p in format strings to something else (Jeffrey Layton) [1229736] - fscache: Remove the object list procfile (Jeffrey Layton) [1229736] - fscache, cachefiles: Remove the histogram stuff (Jeffrey Layton) [1229736] - fscache: Procfile to display cookies (Jeffrey Layton) [1229736] - fscache: Add a cookie debug ID and use that in traces (Jeffrey Layton) [1229736] - cachefiles: Use file_inode() rather than accessing ->f_inode (Jeffrey Layton) [1229736] - netfs: Move cookie debug ID to struct netfs_cache_resources (Jeffrey Layton) [1229736] - fscache: Select netfs stats if fscache stats are enabled (Jeffrey Layton) [1229736] - ceph: Fix race between hole punch and page fault (Jeffrey Layton) [1229736] - nohz/full, sched/rt: Fix missed tick-reenabling bug in dequeue_task_rt() (Phil Auld) [2107236] - igmp: Add ip_mc_list lock in ip_check_mc_rcu (Hangbin Liu) [2114950] {CVE-2022-20141} Resolves: rhbz#2121392, rhbz#2113035, rhbz#2125582, rhbz#2121088, rhbz#2080165, rhbz#1229736, rhbz#2107236, rhbz#2114950 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-17 11:40:39 +00:00
# CONFIG_CACHEFILES_ONDEMAND is not set
# CONFIG_CADENCE_WATCHDOG is not set
# CONFIG_CAIF is not set
CONFIG_CAN_8DEV_USB=m
CONFIG_CAN_BCM=m
CONFIG_CAN_CALC_BITTIMING=y
kernel-5.14.0-364.el9 * Fri Sep 08 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-364.el9] - arm64: dts: qcom: sa8775p: add the PMU node (Lucas Karpinski) [RHEL-1730] - scsi: st: Add third party poweron reset handling (John Meneghini) [2210024] - gpio: remove MODULE_LICENSE in non-modules (Steve Best) [2230647] - bpf: sockmap: Remove preempt_disable in sock_map_sk_acquire (Tomas Glozar) [2229975] - tracing: Fix permissions for the buffer_percent file (Adrien Thierry) [RHEL-1491] - tracing: Add missing spaces in trace_print_hex_seq() (Adrien Thierry) [RHEL-1491] - ring-buffer: Ensure proper resetting of atomic variables in ring_buffer_reset_online_cpus (Adrien Thierry) [RHEL-1491] - ftrace: Fix issue that 'direct->addr' not restored in modify_ftrace_direct() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race while reader and writer are on the same page (Adrien Thierry) [RHEL-1491] - tracing: Fix wrong return in kprobe_event_gen_test.c (Adrien Thierry) [RHEL-1491] - ftrace: Fix invalid address access in lookup_rec() when index is 0 (Adrien Thierry) [RHEL-1491] - ring-buffer: Handle race between rb_move_tail and rb_check_pages (Adrien Thierry) [RHEL-1491] - tracing: WARN on rcuidle (Adrien Thierry) [RHEL-1491] - tracing: Improve panic/die notifiers (Adrien Thierry) [RHEL-1491] - tracing: Fix infinite loop in tracing_read_pipe on overflowed print_trace_line (Adrien Thierry) [RHEL-1491] - tracing: Fix some checker warnings (Adrien Thierry) [RHEL-1491] - tracing: Fix issue of missing one synthetic field (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_array in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_event_file in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: Fix wild-memory-access in register_synth_event() (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in test_gen_synth_cmd() and test_empty_synth_event() (Adrien Thierry) [RHEL-1491] - ftrace: Fix null pointer dereference in ftrace_add_mod() (Adrien Thierry) [RHEL-1491] - ftrace: Fix the possible incorrect kernel message (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in tracing_read_pipe() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix memory leak in test_gen_kprobe/kretprobe_cmd() (Adrien Thierry) [RHEL-1491] - ftrace: Fix use-after-free for dynamic ftrace_ops (Adrien Thierry) [RHEL-1491] - ftrace: Fix char print issue in print_ip_ins() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race between reset page and reading page (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix kprobe event gen test module on exit (Adrien Thierry) [RHEL-1491] - ftrace: Fix NULL pointer dereference in is_ftrace_trampoline when ftrace is dead (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping while atomic in kdb ftdump (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of trace_pid_write() (Adrien Thierry) [RHEL-1491] - tracing: Fix potential double free in create_var_ref() (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping function called from invalid context on RT kernel (Adrien Thierry) [RHEL-1491] - tracing: Fix inconsistent style of mini-HOWTO (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=y but DYNAMIC_FTRACE=n (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=n but DYNAMIC_FTRACE=y (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of __setup handlers (Adrien Thierry) [RHEL-1491] - tracing: Fix tp_printk option related with tp_printk_stop_on_boot (Adrien Thierry) [RHEL-1491] - tracing: Fix possible memory leak in __create_synth_event() error path (Adrien Thierry) [RHEL-1491] - tracing: Fix a kmemleak false positive in tracing_map (Adrien Thierry) [RHEL-1491] - tracing: Fix pid filtering when triggers are attached (Adrien Thierry) [RHEL-1491] - tracing/uprobe: Fix uprobe_perf_open probes iteration (Adrien Thierry) [RHEL-1491] - tracing/cfi: Fix cmp_entries_* functions signature mismatch (Adrien Thierry) [RHEL-1491] - iio: adc: imx93: fix a signedness bug in imx93_adc_read_raw() (Steve Best) [2229305] - locking: revert comment from KRTS JiraReadiness exercise (Eirik Fuller) [RHEL-2256] - bonding: update port speed when getting bond speed (Hangbin Liu) [2188102] - gpio: davinci: Stop using ARCH_NR_GPIOS (Steve Best) [2234115] - scsi: libfc: Remove get_cpu() semantics in fc_exch_em_alloc() (Luis Claudio R. Goncalves) [RHEL-2169] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: add gpio function constant (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: simplify with unevaluatedProperties (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: describe sa8775p-tlmm (Lucas Karpinski) [2233553] - pinctrl: qcom: Add intr_target_width field to support increased number of interrupt targets (Lucas Karpinski) [2233553] - PCI: hv: Fix a crash in hv_pci_restore_msi_msg() during hibernation (Vitaly Kuznetsov) [2211797] - rhel: Re-add can-dev features that were removed accidentally (Radu Rendec) [2213891] - EDAC/amd64: Add support for ECC on family 19h model 60h-7Fh (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 0 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 6 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove scrub rate control for Family 17h and later (Aristeu Rozanski) [2218686] - EDAC/amd64: Don't set up EDAC PCI control on Family 17h+ (Aristeu Rozanski) [2218686] - net/sched: cls_fw: Fix improper refcount update leads to use-after-free (Davide Caratti) [2225102] {CVE-2023-3776} Resolves: rhbz#2188102, rhbz#2210024, rhbz#2229305, rhbz#2229975, rhbz#2230647, rhbz#2233553, rhbz#2234115, RHEL-1491, RHEL-1730, RHEL-2169, RHEL-2256 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-08 16:10:28 +00:00
# CONFIG_CAN_CAN327 is not set
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
# CONFIG_CAN_CC770 is not set
# CONFIG_CAN_C_CAN is not set
kernel-5.14.0-364.el9 * Fri Sep 08 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-364.el9] - arm64: dts: qcom: sa8775p: add the PMU node (Lucas Karpinski) [RHEL-1730] - scsi: st: Add third party poweron reset handling (John Meneghini) [2210024] - gpio: remove MODULE_LICENSE in non-modules (Steve Best) [2230647] - bpf: sockmap: Remove preempt_disable in sock_map_sk_acquire (Tomas Glozar) [2229975] - tracing: Fix permissions for the buffer_percent file (Adrien Thierry) [RHEL-1491] - tracing: Add missing spaces in trace_print_hex_seq() (Adrien Thierry) [RHEL-1491] - ring-buffer: Ensure proper resetting of atomic variables in ring_buffer_reset_online_cpus (Adrien Thierry) [RHEL-1491] - ftrace: Fix issue that 'direct->addr' not restored in modify_ftrace_direct() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race while reader and writer are on the same page (Adrien Thierry) [RHEL-1491] - tracing: Fix wrong return in kprobe_event_gen_test.c (Adrien Thierry) [RHEL-1491] - ftrace: Fix invalid address access in lookup_rec() when index is 0 (Adrien Thierry) [RHEL-1491] - ring-buffer: Handle race between rb_move_tail and rb_check_pages (Adrien Thierry) [RHEL-1491] - tracing: WARN on rcuidle (Adrien Thierry) [RHEL-1491] - tracing: Improve panic/die notifiers (Adrien Thierry) [RHEL-1491] - tracing: Fix infinite loop in tracing_read_pipe on overflowed print_trace_line (Adrien Thierry) [RHEL-1491] - tracing: Fix some checker warnings (Adrien Thierry) [RHEL-1491] - tracing: Fix issue of missing one synthetic field (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_array in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_event_file in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: Fix wild-memory-access in register_synth_event() (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in test_gen_synth_cmd() and test_empty_synth_event() (Adrien Thierry) [RHEL-1491] - ftrace: Fix null pointer dereference in ftrace_add_mod() (Adrien Thierry) [RHEL-1491] - ftrace: Fix the possible incorrect kernel message (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in tracing_read_pipe() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix memory leak in test_gen_kprobe/kretprobe_cmd() (Adrien Thierry) [RHEL-1491] - ftrace: Fix use-after-free for dynamic ftrace_ops (Adrien Thierry) [RHEL-1491] - ftrace: Fix char print issue in print_ip_ins() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race between reset page and reading page (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix kprobe event gen test module on exit (Adrien Thierry) [RHEL-1491] - ftrace: Fix NULL pointer dereference in is_ftrace_trampoline when ftrace is dead (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping while atomic in kdb ftdump (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of trace_pid_write() (Adrien Thierry) [RHEL-1491] - tracing: Fix potential double free in create_var_ref() (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping function called from invalid context on RT kernel (Adrien Thierry) [RHEL-1491] - tracing: Fix inconsistent style of mini-HOWTO (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=y but DYNAMIC_FTRACE=n (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=n but DYNAMIC_FTRACE=y (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of __setup handlers (Adrien Thierry) [RHEL-1491] - tracing: Fix tp_printk option related with tp_printk_stop_on_boot (Adrien Thierry) [RHEL-1491] - tracing: Fix possible memory leak in __create_synth_event() error path (Adrien Thierry) [RHEL-1491] - tracing: Fix a kmemleak false positive in tracing_map (Adrien Thierry) [RHEL-1491] - tracing: Fix pid filtering when triggers are attached (Adrien Thierry) [RHEL-1491] - tracing/uprobe: Fix uprobe_perf_open probes iteration (Adrien Thierry) [RHEL-1491] - tracing/cfi: Fix cmp_entries_* functions signature mismatch (Adrien Thierry) [RHEL-1491] - iio: adc: imx93: fix a signedness bug in imx93_adc_read_raw() (Steve Best) [2229305] - locking: revert comment from KRTS JiraReadiness exercise (Eirik Fuller) [RHEL-2256] - bonding: update port speed when getting bond speed (Hangbin Liu) [2188102] - gpio: davinci: Stop using ARCH_NR_GPIOS (Steve Best) [2234115] - scsi: libfc: Remove get_cpu() semantics in fc_exch_em_alloc() (Luis Claudio R. Goncalves) [RHEL-2169] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: add gpio function constant (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: simplify with unevaluatedProperties (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: describe sa8775p-tlmm (Lucas Karpinski) [2233553] - pinctrl: qcom: Add intr_target_width field to support increased number of interrupt targets (Lucas Karpinski) [2233553] - PCI: hv: Fix a crash in hv_pci_restore_msi_msg() during hibernation (Vitaly Kuznetsov) [2211797] - rhel: Re-add can-dev features that were removed accidentally (Radu Rendec) [2213891] - EDAC/amd64: Add support for ECC on family 19h model 60h-7Fh (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 0 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 6 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove scrub rate control for Family 17h and later (Aristeu Rozanski) [2218686] - EDAC/amd64: Don't set up EDAC PCI control on Family 17h+ (Aristeu Rozanski) [2218686] - net/sched: cls_fw: Fix improper refcount update leads to use-after-free (Davide Caratti) [2225102] {CVE-2023-3776} Resolves: rhbz#2188102, rhbz#2210024, rhbz#2229305, rhbz#2229975, rhbz#2230647, rhbz#2233553, rhbz#2234115, RHEL-1491, RHEL-1730, RHEL-2169, RHEL-2256 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-08 16:10:28 +00:00
# CONFIG_CAN_CTUCANFD_PCI is not set
# CONFIG_CAN_CTUCANFD_PLATFORM is not set
CONFIG_CAN_DEBUG_DEVICES=y
CONFIG_CAN_DEV=m
CONFIG_CAN_EMS_USB=m
CONFIG_CAN_ESD_USB2=m
kernel-5.14.0-364.el9 * Fri Sep 08 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-364.el9] - arm64: dts: qcom: sa8775p: add the PMU node (Lucas Karpinski) [RHEL-1730] - scsi: st: Add third party poweron reset handling (John Meneghini) [2210024] - gpio: remove MODULE_LICENSE in non-modules (Steve Best) [2230647] - bpf: sockmap: Remove preempt_disable in sock_map_sk_acquire (Tomas Glozar) [2229975] - tracing: Fix permissions for the buffer_percent file (Adrien Thierry) [RHEL-1491] - tracing: Add missing spaces in trace_print_hex_seq() (Adrien Thierry) [RHEL-1491] - ring-buffer: Ensure proper resetting of atomic variables in ring_buffer_reset_online_cpus (Adrien Thierry) [RHEL-1491] - ftrace: Fix issue that 'direct->addr' not restored in modify_ftrace_direct() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race while reader and writer are on the same page (Adrien Thierry) [RHEL-1491] - tracing: Fix wrong return in kprobe_event_gen_test.c (Adrien Thierry) [RHEL-1491] - ftrace: Fix invalid address access in lookup_rec() when index is 0 (Adrien Thierry) [RHEL-1491] - ring-buffer: Handle race between rb_move_tail and rb_check_pages (Adrien Thierry) [RHEL-1491] - tracing: WARN on rcuidle (Adrien Thierry) [RHEL-1491] - tracing: Improve panic/die notifiers (Adrien Thierry) [RHEL-1491] - tracing: Fix infinite loop in tracing_read_pipe on overflowed print_trace_line (Adrien Thierry) [RHEL-1491] - tracing: Fix some checker warnings (Adrien Thierry) [RHEL-1491] - tracing: Fix issue of missing one synthetic field (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_array in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_event_file in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: Fix wild-memory-access in register_synth_event() (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in test_gen_synth_cmd() and test_empty_synth_event() (Adrien Thierry) [RHEL-1491] - ftrace: Fix null pointer dereference in ftrace_add_mod() (Adrien Thierry) [RHEL-1491] - ftrace: Fix the possible incorrect kernel message (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in tracing_read_pipe() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix memory leak in test_gen_kprobe/kretprobe_cmd() (Adrien Thierry) [RHEL-1491] - ftrace: Fix use-after-free for dynamic ftrace_ops (Adrien Thierry) [RHEL-1491] - ftrace: Fix char print issue in print_ip_ins() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race between reset page and reading page (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix kprobe event gen test module on exit (Adrien Thierry) [RHEL-1491] - ftrace: Fix NULL pointer dereference in is_ftrace_trampoline when ftrace is dead (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping while atomic in kdb ftdump (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of trace_pid_write() (Adrien Thierry) [RHEL-1491] - tracing: Fix potential double free in create_var_ref() (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping function called from invalid context on RT kernel (Adrien Thierry) [RHEL-1491] - tracing: Fix inconsistent style of mini-HOWTO (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=y but DYNAMIC_FTRACE=n (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=n but DYNAMIC_FTRACE=y (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of __setup handlers (Adrien Thierry) [RHEL-1491] - tracing: Fix tp_printk option related with tp_printk_stop_on_boot (Adrien Thierry) [RHEL-1491] - tracing: Fix possible memory leak in __create_synth_event() error path (Adrien Thierry) [RHEL-1491] - tracing: Fix a kmemleak false positive in tracing_map (Adrien Thierry) [RHEL-1491] - tracing: Fix pid filtering when triggers are attached (Adrien Thierry) [RHEL-1491] - tracing/uprobe: Fix uprobe_perf_open probes iteration (Adrien Thierry) [RHEL-1491] - tracing/cfi: Fix cmp_entries_* functions signature mismatch (Adrien Thierry) [RHEL-1491] - iio: adc: imx93: fix a signedness bug in imx93_adc_read_raw() (Steve Best) [2229305] - locking: revert comment from KRTS JiraReadiness exercise (Eirik Fuller) [RHEL-2256] - bonding: update port speed when getting bond speed (Hangbin Liu) [2188102] - gpio: davinci: Stop using ARCH_NR_GPIOS (Steve Best) [2234115] - scsi: libfc: Remove get_cpu() semantics in fc_exch_em_alloc() (Luis Claudio R. Goncalves) [RHEL-2169] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: add gpio function constant (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: simplify with unevaluatedProperties (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: describe sa8775p-tlmm (Lucas Karpinski) [2233553] - pinctrl: qcom: Add intr_target_width field to support increased number of interrupt targets (Lucas Karpinski) [2233553] - PCI: hv: Fix a crash in hv_pci_restore_msi_msg() during hibernation (Vitaly Kuznetsov) [2211797] - rhel: Re-add can-dev features that were removed accidentally (Radu Rendec) [2213891] - EDAC/amd64: Add support for ECC on family 19h model 60h-7Fh (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 0 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 6 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove scrub rate control for Family 17h and later (Aristeu Rozanski) [2218686] - EDAC/amd64: Don't set up EDAC PCI control on Family 17h+ (Aristeu Rozanski) [2218686] - net/sched: cls_fw: Fix improper refcount update leads to use-after-free (Davide Caratti) [2225102] {CVE-2023-3776} Resolves: rhbz#2188102, rhbz#2210024, rhbz#2229305, rhbz#2229975, rhbz#2230647, rhbz#2233553, rhbz#2234115, RHEL-1491, RHEL-1730, RHEL-2169, RHEL-2256 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-08 16:10:28 +00:00
# CONFIG_CAN_ESD_USB is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_CAN_ETAS_ES58X is not set
# CONFIG_CAN_FLEXCAN is not set
# CONFIG_CAN_GRCAN is not set
# CONFIG_CAN_GS_USB is not set
CONFIG_CAN_GW=m
# CONFIG_CAN_HI311X is not set
# CONFIG_CAN_IFI_CANFD is not set
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
CONFIG_CAN_ISOTP=m
CONFIG_CAN_J1939=m
# CONFIG_CAN_KVASER_PCIEFD is not set
CONFIG_CAN_KVASER_USB=m
CONFIG_CAN=m
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
CONFIG_CAN_M_CAN=m
CONFIG_CAN_M_CAN_PCI=m
# CONFIG_CAN_M_CAN_PLATFORM is not set
# CONFIG_CAN_M_CAN_TCAN4X5X is not set
# CONFIG_CAN_MCBA_USB is not set
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
CONFIG_CAN_MCP251XFD=m
# CONFIG_CAN_MCP251XFD_SANITY is not set
CONFIG_CAN_MCP251X=m
# CONFIG_CAN_MSCAN is not set
kernel-5.14.0-364.el9 * Fri Sep 08 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-364.el9] - arm64: dts: qcom: sa8775p: add the PMU node (Lucas Karpinski) [RHEL-1730] - scsi: st: Add third party poweron reset handling (John Meneghini) [2210024] - gpio: remove MODULE_LICENSE in non-modules (Steve Best) [2230647] - bpf: sockmap: Remove preempt_disable in sock_map_sk_acquire (Tomas Glozar) [2229975] - tracing: Fix permissions for the buffer_percent file (Adrien Thierry) [RHEL-1491] - tracing: Add missing spaces in trace_print_hex_seq() (Adrien Thierry) [RHEL-1491] - ring-buffer: Ensure proper resetting of atomic variables in ring_buffer_reset_online_cpus (Adrien Thierry) [RHEL-1491] - ftrace: Fix issue that 'direct->addr' not restored in modify_ftrace_direct() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race while reader and writer are on the same page (Adrien Thierry) [RHEL-1491] - tracing: Fix wrong return in kprobe_event_gen_test.c (Adrien Thierry) [RHEL-1491] - ftrace: Fix invalid address access in lookup_rec() when index is 0 (Adrien Thierry) [RHEL-1491] - ring-buffer: Handle race between rb_move_tail and rb_check_pages (Adrien Thierry) [RHEL-1491] - tracing: WARN on rcuidle (Adrien Thierry) [RHEL-1491] - tracing: Improve panic/die notifiers (Adrien Thierry) [RHEL-1491] - tracing: Fix infinite loop in tracing_read_pipe on overflowed print_trace_line (Adrien Thierry) [RHEL-1491] - tracing: Fix some checker warnings (Adrien Thierry) [RHEL-1491] - tracing: Fix issue of missing one synthetic field (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_array in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix potential null-ptr-deref on trace_event_file in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491] - tracing: Fix wild-memory-access in register_synth_event() (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in test_gen_synth_cmd() and test_empty_synth_event() (Adrien Thierry) [RHEL-1491] - ftrace: Fix null pointer dereference in ftrace_add_mod() (Adrien Thierry) [RHEL-1491] - ftrace: Fix the possible incorrect kernel message (Adrien Thierry) [RHEL-1491] - tracing: Fix memory leak in tracing_read_pipe() (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix memory leak in test_gen_kprobe/kretprobe_cmd() (Adrien Thierry) [RHEL-1491] - ftrace: Fix use-after-free for dynamic ftrace_ops (Adrien Thierry) [RHEL-1491] - ftrace: Fix char print issue in print_ip_ins() (Adrien Thierry) [RHEL-1491] - ring-buffer: Fix race between reset page and reading page (Adrien Thierry) [RHEL-1491] - tracing: kprobe: Fix kprobe event gen test module on exit (Adrien Thierry) [RHEL-1491] - ftrace: Fix NULL pointer dereference in is_ftrace_trampoline when ftrace is dead (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping while atomic in kdb ftdump (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of trace_pid_write() (Adrien Thierry) [RHEL-1491] - tracing: Fix potential double free in create_var_ref() (Adrien Thierry) [RHEL-1491] - tracing: Fix sleeping function called from invalid context on RT kernel (Adrien Thierry) [RHEL-1491] - tracing: Fix inconsistent style of mini-HOWTO (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=y but DYNAMIC_FTRACE=n (Adrien Thierry) [RHEL-1491] - ftrace: fix building with SYSCTL=n but DYNAMIC_FTRACE=y (Adrien Thierry) [RHEL-1491] - tracing: Fix return value of __setup handlers (Adrien Thierry) [RHEL-1491] - tracing: Fix tp_printk option related with tp_printk_stop_on_boot (Adrien Thierry) [RHEL-1491] - tracing: Fix possible memory leak in __create_synth_event() error path (Adrien Thierry) [RHEL-1491] - tracing: Fix a kmemleak false positive in tracing_map (Adrien Thierry) [RHEL-1491] - tracing: Fix pid filtering when triggers are attached (Adrien Thierry) [RHEL-1491] - tracing/uprobe: Fix uprobe_perf_open probes iteration (Adrien Thierry) [RHEL-1491] - tracing/cfi: Fix cmp_entries_* functions signature mismatch (Adrien Thierry) [RHEL-1491] - iio: adc: imx93: fix a signedness bug in imx93_adc_read_raw() (Steve Best) [2229305] - locking: revert comment from KRTS JiraReadiness exercise (Eirik Fuller) [RHEL-2256] - bonding: update port speed when getting bond speed (Hangbin Liu) [2188102] - gpio: davinci: Stop using ARCH_NR_GPIOS (Steve Best) [2234115] - scsi: libfc: Remove get_cpu() semantics in fc_exch_em_alloc() (Luis Claudio R. Goncalves) [RHEL-2169] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: add gpio function constant (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: qcom,sa8775p-tlmm: simplify with unevaluatedProperties (Lucas Karpinski) [2233553] - dt-bindings: pinctrl: describe sa8775p-tlmm (Lucas Karpinski) [2233553] - pinctrl: qcom: Add intr_target_width field to support increased number of interrupt targets (Lucas Karpinski) [2233553] - PCI: hv: Fix a crash in hv_pci_restore_msi_msg() during hibernation (Vitaly Kuznetsov) [2211797] - rhel: Re-add can-dev features that were removed accidentally (Radu Rendec) [2213891] - EDAC/amd64: Add support for ECC on family 19h model 60h-7Fh (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 0 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove PCI Function 6 (Aristeu Rozanski) [2218686] - EDAC/amd64: Remove scrub rate control for Family 17h and later (Aristeu Rozanski) [2218686] - EDAC/amd64: Don't set up EDAC PCI control on Family 17h+ (Aristeu Rozanski) [2218686] - net/sched: cls_fw: Fix improper refcount update leads to use-after-free (Davide Caratti) [2225102] {CVE-2023-3776} Resolves: rhbz#2188102, rhbz#2210024, rhbz#2229305, rhbz#2229975, rhbz#2230647, rhbz#2233553, rhbz#2234115, RHEL-1491, RHEL-1730, RHEL-2169, RHEL-2256 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-08 16:10:28 +00:00
CONFIG_CAN_NETLINK=y
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
CONFIG_CAN_PEAK_PCIEFD=m
CONFIG_CAN_PEAK_USB=m
CONFIG_CAN_RAW=m
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
# CONFIG_CAN_SJA1000 is not set
CONFIG_CAN_SLCAN=m
kernel-5.14.0-79.el9 * Tue Apr 19 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-79.el9] - redhat/configs: Enable WDT devices used by qemu VMs (Prarit Bhargava) [2074160] - redhat/configs: disable CONFIG_CAN_SOFTING (Brian Masney) [2073521] - myri10ge: mark as unmaintained (Michal Schmidt) [2054208] - benet: mark device 0xe220 (Lancer) as unmaintained (Michal Schmidt) [2054208] - bnx2: mark as unmaintained (Michal Schmidt) [2054208] - ice: Fix race condition during interface enslave (Petr Oros) [1988953] - ice: Fix error with handling of bonding MTU (Petr Oros) [1988953] - ice: Avoid RTNL lock when re-creating auxiliary device (Petr Oros) [1988953] - ice: Fix KASAN error in LAG NETDEV_UNREGISTER handler (Petr Oros) [1988953] - userfaultfd/selftests: fix calculation of expected ioctls (Desnes A. Nunes do Rosario) [2036152] - userfaultfd/selftests: fix feature support detection (Desnes A. Nunes do Rosario) [2036152] - userfaultfd/selftests: don't rely on GNU extensions for random numbers (Desnes A. Nunes do Rosario) [2036152] - CI: Drop baseline runs (Veronika Kabatova) - PCI: hv: Propagate coherence from VMbus device to PCI device (Vitaly Kuznetsov) [2068432] - Drivers: hv: vmbus: Propagate VMbus coherence to each VMbus device (Vitaly Kuznetsov) [2068432] - ibmvnic: fix race between xmit and reset (Gustavo Walbon) [2061556] - mlxsw: spectrum_router: Increase parsing depth for multipath hash (Ivan Vecera) [2020113] - mlxsw: Remove old parsing depth infrastructure (Ivan Vecera) [2020113] - mlxsw: Convert existing consumers to use new API for parsing configuration (Ivan Vecera) [2020113] - mlxsw: spectrum: Add infrastructure for parsing configuration (Ivan Vecera) [2020113] - ipv6: separate ndisc_ns_create() from ndisc_send_ns() (Ivan Vecera) [2073506] - powerpc/mce: Modify the real address error logging messages (Desnes A. Nunes do Rosario) [2068688] - selftests/powerpc: Add test for real address error handling (Desnes A. Nunes do Rosario) [2068688] - powerpc/pseries: Parse control memory access error (Desnes A. Nunes do Rosario) [2068688] - tools headers UAPI: Sync linux/perf_event.h with the kernel sources (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix power_pmu_disable to call clear_pmi_irq_pending only if PMI is pending (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Only define power_pmu_wants_prompt_pmi() for CONFIG_PPC64 (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Add data source encodings for power10 platform (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Add encodings to represent data based on newer composite PERF_MEM_LVLNUM* fields (Desnes A. Nunes do Rosario) [2048779] - perf: Add new macros for mem_hops field (Desnes A. Nunes do Rosario) [2048779] - tools headers UAPI: Add new macros for mem_hops field to perf_event.h (Desnes A. Nunes do Rosario) [2048779] - bpf: Remove config check to enable bpf support for branch records (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Update global/local variants for p_stage_cyc (Desnes A. Nunes do Rosario) [2048779] - perf sort: Include global and local variants for p_stage_cyc sort key (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'p_stage_cyc' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'ins_lat' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'weight' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf vendor events power10: Add metric events JSON file for power10 platform (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Add support to expose instruction and data address registers as part of extended regs (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Refactor the code definition of perf reg extended mask in tools side header file (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix cycles/instructions as PM_CYC/PM_INST_CMPL in power10 (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Expose instruction and data address registers as part of extended regs (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Refactor the code definition of perf reg extended mask (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix data source encodings for L2.1 and L3.1 accesses (Desnes A. Nunes do Rosario) [2048779] - tools/perf: Add mem_hops field in perf_mem_data_src structure (Desnes A. Nunes do Rosario) [2048779] - perf: Add mem_hops field in perf_mem_data_src structure (Desnes A. Nunes do Rosario) [2048779] - perf: Add comment about current state of PERF_MEM_LVL_* namespace and remove an extra line (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix the check for SIAR value (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Drop the case of returning 0 as instruction pointer (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Use stack siar instead of mfspr (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf/hv-gpci: Fix counter value parsing (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix PMU callbacks to clear pending PMI before resetting an overflown PMC (Desnes A. Nunes do Rosario) [2048779] - EVM: fix the evm= __setup handler return value (Bruno Meneguele) [2063906] - ima: Do not print policy rule with inactive LSM labels (Bruno Meneguele) [2063906] - ima: Allow template selection with ima_template[_fmt]= after ima_hash= (Bruno Meneguele) [2063906] - ima: Remove ima_policy file before directory (Bruno Meneguele) [2063906] - integrity: check the return value of audit_log_start() (Bruno Meneguele) [2063906] - ima: fix reference leak in asymmetric_verify() (Bruno Meneguele) [2063906] - ipv4: avoid using shared IP generator for connected sockets (Paolo Abeni) [2062428] {CVE-2020-36516} - bpf/selftests: Fix namespace mount setup in tc_redirect (Yauheni Kaliuta) [2063067] - memcg: do not tweak node in alloc_mem_cgroup_per_node_info (Nico Pache) [2024054] - mm: make free_area_init_node aware of memory less nodes (Nico Pache) [2024054] - mm, memory_hotplug: reorganize new pgdat initialization (Nico Pache) [2024054] - mm, memory_hotplug: drop arch_free_nodedata (Nico Pache) [2024054] - mm: handle uninitialized numa nodes gracefully (Nico Pache) [2024054] - mm, memory_hotplug: make arch_alloc_nodedata independent on CONFIG_MEMORY_HOTPLUG (Nico Pache) [2024054] - block: restore the old set_task_ioprio() behaviour wrt PF_EXITING (Ming Lei) [2066297] - scsi: core: scsi_logging: Fix a BUG (Ming Lei) [2066297] - scsi: sr: Fix typo in CDROM(CLOSETRAY|EJECT) handling (Ming Lei) [2066297] - block: add __must_check for *add_disk*() callers (Ming Lei) [2066297] - scsi: bsg: Fix errno when scsi_bsg_register_queue() fails (Ming Lei) [2066297] - scsi: st: Add missing break in switch statement in st_ioctl() (Ming Lei) [2066297] - scsi: core: scsi_ioctl: Fix error code propagation in SG_IO (Ming Lei) [2066297] - scsi: bsg: Fix device unregistration (Ming Lei) [2066297] - scsi: bsg-lib: Fix commands without data transfer in bsg_transport_sg_io_fn() (Ming Lei) [2066297] - scsi: bsg: Fix commands without data transfer in scsi_bsg_sg_io_fn() (Ming Lei) [2066297] - block: limit request dispatch loop duration (Ming Lei) [2066297] - block: fix rq-qos breakage from skipping rq_qos_done_bio() (Ming Lei) [2066297] - block: flush plug based on hardware and software queue order (Ming Lei) [2066297] - block: ensure plug merging checks the correct queue at least once (Ming Lei) [2066297] - block: update io_ticks when io hang (Ming Lei) [2066297] - block: release rq qos structures for queue without disk (Ming Lei) [2066297] - block: fix blk_mq_attempt_bio_merge and rq_qos_throttle protection (Ming Lei) [2066297] - blktrace: fix use after free for struct blk_trace (Ming Lei) [2066297] - block: clear iocb->private in blkdev_bio_end_io_async() (Ming Lei) [2066297] - block/wbt: fix negative inflight counter when remove scsi device (Ming Lei) [2066297] - block-map: add __GFP_ZERO flag for alloc_page in function bio_copy_kern (Ming Lei) [2066297] - block: loop:use kstatfs.f_bsize of backing file to set discard granularity (Ming Lei) [2066297] - block: Add handling for zone append command in blk_complete_request (Ming Lei) [2066297] - loop: revert "make autoclear operation asynchronous" (Ming Lei) [2066297] - block: fix DIO handling regressions in blkdev_read_iter() (Ming Lei) [2066297] - blk-mq: fix missing blk_account_io_done() in error path (Ming Lei) [2066297] - block: cleanup q->srcu (Ming Lei) [2066297] - block: Remove unnecessary variable assignment (Ming Lei) [2066297] - brd: remove brd_devices_mutex mutex (Ming Lei) [2066297] - aoe: remove redundant assignment on variable n (Ming Lei) [2066297] - loop: remove redundant initialization of pointer node (Ming Lei) [2066297] - block/rnbd-clt-sysfs: use default_groups in kobj_type (Ming Lei) [2066297] - pktcdvd: convert to use attribute groups (Ming Lei) [2066297] - rsxx: Drop PCI legacy power management (Ming Lei) [2066297] - mtip32xx: convert to generic power management (Ming Lei) [2066297] - mtip32xx: remove pointless drvdata lookups (Ming Lei) [2066297] - mtip32xx: remove pointless drvdata checking (Ming Lei) [2066297] - drbd: Use struct_group() to zero algs (Ming Lei) [2066297] - loop: make autoclear operation asynchronous (Ming Lei) [2066297] - null_blk: cast command status to integer (Ming Lei) [2066297] - pktdvd: stop using bdi congestion framework. (Ming Lei) [2066297] - block: null_blk: batched complete poll requests (Ming Lei) [2066297] - floppy: Add max size check for user space request (Ming Lei) [2066297] - floppy: Fix hang in watchdog when disk is ejected (Ming Lei) [2066297] - loop: don't hold lo_mutex during __loop_clr_fd() (Ming Lei) [2066297] - MAINTAINERS: add entries for block layer documentation (Ming Lei) [2066297] - docs: sysfs-block: add contact for nomerges (Ming Lei) [2066297] - docs: sysfs-block: sort alphabetically (Ming Lei) [2066297] - docs: sysfs-block: move to stable directory (Ming Lei) [2066297] - block: fix old-style declaration (Ming Lei) [2066297] - nvme-pci: fix queue_rqs list splitting (Ming Lei) [2066297] - block: introduce rq_list_move (Ming Lei) [2066297] - block: drop needless assignment in set_task_ioprio() (Ming Lei) [2066297] - bio.h: fix kernel-doc warnings (Ming Lei) [2066297] - block: check minor range in device_add_disk() (Ming Lei) [2066297] - block: use "unsigned long" for blk_validate_block_size(). (Ming Lei) [2066297] - block: fix error unwinding in device_add_disk (Ming Lei) [2066297] - block: call blk_exit_queue() before freeing q->stats (Ming Lei) [2066297] - block: fix error in handling dead task for ioprio setting (Ming Lei) [2066297] - blk-mq: blk-mq: check quiesce state before queue_rqs (Ming Lei) [2066297] - block: only build the icq tracking code when needed (Ming Lei) [2066297] - block: fold create_task_io_context into ioc_find_get_icq (Ming Lei) [2066297] - block: open code create_task_io_context in set_task_ioprio (Ming Lei) [2066297] - block: fold get_task_io_context into set_task_ioprio (Ming Lei) [2066297] - block: move set_task_ioprio to blk-ioc.c (Ming Lei) [2066297] - block: cleanup ioc_clear_queue (Ming Lei) [2066297] - block: refactor put_io_context (Ming Lei) [2066297] - block: remove the NULL ioc check in put_io_context (Ming Lei) [2066297] - block: refactor put_iocontext_active (Ming Lei) [2066297] - block: simplify struct io_context refcounting (Ming Lei) [2066297] - block: remove the nr_task field from struct io_context (Ming Lei) [2066297] - nvme: add support for mq_ops->queue_rqs() (Ming Lei) [2066297] - block: add mq_ops->queue_rqs hook (Ming Lei) [2066297] - block: use singly linked list for bio cache (Ming Lei) [2066297] - block: add completion handler for fast path (Ming Lei) [2066297] - block: make queue stat accounting a reference (Ming Lei) [2066297] - bdev: Improve lookup_bdev documentation (Ming Lei) [2066297] - mtd_blkdevs: don't scan partitions for plain mtdblock (Ming Lei) [2066297] - blk-mq: Optimise blk_mq_queue_tag_busy_iter() for shared tags (Ming Lei) [2066297] - blk-mq: Delete busy_iter_fn (Ming Lei) [2066297] - blk-mq: Drop busy_iter_fn blk_mq_hw_ctx argument (Ming Lei) [2066297] - blk-mq: don't use plug->mq_list->q directly in blk_mq_run_dispatch_ops() (Ming Lei) [2066297] - blk-mq: don't run might_sleep() if the operation needn't blocking (Ming Lei) [2066297] - blk-mq: run dispatch lock once in case of issuing from list (Ming Lei) [2066297] - blk-mq: pass request queue to blk_mq_run_dispatch_ops (Ming Lei) [2066297] - blk-mq: move srcu from blk_mq_hw_ctx to request_queue (Ming Lei) [2066297] - blk-mq: remove hctx_lock and hctx_unlock (Ming Lei) [2066297] - block: switch to atomic_t for request references (Ming Lei) [2066297] - block: move direct_IO into our own read_iter handler (Ming Lei) [2066297] - mm: move filemap_range_needs_writeback() into header (Ming Lei) [2066297] - mm: move more expensive part of XA setup out of mapping check (Ming Lei) [2066297] - block: get rid of useless goto and label in blk_mq_get_new_requests() (Ming Lei) [2066297] - blk-mq: check q->poll_stat in queue_poll_stat_show (Ming Lei) [2066297] - scsi: remove the gendisk argument to scsi_ioctl (Ming Lei) [2066297] - block: remove the gendisk argument to blk_execute_rq (Ming Lei) [2066297] - block: remove the ->rq_disk field in struct request (Ming Lei) [2066297] - block: don't check ->rq_disk in merges (Ming Lei) [2066297] - mtd_blkdevs: remove the sector out of range check in do_blktrans_request (Ming Lei) [2066297] - block: Remove redundant initialization of variable ret (Ming Lei) [2066297] - block: simplify ioc_lookup_icq (Ming Lei) [2066297] - block: simplify ioc_create_icq (Ming Lei) [2066297] - block: return the io_context from create_task_io_context (Ming Lei) [2066297] - block: use alloc_io_context in __copy_io (Ming Lei) [2066297] - block: factor out a alloc_io_context helper (Ming Lei) [2066297] - block: remove get_io_context_active (Ming Lei) [2066297] - block: move the remaining elv.icq handling to the I/O scheduler (Ming Lei) [2066297] - block: move blk_mq_sched_assign_ioc to blk-ioc.c (Ming Lei) [2066297] - block: mark put_io_context_active static (Ming Lei) [2066297] - Revert "block: Provide blk_mq_sched_get_icq()" (Ming Lei) [2066297] - bfq: use bfq_bic_lookup in bfq_limit_depth (Ming Lei) [2066297] - bfq: simplify bfq_bic_lookup (Ming Lei) [2066297] - fork: move copy_io to block/blk-ioc.c (Ming Lei) [2066297] - bfq: Do not let waker requests skip proper accounting (Ming Lei) [2066297] - bfq: Log waker detections (Ming Lei) [2066297] - bfq: Provide helper to generate bfqq name (Ming Lei) [2066297] - bfq: Limit waker detection in time (Ming Lei) [2066297] - bfq: Limit number of requests consumed by each cgroup (Ming Lei) [2066297] - bfq: Store full bitmap depth in bfq_data (Ming Lei) [2066297] - bfq: Track number of allocated requests in bfq_entity (Ming Lei) [2066297] - block: Provide blk_mq_sched_get_icq() (Ming Lei) [2066297] - mmc: core: Use blk_mq_complete_request_direct(). (Ming Lei) [2066297] - blk-mq: Add blk_mq_complete_request_direct() (Ming Lei) [2066297] - blk-crypto: remove blk_crypto_unregister() (Ming Lei) [2066297] - block: don't include <linux/part_stat.h> in blk.h (Ming Lei) [2066297] - block: don't include <linux/idr.h> in blk.h (Ming Lei) [2066297] - block: don't include <linux/blk-mq.h> in blk.h (Ming Lei) [2066297] - block: don't include blk-mq.h in blk.h (Ming Lei) [2066297] - block: don't include blk-mq-sched.h in blk.h (Ming Lei) [2066297] - block: remove the e argument to elevator_exit (Ming Lei) [2066297] - block: remove elevator_exit (Ming Lei) [2066297] - block: move blk_get_flush_queue to blk-flush.c (Ming Lei) [2066297] - blk_mq: remove repeated includes (Ming Lei) [2066297] - block: move io_context creation into where it's needed (Ming Lei) [2066297] - block: only allocate poll_stats if there's a user of them (Ming Lei) [2066297] - blk-ioprio: don't set bio priority if not needed (Ming Lei) [2066297] - blk-mq: move more plug handling from blk_mq_submit_bio into blk_add_rq_to_plug (Ming Lei) [2066297] - sr: set GENHD_FL_REMOVABLE earlier (Ming Lei) [2066297] - block: cleanup the GENHD_FL_* definitions (Ming Lei) [2066297] - block: don't set GENHD_FL_NO_PART for hidden gendisks (Ming Lei) [2066297] - block: remove GENHD_FL_EXT_DEVT (Ming Lei) [2066297] - block: remove GENHD_FL_SUPPRESS_PARTITION_INFO (Ming Lei) [2066297] - mmc: don't set GENHD_FL_SUPPRESS_PARTITION_INFO (Ming Lei) [2066297] - null_blk: don't suppress partitioning information (Ming Lei) [2066297] - block: remove the GENHD_FL_HIDDEN check in blkdev_get_no_open (Ming Lei) [2066297] - block: rename GENHD_FL_NO_PART_SCAN to GENHD_FL_NO_PART (Ming Lei) [2066297] - block: merge disk_scan_partitions and blkdev_reread_part (Ming Lei) [2066297] - block: remove a dead check in show_partition (Ming Lei) [2066297] - block: remove GENHD_FL_CD (Ming Lei) [2066297] - block: move GENHD_FL_BLOCK_EVENTS_ON_EXCL_WRITE to disk->event_flags (Ming Lei) [2066297] - block: move GENHD_FL_NATIVE_CAPACITY to disk->state (Ming Lei) [2066297] - block: don't include blk-mq headers in blk-core.c (Ming Lei) [2066297] - block: move blk_print_req_error to blk-mq.c (Ming Lei) [2066297] - block: move blk_dump_rq_flags to blk-mq.c (Ming Lei) [2066297] - block: move blk_account_io_{start,done} to blk-mq.c (Ming Lei) [2066297] - block: move blk_steal_bios to blk-mq.c (Ming Lei) [2066297] - block: move blk_rq_init to blk-mq.c (Ming Lei) [2066297] - block: move request based cloning helpers to blk-mq.c (Ming Lei) [2066297] - blk-mq: move blk_mq_flush_plug_list (Ming Lei) [2066297] - block: remove blk-exec.c (Ming Lei) [2066297] - block: remove rq_flush_dcache_pages (Ming Lei) [2066297] - block: move blk_rq_err_bytes to scsi (Ming Lei) [2066297] - block: remove blk_{get,put}_request (Ming Lei) [2066297] - block: remove QUEUE_FLAG_SCSI_PASSTHROUGH (Ming Lei) [2066297] - block: remove the initialize_rq_fn blk_mq_ops method (Ming Lei) [2066297] - scsi: add a scsi_alloc_request helper (Ming Lei) [2066297] - bsg-lib: initialize the bsg_job in bsg_transport_sg_io_fn (Ming Lei) [2066297] - nfsd/blocklayout: use ->get_unique_id instead of sending SCSI commands (Ming Lei) [2066297] - sd: implement ->get_unique_id (Ming Lei) [2066297] - block: add a ->get_unique_id method (Ming Lei) [2066297] - scsi: bsg: Move the whole request execution into the SCSI/transport handlers (Ming Lei) [2066297] - scsi: block: Remove the remaining SG_IO-related fields from struct request_queue (Ming Lei) [2066297] - scsi: block: Remove BLK_SCSI_MAX_CMDS (Ming Lei) [2066297] - scsi: bsg: Simplify device registration (Ming Lei) [2066297] - scsi: sr: cdrom: Move cdrom_read_cdda_bpc() into the sr driver (Ming Lei) [2066297] - scsi: scsi_ioctl: Unexport sg_scsi_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Factor SG_IO handling into a helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Factor SCSI_IOCTL_GET_IDLUN handling into a helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Consolidate the START STOP UNIT handling (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove a very misleading comment (Ming Lei) [2066297] - scsi: core: Rename CONFIG_BLK_SCSI_REQUEST to CONFIG_SCSI_COMMON (Ming Lei) [2066297] - scsi: scsi_ioctl: Move the "block layer" SCSI ioctl handling to drivers/scsi (Ming Lei) [2066297] - scsi: scsi_ioctl: Simplify SCSI passthrough permission checking (Ming Lei) [2066297] - scsi: scsi_ioctl: Move scsi_command_size_tbl to scsi_common.c (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_req_init() (Ming Lei) [2066297] - scsi: bsg: Move bsg_scsi_ops to drivers/scsi/ (Ming Lei) [2066297] - scsi: bsg: Decouple from scsi_cmd_ioctl() (Ming Lei) [2066297] - scsi: block: Add a queue_max_bytes() helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Call scsi_cmd_ioctl() from scsi_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_verify_blk_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_cmd_blk_ioctl() (Ming Lei) [2066297] - scsi: cdrom: Remove the call to scsi_cmd_blk_ioctl() from cdrom_ioctl() (Ming Lei) [2066297] - scsi: st: Simplify ioctl handling (Ming Lei) [2066297] - scsi: core: Remove scsi_compat_ioctl() (Ming Lei) [2066297] - scsi: sg: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: ch: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: sd: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: sr: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: bsg: Remove support for SCSI_IOCTL_SEND_COMMAND (Ming Lei) [2066297] - block: fix argument type of bio_trim() (Ming Lei) [2066297] - powerpc/xive: Export XIVE IPI information for online-only processors. (Steve Best) [2038242] - RDMA/cma: Do not change route.addr.src_addr outside state checks (Kamal Heib) [2056771] - RDMA/ib_srp: Fix a deadlock (Kamal Heib) [2056771] - RDMA/siw: Fix broken RDMA Read Fence/Resume logic. (Kamal Heib) [2056771] - IB/cm: Release previously acquired reference counter in the cm_id_priv (Kamal Heib) [2056771] - RDMA/siw: Fix refcounting leak in siw_create_qp() (Kamal Heib) [2056771] - RDMA/ucma: Protect mc during concurrent multicast leaves (Kamal Heib) [2056771] - RDMA/cma: Use correct address when leaving multicast group (Kamal Heib) [2056771] - IB/hfi1: Fix tstats alloc and dealloc (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix AIP early init panic (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix alloc failure with larger txqueuelen (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix panic with larger ipoib send_queue_size (Kamal Heib) [2049164 2056771] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Kamal Heib) [2056771] - RDMA/irdma: Remove the redundant return (Kamal Heib) [2037988 2056771] - RDMA/irdma: Make the source udp port vary (Kamal Heib) [2037988 2056771] - RDMA/core: Calculate UDP source port based on flow label or lqpn/rqpn (Kamal Heib) [2056771] - IB/iser: Align coding style across driver (Kamal Heib) [2056771] - IB/iser: Remove un-needed casting to/from void pointer (Kamal Heib) [2056771] - IB/iser: Don't suppress send completions (Kamal Heib) [2056771] - IB/iser: Rename ib_ret local variable (Kamal Heib) [2056771] - IB/iser: Fix RNR errors (Kamal Heib) [2056771] - IB/iser: Remove deprecated pi_guard module param (Kamal Heib) [2056771] - IB/mlx5: Expose NDR speed through MAD (Kamal Heib) [2056771] - RDMA/mad: Delete duplicated init_query_mad functions (Kamal Heib) [2056771] - RDMA: Use default_groups in kobj_type (Kamal Heib) [2056771] - RDMA/irdma: Use auxiliary_device driver data helpers (Kamal Heib) [2037988 2056771] - driver core: auxiliary bus: Add driver data helpers (Kamal Heib) [2056771] - RDMA/cma: Let cma_resolve_ib_dev() continue search even after empty entry (Kamal Heib) [2056771] - RDMA/core: Let ib_find_gid() continue search even after empty entry (Kamal Heib) [2056771] - RDMA/core: Modify rdma_query_gid() to return accurate error codes (Kamal Heib) [2056771] - RDMA/irdma: Fix the type used to declare a bitmap (Kamal Heib) [2037988 2056771] - IB/core: Remove redundant pointer mm (Kamal Heib) [2056771] - RDMA/uverbs: Remove the unnecessary assignment (Kamal Heib) [2056771] - RDMA/siw: Use max() instead of doing it manually (Kamal Heib) [2056771] - RDMA/pvrdma: Use non-atomic bitmap functions when possible (Kamal Heib) [2056771] - RDMA/pvrdma: Use bitmap_zalloc() when applicable (Kamal Heib) [2056771] - IB/hfi1: Use bitmap_zalloc() when applicable (Kamal Heib) [2049164 2056771] - RDMA/siw: Use helper function to set sys_image_guid (Kamal Heib) [2056771] - RDMA/cma: Remove open coding of overflow checking for private_data_len (Kamal Heib) [2056771] - RDMA/usnic: Clean up usnic_ib_alloc_pd() (Kamal Heib) [2056771] - RDMA/irdma: Use helper function to set GUIDs (Kamal Heib) [2037988 2056771] - IB/hfi1: Fix leak of rcvhdrtail_dummy_kvaddr (Kamal Heib) [2049164 2056770] - IB/hfi1: Fix early init panic (Kamal Heib) [2049164 2056770] - IB/hfi1: Insure use of smp_processor_id() is preempt disabled (Kamal Heib) [2049164 2056770] - IB/hfi1: Correct guard on eager buffer deallocation (Kamal Heib) [2049164 2056770] - RDMA/nldev: Check stat attribute before accessing it (Kamal Heib) [2056770] - IB/hfi1: Properly allocate rdma counter desc memory (Kamal Heib) [2049164 2056770] - RDMA/core: Set send and receive CQ before forwarding to the driver (Kamal Heib) [2056770] - RDMA/netlink: Add __maybe_unused to static inline in C file (Kamal Heib) [2056770] - RDMA/core: Require the driver to set the IOVA correctly during rereg_mr (Kamal Heib) [2056770] - RDMA/irdma: optimize rx path by removing unnecessary copy (Kamal Heib) [2037988 2056770] - IB/opa_vnic: Rebranding of OPA VNIC driver to Cornelis Networks (Kamal Heib) [2056770] - IB/hfi1: Rebranding of hfi1 driver to Cornelis Networks (Kamal Heib) [2049164 2056770] - RDMA/irdma: Remove the unused variable local_qp (Kamal Heib) [2037988 2056770] - RDMA/core: Fix missed initialization of rdma_hw_stats::lock (Kamal Heib) [2056770] - RDMA/efa: Add support for dmabuf memory regions (Kamal Heib) [2056770] - RDMA/umem: Allow pinned dmabuf umem usage (Kamal Heib) [2056770] - RDMA/irdma: Remove the unused spin lock in struct irdma_qp_uk (Kamal Heib) [2037988 2056770] - RDMA: Constify netdev->dev_addr accesses (Kamal Heib) [2056770] - RDMA/ipoib: Use dev_addr_mod() (Kamal Heib) [2056770] - RDMA/core: Use kvzalloc when allocating the struct ib_port (Kamal Heib) [2056770] - RDMA/irdma: Make irdma_uk_cq_init() return a void (Kamal Heib) [2037988 2056770] - RDMA/core: Set sgtable nents when using ib_dma_virt_map_sg() (Kamal Heib) [2056770] - RDMA/irdma: Remove irdma_cqp_up_map_cmd() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_get_hw_addr() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_sc_send_lsmm_nostag() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_uk_mw_bind() (Kamal Heib) [2037988 2056770] - RDMA: Remove redundant 'flush_workqueue()' calls (Kamal Heib) [2056770] - RDMA/iwpm: Remove redundant initialization of pointer err_str (Kamal Heib) [2056770] - RDMA/nldev: Allow optional-counter status configuration through RDMA netlink (Kamal Heib) [2056770] - RDMA/nldev: Split nldev_stat_set_mode_doit out of nldev_stat_set_doit (Kamal Heib) [2056770] - RDMA/nldev: Add support to get status of all counters (Kamal Heib) [2056770] - RDMA/counter: Add optional counter support (Kamal Heib) [2056770] - RDMA/counter: Add an is_disabled field in struct rdma_hw_stats (Kamal Heib) [2056770] - RDMA/core: Add a helper API rdma_free_hw_stats_struct (Kamal Heib) [2056770] - RDMA/bnxt_re: Fix kernel panic when trying to access bnxt_re_stat_descs (Kamal Heib) [2056770] - RDMA/counter: Add a descriptor in struct rdma_hw_stats (Kamal Heib) [2056770] - RDMA/bnxt_re: Update statistics counter name (Kamal Heib) [2056770] - RDMA/bnxt_re: Add extended statistics counters (Kamal Heib) [2056770] - RDMA/efa: CQ notifications (Kamal Heib) [2056770] - RDMA/irdma: Delete unused struct irdma_bth (Kamal Heib) [2037988 2056770] - IB/hf1: Use string_upper() instead of an open coded variant (Kamal Heib) [2056770] - RDMA/rw: switch to dma_map_sgtable() (Kamal Heib) [2056770] - RDMA/cma: Split apart the multiple uses of the same list heads (Kamal Heib) [2056770] - RDMA/hfi1: Use struct_size() and flex_array_size() helpers (Kamal Heib) [2049164 2056770] - IB/hfi1: Add ring consumer and producers traces (Kamal Heib) [2049164 2056770] - IB/hfi1: Remove atomic completion count (Kamal Heib) [2049164 2056770] - IB/hfi1: Tune netdev xmit cachelines (Kamal Heib) [2049164 2056770] - IB/hfi1: Get rid of tx priv backpointer (Kamal Heib) [2049164 2056770] - IB/hfi1: Get rid of hot path divide (Kamal Heib) [2049164 2056770] - IB/hfi1: Remove cache and embed txreq in ring (Kamal Heib) [2049164 2056770] - RDMA/rdmavt: Fix error code in rvt_create_qp() (Kamal Heib) [2049164 2056769] - IB/hfi1: Fix abba locking issue with sc_disable() (Kamal Heib) [2049164 2056769] - RDMA/hfi1: Fix kernel pointer leak (Kamal Heib) [2049164 2056769] - RDMA/usnic: Lock VF with mutex instead of spinlock (Kamal Heib) [2056769] - IB/hfi1: make hist static (Kamal Heib) [2049164 2056769] - RDMA/hfi1: Convert to SPDX identifier (Kamal Heib) [2049164 2056769] - IB/rdmavt: Convert to SPDX identifier (Kamal Heib) [2049164 2056769] - RDMA/irdma: Remove the repeated declaration (Kamal Heib) [2037988 2056769] - RDMA/core/sa_query: Retry SA queries (Kamal Heib) [2056769] - RDMA: Use the sg_table directly and remove the opencoded version from umem (Kamal Heib) [2056769] - RDMA: switch from 'pci_' to 'dma_' API (Kamal Heib) [2056769] - RDMA/efa: Rename vector field in efa_irq struct to irqn (Kamal Heib) [2056769] - RDMA/efa: Remove unused cpu field from irq struct (Kamal Heib) [2056769] - RDMA/core/sa_query: Remove unused function (Kamal Heib) [2056769] - RDMA/hfi1: Stop using seq_get_buf in _driver_stats_seq_show (Kamal Heib) [2049164 2056769] - RDMA/core: Create clean QP creations interface for uverbs (Kamal Heib) [2056769] - RDMA/core: Properly increment and decrement QP usecnts (Kamal Heib) [2056769] - RDMA/core: Configure selinux QP during creation (Kamal Heib) [2056769] - RDMA/core: Reorganize create QP low-level functions (Kamal Heib) [2056769] - RDMA/core: Remove protection from wrong in-kernel API usage (Kamal Heib) [2056769] - RDMA/core: Delete duplicated and unreachable code (Kamal Heib) [2056769] - rdma/qedr: Fix crash due to redundant release of device's qp memory (Kamal Heib) [2056769] - RDMA: Globally allocate and release QP memory (Kamal Heib) [2056769] - RDMA/mlx5: Rework custom driver QP type creation (Kamal Heib) [2056769] - RDMA/rdmavt: Decouple QP and SGE lists allocations (Kamal Heib) [2049164 2056769] - RDMA/efa: Remove double QP type assignment (Kamal Heib) [2056769] - RDMA/hfi1: Fix typo in comments (Kamal Heib) [2049164 2056769] - RDMA/iwpm: Rely on the rdma_nl_[un]register() to ensure that requests are valid (Kamal Heib) [2056769] - RDMA/iwpm: Remove not-needed reference counting (Kamal Heib) [2056769] - RDMA/hfi1: Convert from atomic_t to refcount_t on hfi1_devdata->user_refcount (Kamal Heib) [2049164 2056769] - IB/hfi1: Adjust pkey entry in index 0 (Kamal Heib) [2049164 2056769] - IB/hfi1: Indicate DMA wait when txq is queued for wakeup (Kamal Heib) [2049164 2056769] - IB/core: Read subnet_prefix in ib_query_port via cache. (Kamal Heib) [2056769] - IB/core: Shifting initialization of device->cache_lock (Kamal Heib) [2056769] - IB/core: Updating cache for subnet_prefix in config_non_roce_gid_cache() (Kamal Heib) [2056769] - RDMA/efa: Split hardware stats to device and port stats (Kamal Heib) [2056769] - RDMA/siw: Convert siw_tx_hdt() to kmap_local_page() (Kamal Heib) [2056769] - RDMA/siw: Remove kmap() (Kamal Heib) [2056769] - lib/scatterlist: Fix wrong update of orig_nents (Kamal Heib) [2056769] - lib/scatterlist: Provide a dedicated function to support table append (Kamal Heib) [2056769] - PCI: mt7621: Remove unused function pcie_rmw() (Myron Stowe) [2052155] - PCI: mt7621: Drop of_match_ptr() to avoid unused variable (Myron Stowe) [2052155] - PCI: qcom: Fix an error handling path in 'qcom_pcie_probe()' (Myron Stowe) [2052155] - PCI: mt7621: Rename mt7621_pci_ to mt7621_pcie_ (Myron Stowe) [2052155] - PCI: mt7621: Add missing MODULE_LICENSE() (Myron Stowe) [2052155] - PCI: mt7621: Move MIPS setup to pcibios_root_bridge_prepare() (Myron Stowe) [2052155] - PCI: mt7621: Declare mt7621_pci_ops static (Myron Stowe) [2052155] - PCI: mt7621: Convert driver into 'bool' (Myron Stowe) [2052155] - PCI: xgene: Use PCI_VENDOR_ID_AMCC macro (Myron Stowe) [2052155] - PCI: rcar-host: Remove unneeded includes (Myron Stowe) [2052155] - PCI: rcar-ep: Remove unneeded includes (Myron Stowe) [2052155] - PCI: qcom: Add sc8180x compatible (Myron Stowe) [2052155] - PCI: qcom: Switch pcie_1_pipe_clk_src after PHY init in SC7280 (Myron Stowe) [2052155] - PCI: qcom: Replace ops with struct pcie_cfg in pcie match data (Myron Stowe) [2052155] - MAINTAINERS: Add entry for Qualcomm PCIe Endpoint driver and binding (Myron Stowe) [2052155] - PCI: qcom-ep: Add Qualcomm PCIe Endpoint controller driver (Myron Stowe) [2052155] - dt-bindings: PCI: Add Qualcomm PCIe Endpoint controller (Myron Stowe) [2052155] - MAINTAINERS: Add Sergio Paracuellos as MT7621 PCIe maintainer (Myron Stowe) [2052155] - PCI: mt7621: Add MediaTek MT7621 PCIe host controller driver (Myron Stowe) [2052155] - dt-bindings: PCI: Add MT7621 SoC PCIe host controller (Myron Stowe) [2052155] - staging: mt7621-pci: fix hang when nothing is connected to pcie ports (Myron Stowe) [2052155] - PCI: kirin: Allow removing the driver (Myron Stowe) [2052155] - PCI: kirin: De-init the dwc driver (Myron Stowe) [2052155] - PCI: kirin: Disable clkreq during poweroff sequence (Myron Stowe) [2052155] - PCI: kirin: Move the power-off code to a common routine (Myron Stowe) [2052155] - PCI: kirin: Add power_off support for Kirin 960 PHY (Myron Stowe) [2052155] - PCI: kirin: Allow building it as a module (Myron Stowe) [2052155] - PCI: kirin: Add MODULE_* macros (Myron Stowe) [2052155] - PCI: kirin: Add Kirin 970 compatible (Myron Stowe) [2052155] - PCI: kirin: Support PERST# GPIOs for HiKey970 external PEX 8606 bridge (Myron Stowe) [2052155] - PCI: kirin: Use regmap for APB registers (Myron Stowe) [2052155] - PCI: kirin: Add support for a PHY layer (Myron Stowe) [2052155] - PCI: kirin: Reorganize the PHY logic inside the driver (Myron Stowe) [2052155] - PCI: imx6: Remove unused assignment to variable ret (Myron Stowe) [2052155] - PCI: endpoint: Use sysfs_emit() in "show" functions (Myron Stowe) [2052155] - PCI: uniphier: Serialize INTx masking/unmasking and fix the bit operation (Myron Stowe) [2052155] - PCI: dwc: Perform host_init() before registering msi (Myron Stowe) [2052155] - PCI: visconti: Remove surplus dev_err() when using platform_get_irq_byname() (Myron Stowe) [2052155] - PCI: dwc: Clean up Kconfig dependencies (PCIE_DW_EP) (Myron Stowe) [2052155] - PCI: dwc: Clean up Kconfig dependencies (PCIE_DW_HOST) (Myron Stowe) [2052155] - PCI: dra7xx: Get an optional clock (Myron Stowe) [2052155] - PCI: dra7xx: Remove unused include (Myron Stowe) [2052155] - PCI: dra7xx: Make it a kernel module (Myron Stowe) [2052155] - PCI: dwc: Export more symbols to allow modular drivers (Myron Stowe) [2052155] - dt-bindings: rockchip: Add DesignWare based PCIe controller (Myron Stowe) [2052155] - PCI: cadence: Add cdns_plat_pcie_probe() missing return (Myron Stowe) [2052155] - PCI: j721e: Fix j721e_pcie_probe() error path (Myron Stowe) [2052155] - PCI: aardvark: Fix support for PCI_BRIDGE_CTL_BUS_RESET on emulated bridge (Myron Stowe) [2052155] - PCI: aardvark: Set PCI Bridge Class Code to PCI Bridge (Myron Stowe) [2052155] - PCI: aardvark: Fix support for bus mastering and PCI_COMMAND on emulated bridge (Myron Stowe) [2052155] - PCI: aardvark: Read all 16-bits from PCIE_MSI_PAYLOAD_REG (Myron Stowe) [2052155] - PCI: aardvark: Fix return value of MSI domain .alloc() method (Myron Stowe) [2052155] - PCI: pci-bridge-emul: Fix emulation of W1C bits (Myron Stowe) [2052155] - PCI: aardvark: Fix reporting Data Link Layer Link Active (Myron Stowe) [2052155] - PCI: aardvark: Fix checking for link up via LTSSM state (Myron Stowe) [2052155] - PCI: aardvark: Fix link training (Myron Stowe) [2052155] - PCI: aardvark: Simplify initialization of rootcap on virtual bridge (Myron Stowe) [2052155] - PCI: aardvark: Do not unmask unused interrupts (Myron Stowe) [2052155] - PCI: aardvark: Do not clear status bits of masked interrupts (Myron Stowe) [2052155] - PCI: aardvark: Fix configuring Reference clock (Myron Stowe) [2052155] - PCI: aardvark: Don't spam about PIO Response Status (Myron Stowe) [2052155] - PCI: aardvark: Fix PCIe Max Payload Size setting (Myron Stowe) [2052155] - PCI: Add PCI_EXP_DEVCTL_PAYLOAD_* macros (Myron Stowe) [2052155] - PCI: vmd: Drop redundant includes of <asm/device.h>, <asm/msi.h> (Myron Stowe) [2052155] - PCI: cpqphp: Use <linux/io.h> instead of <asm/io.h> (Myron Stowe) [2052155] - MAINTAINERS: Update PCI subsystem information (Myron Stowe) [2052155] - PCI: Prefer 'unsigned int' over bare 'unsigned' (Myron Stowe) [2052155] - PCI: Remove redundant 'rc' initialization (Myron Stowe) [2052155] - PCI: Remove unused pci_pool wrappers (Myron Stowe) [2052155] - PCI: cpqphp: Format if-statement code block correctly (Myron Stowe) [2052155] - PCI: Use unsigned to match sscanf("%%x") in pci_dev_str_match_path() (Myron Stowe) [2052155] - PCI: Correct misspelled and remove duplicated words (Myron Stowe) [2052155] - PCI: Tidy comments (Myron Stowe) [2052155] - cxgb3: Remove seeprom_write and use VPD API (Myron Stowe) [2052155] - cxgb3: Use VPD API in t3_seeprom_wp() (Myron Stowe) [2052155] - cxgb3: Remove t3_seeprom_read and use VPD API (Myron Stowe) [2052155] - PCI/VPD: Use pci_read_vpd_any() in pci_vpd_size() (Myron Stowe) [2052155] - PCI/VPD: Add pci_read/write_vpd_any() (Myron Stowe) [2052155] - PCI: Add ACS quirk for Pericom PI7C9X2G switches (Myron Stowe) [2052155] - PCI: Mark Atheros QCA6174 to avoid bus reset (Myron Stowe) [2052155] - PCI: Use kstrtobool() directly, sans strtobool() wrapper (Myron Stowe) [2052155] - PCI/sysfs: Return -EINVAL consistently from "store" functions (Myron Stowe) [2052155] - PCI/sysfs: Check CAP_SYS_ADMIN before parsing user input (Myron Stowe) [2052155] - PCI/switchtec: Add check of event support (Myron Stowe) [2052155] - PCI/switchtec: Replace ENOTSUPP with EOPNOTSUPP (Myron Stowe) [2052155] - PCI/switchtec: Update the way of getting management VEP instance ID (Myron Stowe) [2052155] - PCI/switchtec: Fix a MRPC error status handling issue (Myron Stowe) [2052155] - PCI/switchtec: Error out MRPC execution when MMIO reads fail (Myron Stowe) [2052155] - PCI: Coalesce host bridge contiguous apertures (Myron Stowe) [2052155] - PCI/P2PDMA: Apply bus offset correctly in DMA address calculation (Myron Stowe) [2052155] - PCI/sysfs: Explicitly show first MSI IRQ for 'irq' (Myron Stowe) [2052155] - PCI: Document /sys/bus/pci/devices/.../irq (Myron Stowe) [2052155] - PCI/ERR: Reduce compile time for CONFIG_PCIEAER=n (Myron Stowe) [2052155] - PCI/portdrv: Remove unused pcie_port_bus_{,un}register() declarations (Myron Stowe) [2052155] - PCI/portdrv: Remove unused resume err_handler (Myron Stowe) [2052155] - PCI: pciehp: Ignore Link Down/Up caused by error-induced Hot Reset (Myron Stowe) [2052155] - PCI/portdrv: Rename pm_iter() to pcie_port_device_iter() (Myron Stowe) [2052155] - x86/pci/probe_roms: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - perf/x86/intel/uncore: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - powerpc/eeh: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - usb: xhci: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - cxl: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - cxl: Factor out common dev->driver expressions (Myron Stowe) [2052155] - xen/pcifront: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - xen/pcifront: Drop pcifront_common_process() tests of pcidev, pdrv (Myron Stowe) [2052155] - nfp: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - mlxsw: pci: Use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - net: marvell: prestera: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - net: hns3: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - crypto: hisilicon - use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - powerpc/eeh: Use dev_driver_string() instead of struct pci_dev->driver->name (Myron Stowe) [2052155] - ssb: Use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - bcma: simplify reference to driver name (Myron Stowe) [2052155] - scsi: message: fusion: Remove unused mpt_pci driver .probe() 'id' parameter (Myron Stowe) [2052155] - PCI/ERR: Factor out common dev->driver expressions (Myron Stowe) [2052155] - PCI: Drop pci_device_probe() test of !pci_dev->driver (Myron Stowe) [2052155] - PCI: Drop pci_device_remove() test of pci_dev->driver (Myron Stowe) [2052155] - PCI: Return NULL for to_pci_driver(NULL) (Myron Stowe) [2052155] - PCI: Do not enable AtomicOps on VFs (Myron Stowe) [2052155] - PCI: Rename pcibios_add_device() to pcibios_device_add() (Myron Stowe) [2052155] - PCI: Re-enable Downstream Port LTR after reset or hotplug (Myron Stowe) [2052155] - rcu-tasks: Fix computation of CPU-to-list shift counts (Waiman Long) [2065994] - torture: Properly redirect kvm-remote.sh "echo" commands (Waiman Long) [2065994] - torture: Fix incorrectly redirected "exit" in kvm-remote.sh (Waiman Long) [2065994] - rcu/exp: Mark current CPU as exp-QS in IPI loop second pass (Waiman Long) [2065994] - rcu-tasks: Use fewer callbacks queues if callback flood ends (Waiman Long) [2065994] - rcu-tasks: Use separate ->percpu_dequeue_lim for callback dequeueing (Waiman Long) [2065994] - rcutorture: Test RCU Tasks lock-contention detection (Waiman Long) [2065994] - rcu-tasks: Use more callback queues if contention encountered (Waiman Long) [2065994] - rcu-tasks: Avoid raw-spinlocked wakeups from call_rcu_tasks_generic() (Waiman Long) [2065994] - rcutorture: Combine n_max_cbs from all kthreads in a callback flood (Waiman Long) [2065994] - rcutorture: Add ability to limit callback-flood intensity (Waiman Long) [2065994] - rcutorture: Cause TREE02 and TREE10 scenarios to do more callback flooding (Waiman Long) [2065994] - rcutorture: Enable multiple concurrent callback-flood kthreads (Waiman Long) [2065994] - rcu/nocb: Merge rcu_spawn_cpu_nocb_kthread() and rcu_spawn_one_nocb_kthread() (Waiman Long) [2065994] - rcu/nocb: Allow empty "rcu_nocbs" kernel parameter (Waiman Long) [2065994] - rcu/nocb: Create kthreads on all CPUs if "rcu_nocbs=" or "nohz_full=" are passed (Waiman Long) [2065994] - rcu/nocb: Optimize kthreads and rdp initialization (Waiman Long) [2065994] - rcu/nocb: Prepare nocb_cb_wait() to start with a non-offloaded rdp (Waiman Long) [2065994] - rcu/nocb: Remove rcu_node structure from nocb list when de-offloaded (Waiman Long) [2065994] - rcu-tasks: Count trylocks to estimate call_rcu_tasks() contention (Waiman Long) [2065994] - torture: Retry download once before giving up (Waiman Long) [2065994] - rcutorture: Add CONFIG_PREEMPT_DYNAMIC=n to tiny scenarios (Waiman Long) [2065994] - rcu: Avoid alloc_pages() when recording stack (Waiman Long) [2065994] - rcu: Avoid running boost kthreads on isolated CPUs (Waiman Long) [2065994] - rcutorture: Test RCU-tasks multiqueue callback queueing (Waiman Long) [2065994] - rcu-tasks: Add rcupdate.rcu_task_enqueue_lim to set initial queueing (Waiman Long) [2065994] - rcu-tasks: Make rcu_barrier_tasks*() handle multiple callback queues (Waiman Long) [2065994] - rcu-tasks: Use workqueues for multiple rcu_tasks_invoke_cbs() invocations (Waiman Long) [2065994] - torture: Make kvm-find-errors.sh report link-time undefined symbols (Waiman Long) [2065994] - rcutorture: Avoid soft lockup during cpu stall (Waiman Long) [2065994] - rcu-tasks: Abstract invocations of callbacks (Waiman Long) [2065994] - rcu-tasks: Abstract checking of callback lists (Waiman Long) [2065994] - rcu-tasks: Add a ->percpu_enqueue_lim to the rcu_tasks structure (Waiman Long) [2065994] - rcu-tasks: Inspect stalled task's trc state in locked state (Waiman Long) [2065994] - rcu-tasks: Use spin_lock_rcu_node() and friends (Waiman Long) [2065994] - rcu_tasks: Convert bespoke callback list to rcu_segcblist structure (Waiman Long) [2065994] - rcu-tasks: Convert grace-period counter to grace-period sequence number (Waiman Long) [2065994] - rcu-tasks: Introduce ->percpu_enqueue_shift for dynamic queue selection (Waiman Long) [2065994] - rcu-tasks: Create per-CPU callback lists (Waiman Long) [2065994] - locktorture,rcutorture,torture: Always log error message (Waiman Long) [2065994] - scftorture: Always log error message (Waiman Long) [2065994] - doc: RCU: Avoid 'Symbol' font-family in SVG figures (Waiman Long) [2065994] - rcuscale: Always log error message (Waiman Long) [2065994] - scftorture: Account for weight_resched when checking for all zeroes (Waiman Long) [2065994] - scftorture: Remove unused SCFTORTOUT (Waiman Long) [2065994] - scftorture: Add missing '\n' to flush message (Waiman Long) [2065994] - refscale: Add missing '\n' to flush message (Waiman Long) [2065994] - doc: Add refcount analogy to What is RCU (Waiman Long) [2065994] - refscale: Always log the error message (Waiman Long) [2065994] - refscale: Prevent buffer to pr_alert() being too long (Waiman Long) [2065994] - refscale: Simplify the errexit checkpoint (Waiman Long) [2065994] - rcu: Improve tree_plugin.h comments and add code cleanups (Waiman Long) [2065994] - rcu/nocb: Don't invoke local rcu core on callback overload from nocb kthread (Waiman Long) [2065994] - rcu: Apply callbacks processing time limit only on softirq (Waiman Long) [2065994] - rcu: Fix callbacks processing time limit retaining cond_resched() (Waiman Long) [2065994] - rcu/nocb: Limit number of softirq callbacks only on softirq (Waiman Long) [2065994] - rcu/nocb: Use appropriate rcu_nocb_lock_irqsave() (Waiman Long) [2065994] - rcu/nocb: Check a stable offloaded state to manipulate qlen_last_fqs_check (Waiman Long) [2065994] - rcu/nocb: Make rcu_core() callbacks acceleration (de-)offloading safe (Waiman Long) [2065994] - rcu/nocb: Make rcu_core() callbacks acceleration preempt-safe (Waiman Long) [2065994] - rcu/nocb: Invoke rcu_core() at the start of deoffloading (Waiman Long) [2065994] - rcu/nocb: Prepare state machine for a new step (Waiman Long) [2065994] - rcu/nocb: Make local rcu_nocb_lock_irqsave() safe against concurrent deoffloading (Waiman Long) [2065994] - rcu: Make idle entry report expedited quiescent states (Waiman Long) [2065994] - rcu: Prevent expedited GP from enabling tick on offline CPU (Waiman Long) [2065994] - rcu: Mark sync_sched_exp_online_cleanup() ->cpu_no_qs.b.exp load (Waiman Long) [2065994] - rcu: Always inline rcu_dynticks_task*_{enter,exit}() (Waiman Long) [2065994] - rcu: in_irq() cleanup (Waiman Long) [2065994] - doc: Remove obsolete kernel-per-CPU-kthreads RCU_FAST_NO_HZ advice (Waiman Long) [2065994] - rcutorture: Suppress pi-lock-across read-unlock testing for Tiny SRCU (Waiman Long) [2065994] - srcu: Prevent redundant __srcu_read_unlock() wakeup (Waiman Long) [2065994] - rcutorture: More thoroughly test nested readers (Waiman Long) [2065994] - rcutorture: Sanitize RCUTORTURE_RDR_MASK (Waiman Long) [2065994] - torture: Catch kvm.sh help text up with actual options (Waiman Long) [2065994] - rcu-tasks: Don't remove tasks with pending IPIs from holdout list (Waiman Long) [2065994] - rcu: Remove rcu_data.exp_deferred_qs and convert to rcu_data.cpu no_qs.b.exp (Waiman Long) [2065994] - rcu: Move rcu_data.cpu_no_qs.b.exp reset to rcu_export_exp_rdp() (Waiman Long) [2065994] - rcu: Ignore rdp.cpu_no_qs.b.exp on preemptible RCU's rcu_qs() (Waiman Long) [2065994] - rcu-tasks: Update comments to cond_resched_tasks_rcu_qs() (Waiman Long) [2065994] - rcu: Replace ________p1 and _________p1 with __UNIQUE_ID(rcu) (Waiman Long) [2065994] - rcu-tasks: Fix IPI failure handling in trc_wait_for_one_reader (Waiman Long) [2065994] - rcu-tasks: Fix read-side primitives comment for call_rcu_tasks_trace (Waiman Long) [2065994] - rcutorture: Avoid problematic critical section nesting on PREEMPT_RT (Waiman Long) [2065994] - rcu: Fix existing exp request check in sync_sched_exp_online_cleanup() (Waiman Long) [2065994] - rcu-tasks: Clarify read side section info for rcu_tasks_rude GP primitives (Waiman Long) [2065994] - rcu-tasks: Correct comparisons for CPU numbers in show_stalled_task_trace (Waiman Long) [2065994] - rcu-tasks: Correct firstreport usage in check_all_holdout_tasks_trace (Waiman Long) [2065994] - rcu-tasks: Fix s/rcu_add_holdout/trc_add_holdout/ typo in comment (Waiman Long) [2065994] - rcu-tasks: Move RTGS_WAIT_CBS to beginning of rcu_tasks_kthread() loop (Waiman Long) [2065994] - rcu: Make rcu update module parameters world-readable (Waiman Long) [2065994] - rcu: Make rcu_normal_after_boot writable again (Waiman Long) [2065994] - rcutorture: Don't cpuhp_remove_state() if cpuhp_setup_state() failed (Waiman Long) [2065994] - rcuscale: Warn on individual rcu_scale_init() error conditions (Waiman Long) [2065994] - locktorture: Warn on individual lock_torture_init() error conditions (Waiman Long) [2065994] - rcutorture: Warn on individual rcu_torture_init() error conditions (Waiman Long) [2065994] - rcu-tasks: Fix s/instruction/instructions/ typo in comment (Waiman Long) [2065994] - rcutorture: Suppressing read-exit testing is not an error (Waiman Long) [2065994] - rcu-tasks: Wait for trc_read_check_handler() IPIs (Waiman Long) [2065994] - rcu: Make rcutree_dying_cpu() use its "cpu" parameter (Waiman Long) [2065994] - rcu: Simplify rcu_report_dead() call to rcu_report_exp_rdp() (Waiman Long) [2065994] - rcu: Move rcu_dynticks_eqs_online() to rcu_cpu_starting() (Waiman Long) [2065994] - rcu-tasks: Remove second argument of rcu_read_unlock_trace_special() (Waiman Long) [2065994] - rcu-tasks: Add trc_inspect_reader() checks for exiting critical section (Waiman Long) [2065994] - rcu-tasks: Simplify trc_read_check_handler() atomic operations (Waiman Long) [2065994] - rcu: Comment rcu_gp_init() code waiting for CPU-hotplug operations (Waiman Long) [2065994] - rcu: Fix undefined Kconfig macros (Waiman Long) [2065994] - doc: Add another stall-warning root cause in stallwarn.rst (Waiman Long) [2065994] - rcu: Eliminate rcu_implicit_dynticks_qs() local variable ruqp (Waiman Long) [2065994] - rcu: Eliminate rcu_implicit_dynticks_qs() local variable rnhqp (Waiman Long) [2065994] - rcu-nocb: Fix a couple of tree_nocb code-style nits (Waiman Long) [2065994] - torture: Make torture.sh print the number of files to be compressed (Waiman Long) [2065994] - torture: Apply CONFIG_KCSAN_STRICT to kvm.sh --kcsan argument (Waiman Long) [2065994] - x86/tsc: Disable clocksource watchdog for TSC on qualified platorms (David Arcari) [2057923] - x86/tsc: Add a timer to make sure TSC_adjust is always checked (David Arcari) [2057923] - Revert "xfs: actually bump warning counts when we send warnings" (Carlos Maiolino) [2060081] - vfs: check dentry is still valid in get_link() (Ian Kent) [2048567] - xfs: don't expose internal symlink metadata buffers to the vfs (Ian Kent) [2048567] - audit: log AUDIT_TIME_* records only from rules (Richard Guy Briggs) [2035124] - audit: don't deref the syscall args when checking the openat2 open_how::flags (Richard Guy Briggs) [2035124] - audit: improve audit queue handling when "audit=1" on cmdline (Richard Guy Briggs) [2035124] - audit: replace zero-length array with flexible-array member (Richard Guy Briggs) [2035124] - audit: use struct_size() helper in audit_[send|make]_reply() (Richard Guy Briggs) [2035124] - audit: ensure userspace is penalized the same as the kernel when under pressure (Richard Guy Briggs) [2035124] - audit: use struct_size() helper in kmalloc() (Richard Guy Briggs) [2035124] - audit: improve robustness of the audit queue handling (Richard Guy Briggs) [2035124] - fsnotify: clarify contract for create event hooks (Richard Guy Briggs) [2035124] - fsnotify: pass dentry instead of inode data (Richard Guy Briggs) [2035124] - fsnotify: pass data_type to fsnotify_name() (Richard Guy Briggs) [2035124] - fsnotify: fix sb_connectors leak (Richard Guy Briggs) [2035124] - fsnotify: optimize the case of no marks of any type (Richard Guy Briggs) [2035124] - fsnotify: count all objects with attached connectors (Richard Guy Briggs) [2035124] - fsnotify: count s_fsnotify_inode_refs for attached connectors (Richard Guy Briggs) [2035124] - fsnotify: replace igrab() with ihold() on attach connector (Richard Guy Briggs) [2035124] - audit: return early if the filter rule has a lower priority (Richard Guy Briggs) [2035124] - audit: add OPENAT2 record to list "how" info (Richard Guy Briggs) [2035124] - audit: add support for the openat2 syscall (Richard Guy Briggs) [2035124] - audit: replace magic audit syscall class numbers with macros (Richard Guy Briggs) [2035124] - lsm_audit: avoid overloading the "key" audit field (Richard Guy Briggs) [2035124] - audit: Convert to SPDX identifier (Richard Guy Briggs) [2035124] - audit: rename struct node to struct audit_node to prevent future name collisions (Richard Guy Briggs) [2035124] - audit: add filtering for io_uring records (Richard Guy Briggs) [2035124] - audit,io_uring,io-wq: add some basic audit support to io_uring (Richard Guy Briggs) [2035124] - audit: prepare audit_context for use in calling contexts beyond syscalls (Richard Guy Briggs) [2035124] - audit: fix possible null-pointer dereference in audit_filter_rules (Richard Guy Briggs) [2035124] - audit: add header protection to kernel/audit.h (Richard Guy Briggs) [2035124] Resolves: rhbz#2056769, rhbz#2056770, rhbz#2057923, rhbz#2056771, rhbz#2065994, rhbz#2048779, rhbz#2049164, rhbz#2068688, rhbz#2020113, rhbz#2068432, rhbz#2063067, rhbz#2062428, rhbz#2038242, rhbz#2063906, rhbz#2037988, rhbz#2073506, rhbz#2060081, rhbz#2035124, rhbz#2061556, rhbz#2024054, rhbz#2048567, rhbz#2036152, rhbz#2066297, rhbz#2052155 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-19 10:50:59 +00:00
# CONFIG_CAN_SOFTING is not set
# CONFIG_CAN_UCAN is not set
CONFIG_CAN_VCAN=m
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
CONFIG_CAN_VXCAN=m
# CONFIG_CAN_XILINXCAN is not set
kernel-5.14.0-68.el9 * Tue Feb 22 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-68.el9] - net: ena: Extract recurring driver reset code into a function (Petr Oros) [2038150] - net: ena: Change the name of bad_csum variable (Petr Oros) [2038150] - net: ena: Add debug prints for invalid req_id resets (Petr Oros) [2038150] - net: ena: Remove ena_calc_queue_size_ctx struct (Petr Oros) [2038150] - net: ena: Move reset completion print to the reset function (Petr Oros) [2038150] - net: ena: Remove redundant return code check (Petr Oros) [2038150] - net: ena: Update LLQ header length in ena documentation (Petr Oros) [2038150] - net: ena: Change ENI stats support check to use capabilities field (Petr Oros) [2038150] - net: ena: Add capabilities field with support for ENI stats capability (Petr Oros) [2038150] - net: ena: Change return value of ena_calc_io_queue_size() to void (Petr Oros) [2038150] - net: ena: Fix error handling when calculating max IO queues number (Petr Oros) [2038150] - net: ena: Fix wrong rx request id by resetting device (Petr Oros) [2038150] - net: ena: Fix undefined state when tx request id is out of bounds (Petr Oros) [2038150] - net/packet: rx_owner_map depends on pg_vec (Hangbin Liu) [2050329] {CVE-2021-22600} - powerpc: security: Lock down the kernel if booted in secure boot mode (Desnes A. Nunes do Rosario) [2041984] - drm/i915: Flush TLBs before releasing backing store (Dave Airlie) [2044335] {CVE-2022-0330} - sfc: The RX page_ring is optional (Íñigo Huguet) [2042801] - sfc: Check null pointer of rx_queue->page_ring (Íñigo Huguet) [2042801] - sfc_ef100: potential dereference of null pointer (Íñigo Huguet) [2042801] - sfc: Don't use netif_info before net_device setup (Íñigo Huguet) [2042801] - sfc: Export fibre-specific supported link modes (Íñigo Huguet) [2042801] - sfc: Fix reading non-legacy supported link modes (Íñigo Huguet) [2042801] - ethernet: constify references to netdev->dev_addr in drivers (Íñigo Huguet) [2042801] - sfc: last resort fallback for lack of xdp tx queues (Íñigo Huguet) [2042801] - sfc: fallback for lack of xdp tx queues (Íñigo Huguet) [2042801] - RDMA/cma: Do not change route.addr.src_addr.ss_family (Kamal Heib) [2032069] {CVE-2021-4028} - kernel/sched/sched.h: Exclude cpuidle from KABI (Prarit Bhargava) [2033340] - redhat/configs: Disable CONFIG_SENSORS_NCT6683 in RHEL for arm/aarch64 (Dean Nelson) [2041186] - kernel.spec: Add glibc-static build requirement (Prarit Bhargava) - libbpf: Fix memleak in libbpf_netlink_recv() (Toke Høiland-Jørgensen) [2052543] - libbpf: Use dynamically allocated buffer when receiving netlink messages (Toke Høiland-Jørgensen) [2052543] - redhat/configs: Disable arch_hw disabled CONFIGS (Prarit Bhargava) [2053029] - netfilter: conntrack: re-init state for retransmitted syn-ack (Florian Westphal) [2051973] - netfilter: conntrack: move synack init code to helper (Florian Westphal) [2051973] - ext4: fix remount with 'abort' option (Lukas Czerner) [2049657] - thunderbolt: Enable retry logic for intra-domain control packets (David Arcari) [1960445] - thunderbolt: Handle ring interrupt by reading interrupt status register (David Arcari) [1960445] - thunderbolt: Add vendor specific NHI quirk for auto-clearing interrupt status (David Arcari) [1960445] - thunderbolt: Fix port linking by checking all adapters (David Arcari) [1960445] - thunderbolt: Do not read control adapter config space (David Arcari) [1960445] Resolves: rhbz#1960445, rhbz#2032069, rhbz#2033340, rhbz#2038150, rhbz#2041186, rhbz#2041984, rhbz#2042801, rhbz#2044335, rhbz#2049657, rhbz#2050329, rhbz#2051973, rhbz#2052543, rhbz#2053029 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-22 21:11:45 +00:00
# CONFIG_CARDBUS is not set
# CONFIG_CARL9170 is not set
CONFIG_CAVIUM_ERRATUM_30115=y
CONFIG_CB710_CORE=m
# CONFIG_CB710_DEBUG is not set
CONFIG_CC_OPTIMIZE_FOR_PERFORMANCE=y
# CONFIG_CC_OPTIMIZE_FOR_SIZE is not set
# CONFIG_CCS811 is not set
CONFIG_CDROM_PKTCDVD_BUFFERS=8
CONFIG_CDROM_PKTCDVD=m
# CONFIG_CDROM_PKTCDVD_WCACHE is not set
# CONFIG_CEC_CH7322 is not set
kernel-5.14.0-27.el9 * Tue Dec 07 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-27.el9] - x86: change default to spec_store_bypass_disable=prctl spectre_v2_user=prctl (Wander Lairson Costa) [2002637] - Enable PREEMPT_DYNAMIC for all but s390x (Phil Auld) [2019472] - preempt: Restore preemption model selection configs (Phil Auld) [2019472] - sched: Provide Kconfig support for default dynamic preempt mode (Phil Auld) [2019472] - x86/sgx: Add TAINT_TECH_PREVIEW for virtual EPC (Wander Lairson Costa) [2025959] - x86/sgx: mark tech preview (Wander Lairson Costa) [2025959] - ipv6: When forwarding count rx stats on the orig netdev (Hangbin Liu) [2025457] - ipv6: make exception cache less predictible (Hangbin Liu) [2025457] - icmp: fix icmp_ext_echo_iio parsing in icmp_build_probe (Guillaume Nault) [2024572] - net: prefer socket bound to interface when not in VRF (Guillaume Nault) [2024572] - net: ipv4: Fix rtnexthop len when RTA_FLOW is present (Guillaume Nault) [2024572] - nexthop: Fix memory leaks in nexthop notification chain listeners (Guillaume Nault) [2024572] - nexthop: Fix division by zero while replacing a resilient group (Guillaume Nault) [2024572] - ipv4: fix endianness issue in inet_rtm_getroute_build_skb() (Guillaume Nault) [2024572] - crypto: ccp - Make use of the helper macro kthread_run() (Vladis Dronov) [1997595] - crypto: ccp - Fix whitespace in sev_cmd_buffer_len() (Vladis Dronov) [1997595] - crypto: ccp - fix resource leaks in ccp_run_aes_gcm_cmd() (Vladis Dronov) [1997595] {CVE-2021-3744 CVE-2021-3764} - net/l2tp: Fix reference count leak in l2tp_udp_recv_core (Guillaume Nault) [2023271] - scsi: megaraid: Clean up some inconsistent indenting (Tomas Henzl) [1879402] - scsi: megaraid: Fix Coccinelle warning (Tomas Henzl) [1879402] - scsi: megaraid_sas: Driver version update to 07.719.03.00-rc1 (Tomas Henzl) [1879402] - scsi: megaraid_sas: Add helper functions for irq_context (Tomas Henzl) [1879402] - scsi: megaraid_sas: Fix concurrent access to ISR between IRQ polling and real interrupt (Tomas Henzl) [1879402] - tpm: ibmvtpm: Avoid error message when process gets signal while waiting (Štěpán Horáček) [1983089] - char: tpm: cr50_i2c: convert to new probe interface (Štěpán Horáček) [1983089] - char: tpm: Kconfig: remove bad i2c cr50 select (Štěpán Horáček) [1983089] Resolves: rhbz#1879402, rhbz#1983089, rhbz#1997595, rhbz#2002637, rhbz#2019472, rhbz#2023271, rhbz#2024572, rhbz#2025457, rhbz#2025959 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-07 23:42:02 +00:00
# CONFIG_CEC_GPIO is not set
# CONFIG_CEC_SECO is not set
kernel-5.14.0-26.el9 * Mon Dec 06 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-26.el9] - redhat/configs: enable CONFIG_CEPH_FSCACHE (Jeffrey Layton) [2017798] - ceph: add a new metric to keep track of remote object copies (Jeffrey Layton) [2017798] - libceph, ceph: move ceph_osdc_copy_from() into cephfs code (Jeffrey Layton) [2017798] - ceph: clean-up metrics data structures to reduce code duplication (Jeffrey Layton) [2017798] - ceph: split 'metric' debugfs file into several files (Jeffrey Layton) [2017798] - ceph: return the real size read when it hits EOF (Jeffrey Layton) [2017798] - ceph: properly handle statfs on multifs setups (Jeffrey Layton) [2017798] - ceph: shut down mount on bad mdsmap or fsmap decode (Jeffrey Layton) [2017798] - ceph: fix mdsmap decode when there are MDS's beyond max_mds (Jeffrey Layton) [2017798] - ceph: ignore the truncate when size won't change with Fx caps issued (Jeffrey Layton) [2017798] - ceph: don't rely on error_string to validate blocklisted session. (Jeffrey Layton) [2017798] - ceph: just use ci->i_version for fscache aux info (Jeffrey Layton) [2017798] - ceph: shut down access to inode when async create fails (Jeffrey Layton) [2017798] - ceph: refactor remove_session_caps_cb (Jeffrey Layton) [2017798] - ceph: fix auth cap handling logic in remove_session_caps_cb (Jeffrey Layton) [2017798] - ceph: drop private list from remove_session_caps_cb (Jeffrey Layton) [2017798] - ceph: don't use -ESTALE as special return code in try_get_cap_refs (Jeffrey Layton) [2017798] - ceph: print inode numbers instead of pointer values (Jeffrey Layton) [2017798] - ceph: enable async dirops by default (Jeffrey Layton) [2017798] - libceph: drop ->monmap and err initialization (Jeffrey Layton) [2017798] - ceph: convert to noop_direct_IO (Jeffrey Layton) [2017798] - ceph: fix handling of "meta" errors (Jeffrey Layton) [2017798] - ceph: skip existing superblocks that are blocklisted or shut down when mounting (Jeffrey Layton) [2017798] - ceph: fix off by one bugs in unsafe_request_wait() (Jeffrey Layton) [2017798] - ceph: fix dereference of null pointer cf (Jeffrey Layton) [2017798] - ceph: drop the mdsc_get_session/put_session dout messages (Jeffrey Layton) [2017798] - ceph: lockdep annotations for try_nonblocking_invalidate (Jeffrey Layton) [2017798] - ceph: don't WARN if we're forcibly removing the session caps (Jeffrey Layton) [2017798] - ceph: don't WARN if we're force umounting (Jeffrey Layton) [2017798] - ceph: remove the capsnaps when removing caps (Jeffrey Layton) [2017798] - ceph: request Fw caps before updating the mtime in ceph_write_iter (Jeffrey Layton) [2017798] - ceph: reconnect to the export targets on new mdsmaps (Jeffrey Layton) [2017798] - ceph: print more information when we can't find snaprealm (Jeffrey Layton) [2017798] - ceph: add ceph_change_snap_realm() helper (Jeffrey Layton) [2017798] - ceph: remove redundant initializations from mdsc and session (Jeffrey Layton) [2017798] - ceph: cancel delayed work instead of flushing on mdsc teardown (Jeffrey Layton) [2017798] - ceph: add a new vxattr to return auth mds for an inode (Jeffrey Layton) [2017798] - ceph: remove some defunct forward declarations (Jeffrey Layton) [2017798] - ceph: flush the mdlog before waiting on unsafe reqs (Jeffrey Layton) [2017798] - ceph: flush mdlog before umounting (Jeffrey Layton) [2017798] - ceph: make iterate_sessions a global symbol (Jeffrey Layton) [2017798] - ceph: make ceph_create_session_msg a global symbol (Jeffrey Layton) [2017798] - ceph: fix comment about short copies in ceph_write_end (Jeffrey Layton) [2017798] - ceph: fix memory leak on decode error in ceph_handle_caps (Jeffrey Layton) [2017798] Resolves: rhbz#2017798 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-06 18:03:50 +00:00
CONFIG_CEPH_FSCACHE=y
CONFIG_CEPH_FS=m
CONFIG_CEPH_FS_POSIX_ACL=y
CONFIG_CEPH_FS_SECURITY_LABEL=y
CONFIG_CEPH_LIB=m
CONFIG_CEPH_LIB_PRETTYDEBUG=y
CONFIG_CEPH_LIB_USE_DNS_RESOLVER=y
CONFIG_CFG80211_DEBUGFS=y
CONFIG_CFG80211_DEFAULT_PS=y
# CONFIG_CFG80211_DEVELOPER_WARNINGS is not set
CONFIG_CFG80211=m
# CONFIG_CFG80211_WEXT is not set
CONFIG_CFS_BANDWIDTH=y
CONFIG_CGROUP_BPF=y
CONFIG_CGROUP_CPUACCT=y
CONFIG_CGROUP_DEBUG=y
CONFIG_CGROUP_DEVICE=y
CONFIG_CGROUP_FREEZER=y
CONFIG_CGROUP_HUGETLB=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_CGROUP_MISC=y
CONFIG_CGROUP_NET_CLASSID=y
CONFIG_CGROUP_NET_PRIO=y
CONFIG_CGROUP_PERF=y
CONFIG_CGROUP_PIDS=y
CONFIG_CGROUP_RDMA=y
CONFIG_CGROUP_SCHED=y
CONFIG_CGROUPS=y
# CONFIG_CHARGER_ADP5061 is not set
# CONFIG_CHARGER_BD99954 is not set
# CONFIG_CHARGER_BQ2415X is not set
# CONFIG_CHARGER_BQ24190 is not set
# CONFIG_CHARGER_BQ24257 is not set
# CONFIG_CHARGER_BQ24735 is not set
# CONFIG_CHARGER_BQ2515X is not set
# CONFIG_CHARGER_BQ256XX is not set
# CONFIG_CHARGER_BQ25890 is not set
# CONFIG_CHARGER_BQ25980 is not set
# CONFIG_CHARGER_DETECTOR_MAX14656 is not set
# CONFIG_CHARGER_GPIO is not set
# CONFIG_CHARGER_LP8727 is not set
# CONFIG_CHARGER_LT3651 is not set
# CONFIG_CHARGER_LTC4162L is not set
# CONFIG_CHARGER_MAX8903 is not set
# CONFIG_CHARGER_RT9455 is not set
# CONFIG_CHARGER_SBS is not set
CONFIG_CHARGER_SMB347=m
CONFIG_CHECKPOINT_RESTORE=y
CONFIG_CHELSIO_INLINE_CRYPTO=y
CONFIG_CHELSIO_IPSEC_INLINE=m
# CONFIG_CHELSIO_T1 is not set
# CONFIG_CHELSIO_T3 is not set
# CONFIG_CHELSIO_T4_DCB is not set
CONFIG_CHELSIO_T4=m
CONFIG_CHELSIO_T4VF=m
CONFIG_CHELSIO_TLS_DEVICE=m
CONFIG_CHR_DEV_SCH=m
CONFIG_CHR_DEV_SG=m
CONFIG_CHR_DEV_ST=m
kernel-5.14.0-246.el9 * Fri Jan 27 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-246.el9] - platform/mellanox: Remove redundant 'NULL' check (Mark Langsdorf) [2122315] - platform/mellanox: Remove unnecessary code (Mark Langsdorf) [2122315] - platform/mellanox: mlxreg-lc: Fix locking issue (Mark Langsdorf) [2122315] - platform/mellanox: mlxreg-lc: Fix coverity warning (Mark Langsdorf) [2122315] - platform/mellanox: mlxreg-lc: Fix error flow and extend verbosity (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_typec: Add bit offset for DP VDO (Mark Langsdorf) [2122315] - platform/chrome: Use tables for values lists of ChromeOS ACPI sysfs ABI (Mark Langsdorf) [2122315] - platform/chrome: cros_kbd_led_backlight: fix build warning (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: return -EPROTO if empty payload (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: return -EAGAIN when retries timed out (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: separate cros_ec_wait_until_complete() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: separate cros_ec_xfer_command() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: add "cros_ec_" prefix to send_command() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_typec: Register port altmodes (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_typec: Rename port altmode array (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_typec: Use dev_err_probe on port register fail (Mark Langsdorf) [2122315] - platform/chrome: wilco_ec: event: Fix typo in comment (Mark Langsdorf) [2122315] - platform/chrome: cros_ec: Always expose last resume result (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: Fix spelling mistake "unknwon" -> "unknown" (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: return 0 on getting wake mask success (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: check `msg->result` in getting cmd mask (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: return 0 on getting cmd mask success (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: don't show MKBP version if unsupported (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: handle empty payload in getting proto info (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: separate cros_ec_get_proto_info() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: use cros_ec_map_error() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: remove redundant NULL check (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: assign buffer size from protocol info (Mark Langsdorf) [2122315] - platform/chrome: use macros for passthru indexes (Mark Langsdorf) [2122315] - platform/chrome: cros_kbd_led_backlight: support EC PWM backend (Mark Langsdorf) [2122315] - platform/chrome: cros_kbd_led_backlight: support OF match (Mark Langsdorf) [2122315] - platform/chrome: cros_kbd_led_backlight: separate ACPI backend (Mark Langsdorf) [2122315] - platform/chrome: cros_kbd_led_backlight: sort headers alphabetically (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: Update size arg types (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: Rename cros_ec_command function (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: update cros_ec_check_result() comment (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: factor legacy out from cros_ec_prepare_tx() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_prepare_tx() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_spi: drop unneeded BUG_ON() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_i2c: drop BUG_ON() in cros_ec_pkt_xfer_i2c() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: drop BUG_ON() in cros_ec_get_host_event() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: drop BUG_ON() in cros_ec_prepare_tx() (Mark Langsdorf) [2122315] - platform/chrome: correct cros_ec_prepare_tx() usage (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_proto: drop unneeded BUG_ON() in prepare_packet() (Mark Langsdorf) [2122315] - redhat/configs: Enable ChromeOS ACPI driver for Chrome platforms (Mark Langsdorf) [2122315] - platform/chrome: Add ChromeOS ACPI device driver (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_typec: Check for EC driver (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_lpcs: reserve the MEC LPC I/O ports first (Mark Langsdorf) [2122315] - platform/chrome: cros_ec_lpcs: detect the Framework Laptop (Mark Langsdorf) [2122315] - platform/chrome: Re-introduce cros_ec_cmd_xfer and use it for ioctls (Mark Langsdorf) [2122315] - platform/chrome: cros_ec: append newline to all logs (Mark Langsdorf) [2122315] - platform/chrome: cros_ec: sort header inclusion alphabetically (Mark Langsdorf) [2122315] - platform/chrome: cros_ec: determine `wake_enabled` in cros_ec_suspend() (Mark Langsdorf) [2122315] - platform/chrome: cros_ec: remove unused variable `was_wake_device` (Mark Langsdorf) [2122315] - platform/chrome: cros_ec: fix error handling in cros_ec_register() (Mark Langsdorf) [2122315] Resolves: rhbz#2122315 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-27 11:24:08 +00:00
CONFIG_CHROMEOS_ACPI=y
# CONFIG_CHROMEOS_TBMC is not set
# CONFIG_CHROME_PLATFORMS is not set
CONFIG_CICADA_PHY=m
CONFIG_CIFS_ALLOW_INSECURE_LEGACY=y
# CONFIG_CIFS_DEBUG2 is not set
# CONFIG_CIFS_DEBUG_DUMP_KEYS is not set
CONFIG_CIFS_DEBUG=y
CONFIG_CIFS_DFS_UPCALL=y
# CONFIG_CIFS_FSCACHE is not set
CONFIG_CIFS=m
CONFIG_CIFS_POSIX=y
kernel-5.14.0-24.el9 * Wed Dec 01 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-24.el9] - perf test: Handle fd gaps in test__dso_data_reopen (Michael Petlan) [1937209] - perf tests vmlinux-kallsyms: Ignore hidden symbols (Michael Petlan) [1975103] - perf script: Fix PERF_SAMPLE_WEIGHT_STRUCT support (Michael Petlan) [2009378] - redhat/kernel.spec.template: Link perf with --export-dynamic (Michael Petlan) [2006775] - xfs: fix I_DONTCACHE (Carlos Maiolino) [2022435] - virtio: write back F_VERSION_1 before validate (Thomas Huth) [2008401] - net/tls: Fix flipped sign in tls_err_abort() calls (Sabrina Dubroca) [2022006] - net/tls: Fix flipped sign in async_wait.err assignment (Sabrina Dubroca) [2022006] - hyper-v: Replace uuid.h with types.h (Mohammed Gamal) [2008572] - Drivers: hv: vmbus: Remove unused code to check for subchannels (Mohammed Gamal) [2008572] - hv: hyperv.h: Remove unused inline functions (Mohammed Gamal) [2008572] - asm-generic/hyperv: provide cpumask_to_vpset_noself (Mohammed Gamal) [2008572] - asm-generic/hyperv: Add missing #include of nmi.h (Mohammed Gamal) [2008572] - x86/hyperv: Avoid erroneously sending IPI to 'self' (Mohammed Gamal) [2008572] - x86/hyperv: remove on-stack cpumask from hv_send_ipi_mask_allbutself (Mohammed Gamal) [2008572] - [s390] net/smc: improved fix wait on already cleared link (Mete Durlu) [1869652] - [s390] net/smc: fix 'workqueue leaked lock' in smc_conn_abort_work (Mete Durlu) [1869652] - [s390] net/smc: add missing error check in smc_clc_prfx_set() (Mete Durlu) [1869652] - cifs: enable SMB_DIRECT in RHEL9 (Ronnie Sahlberg) [1965209] - scsi: mpt3sas: Clean up some inconsistent indenting (Tomas Henzl) [1876119] - scsi: mpt3sas: Call cpu_relax() before calling udelay() (Tomas Henzl) [1876119] - scsi: mpt3sas: Introduce sas_ncq_prio_supported sysfs sttribute (Tomas Henzl) [1876119] - scsi: mpt3sas: Update driver version to 39.100.00.00 (Tomas Henzl) [1876119] - scsi: mpt3sas: Use firmware recommended queue depth (Tomas Henzl) [1876119] - scsi: mpt3sas: Bump driver version to 38.100.00.00 (Tomas Henzl) [1876119] - scsi: mpt3sas: Add io_uring iopoll support (Tomas Henzl) [1876119] - serial: 8250_lpss: Extract dw8250_do_set_termios() for common use (David Arcari) [1880032] - serial: 8250_lpss: Enable DMA on Intel Elkhart Lake (David Arcari) [1880032] - dmaengine: dw: Convert members to u32 in platform data (David Arcari) [1880032] - dmaengine: dw: Simplify DT property parser (David Arcari) [1880032] - dmaengine: dw: Remove error message from DT parsing code (David Arcari) [1880032] - dmaengine: dw: Program xBAR hardware for Elkhart Lake (David Arcari) [1880032] - vmxnet3: switch from 'pci_' to 'dma_' API (Kamal Heib) [2003297] - vmxnet3: update to version 6 (Kamal Heib) [2003297] - vmxnet3: increase maximum configurable mtu to 9190 (Kamal Heib) [2003297] - vmxnet3: set correct hash type based on rss information (Kamal Heib) [2003297] - vmxnet3: add support for ESP IPv6 RSS (Kamal Heib) [2003297] - vmxnet3: remove power of 2 limitation on the queues (Kamal Heib) [2003297] - vmxnet3: add support for 32 Tx/Rx queues (Kamal Heib) [2003297] - vmxnet3: prepare for version 6 changes (Kamal Heib) [2003297] Resolves: rhbz#1869652, rhbz#1876119, rhbz#1880032, rhbz#1937209, rhbz#1965209, rhbz#1975103, rhbz#2003297, rhbz#2006775, rhbz#2008401, rhbz#2008572, rhbz#2009378, rhbz#2022006, rhbz#2022435 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-01 19:08:08 +00:00
CONFIG_CIFS_SMB_DIRECT=y
# CONFIG_CIFS_STATS2 is not set
# CONFIG_CIFS_SWN_UPCALL is not set
CONFIG_CIFS_UPCALL=y
CONFIG_CIFS_WEAK_PW_HASH=y
CONFIG_CIFS_XATTR=y
CONFIG_CLEANCACHE=y
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
# CONFIG_CLK_GATE_KUNIT_TEST is not set
# CONFIG_CLK_KUNIT_TEST is not set
CONFIG_CLK_SP810=y
CONFIG_CLK_VEXPRESS_OSC=y
CONFIG_CLS_U32_MARK=y
CONFIG_CLS_U32_PERF=y
# CONFIG_CM32181 is not set
# CONFIG_CM3232 is not set
# CONFIG_CM3323 is not set
# CONFIG_CM36651 is not set
CONFIG_CMA_AREAS=7
# CONFIG_CMA_DEBUGFS is not set
# CONFIG_CMA_DEBUG is not set
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_CMA_SYSFS=y
CONFIG_CMA=y
CONFIG_CMDLINE=""
# CONFIG_CMDLINE_BOOL is not set
CONFIG_CMDLINE_FROM_BOOTLOADER=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_CMDLINE_KUNIT_TEST=m
# CONFIG_CMDLINE_PARTITION is not set
CONFIG_CMM=y
CONFIG_CNIC=m
# CONFIG_CODA_FS is not set
# CONFIG_CODE_PATCHING_SELFTEST is not set
# CONFIG_COMEDI is not set
# CONFIG_COMMON_CLK_AXI_CLKGEN is not set
# CONFIG_COMMON_CLK_CDCE706 is not set
# CONFIG_COMMON_CLK_CDCE925 is not set
# CONFIG_COMMON_CLK_CS2000_CP is not set
# CONFIG_COMMON_CLK_FIXED_MMIO is not set
CONFIG_COMMON_CLK_HI3516CV300=y
CONFIG_COMMON_CLK_HI3519=y
CONFIG_COMMON_CLK_HI3660=y
# CONFIG_COMMON_CLK_HI3670 is not set
CONFIG_COMMON_CLK_HI3798CV200=y
# CONFIG_COMMON_CLK_MAX9485 is not set
# CONFIG_COMMON_CLK_PWM is not set
# CONFIG_COMMON_CLK_QCOM is not set
# CONFIG_COMMON_CLK_SI514 is not set
# CONFIG_COMMON_CLK_SI5341 is not set
# CONFIG_COMMON_CLK_SI5351 is not set
# CONFIG_COMMON_CLK_SI544 is not set
# CONFIG_COMMON_CLK_SI570 is not set
# CONFIG_COMMON_CLK_VC5 is not set
CONFIG_COMMON_CLK=y
CONFIG_COMMON_RESET_HI3660=y
CONFIG_COMPACTION=y
CONFIG_COMPAT_32BIT_TIME=y
# CONFIG_COMPAT_BRK is not set
# CONFIG_COMPAT is not set
# CONFIG_COMPILE_TEST is not set
CONFIG_CONFIGFS_FS=y
CONFIG_CONNECTOR=y
CONFIG_CONSOLE_LOGLEVEL_DEFAULT=7
CONFIG_CONSOLE_LOGLEVEL_QUIET=4
CONFIG_CONTEXT_SWITCH_TRACER=y
kernel-5.14.0-295.el9 * Sun Apr 02 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-295.el9] - PCI/sysfs: Constify struct kobj_type pci_slot_ktype (Myron Stowe) [2179137] - PCI: endpoint: pci-epf-vntb: Add epf_ntb_mw_bar_clear() num_mws kernel-doc (Myron Stowe) [2179137] - misc: pci_endpoint_test: Drop initial kernel-doc marker (Myron Stowe) [2179137] - PCI: vmd: Add quirk to configure PCIe ASPM and LTR (Myron Stowe) [2179137] - PCI: vmd: Create feature grouping for client products (Myron Stowe) [2179137] - PCI: vmd: Use PCI_VDEVICE in device list (Myron Stowe) [2179137] - PCI/ASPM: Add pci_enable_link_state() (Myron Stowe) [2179137] - dt-bindings: PCI: uniphier-ep: Clean up reg, clocks, resets, and their names (Myron Stowe) [2179137] - PCI: switchtec: Return -EFAULT for copy_to_user() errors (Myron Stowe) [2179137] - PCI: switchtec: Simplify switchtec_dma_mrpc_isr() (Myron Stowe) [2179137] - PCI: qcom: Add IPQ8074 Gen3 port support (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add IPQ8074 Gen3 port (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Sort compatibles alphabetically (Myron Stowe) [2179137] - PCI: qcom: Fix host-init error handling (Myron Stowe) [2179137] - PCI: qcom: Add SM8350 support (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add SM8350 (Myron Stowe) [2179137] - dt-bindings: PCI: qcom-ep: Correct qcom,perst-regs (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Unify MSM8996 and MSM8998 clock order (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add MSM8998 specific compatible (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add oneOf to compatible match (Myron Stowe) [2179137] - PCI: mvebu: Mark driver as BROKEN (Myron Stowe) [2179137] - PCI: mt7621: Delay phy ports initialization (Myron Stowe) [2179137] - misc: pci_endpoint_test: Add i.MX8 PCIe EP device support (Myron Stowe) [2179137] - dt-bindings: imx6q-pcie: Add i.MX8MP PCIe EP mode compatible string (Myron Stowe) [2179137] - dt-bindings: imx6q-pcie: Add i.MX8MQ PCIe EP mode compatible string (Myron Stowe) [2179137] - dt-bindings: imx6q-pcie: Add i.MX8MM PCIe EP mode compatible string (Myron Stowe) [2179137] - PCI: dwc: Add Root Port and Endpoint controller eDMA engine support (Myron Stowe) [2179137] - PCI: bt1: Set 64-bit DMA mask (Myron Stowe) [2179137] - PCI: dwc: Restrict only coherent DMA mask for MSI address allocation (Myron Stowe) [2179137] - dmaengine: dw-edma: Prepare dw_edma_probe() for builtin callers (Myron Stowe) [2179137] - dmaengine: dw-edma: Depend on DW_EDMA instead of selecting it (Myron Stowe) [2179137] - dmaengine: dw-edma: Add mem-mapped LL-entries support (Myron Stowe) [2179137] - dmaengine: dw-edma: Skip cleanup procedure if no private data found (Myron Stowe) [2179137] - dmaengine: dw-edma: Replace chip ID number with device name (Myron Stowe) [2179137] - dmaengine: dw-edma: Drop DT-region allocation (Myron Stowe) [2179137] - dmaengine: dw-edma: Use non-atomic io-64 methods (Myron Stowe) [2179137] - dmaengine: dw-edma: Fix readq_ch() return value truncation (Myron Stowe) [2179137] - dmaengine: dw-edma: Use DMA engine device debugfs subdirectory (Myron Stowe) [2179137] - dmaengine: dw-edma: Join read/write channels into a single device (Myron Stowe) [2179137] - dmaengine: dw-edma: Move eDMA data pointer to debugfs node descriptor (Myron Stowe) [2179137] - dmaengine: dw-edma: Simplify debugfs context CSRs init procedure (Myron Stowe) [2179137] - dmaengine: dw-edma: Rename debugfs dentry variables to 'dent' (Myron Stowe) [2179137] - dmaengine: dw-edma: Convert debugfs descs to being heap-allocated (Myron Stowe) [2179137] - dmaengine: dw-edma: Add dw_edma prefix to debugfs nodes descriptor (Myron Stowe) [2179137] - dmaengine: dw-edma: Stop checking debugfs_create_*() return value (Myron Stowe) [2179137] - dmaengine: dw-edma: Drop unnecessary debugfs reg casts (Myron Stowe) [2179137] - dmaengine: dw-edma: Drop chancnt initialization (Myron Stowe) [2179137] - dmaengine: dw-edma: Add PCI bus address getter to the remote EP glue driver (Myron Stowe) [2179137] - dmaengine: dw-edma: Add CPU to PCI bus address translation (Myron Stowe) [2179137] - dmaengine: dw-edma: Fix invalid interleaved xfers semantics (Myron Stowe) [2179137] - dmaengine: dw-edma: Don't permit non-inc interleaved xfers (Myron Stowe) [2179137] - dmaengine: dw-edma: Fix missing src/dst address of interleaved xfers (Myron Stowe) [2179137] - dmaengine: dw-edma: Convert ll/dt phys address to PCI bus/DMA address (Myron Stowe) [2179137] - dmaengine: dw-edma: Release requested IRQs on failure (Myron Stowe) [2179137] - dmaengine: Fix dma_slave_config.dst_addr description (Myron Stowe) [2179137] - PCI: endpoint: Use link_up() callback in place of LINK_UP notifier (Myron Stowe) [2179137] - PCI: endpoint: Use callback mechanism for passing events from EPC to EPF (Myron Stowe) [2179137] - PCI: endpoint: Use a separate lock for protecting epc->pci_epf list (Myron Stowe) [2179137] - PCI: tegra194: Move dw_pcie_ep_linkup() to threaded IRQ handler (Myron Stowe) [2179137] - PCI: dra7xx: Use threaded IRQ handler for "dra7xx-pcie-main" IRQ (Myron Stowe) [2179137] - PCI: Add ACS quirk for Wangxun NICs (Myron Stowe) [2179137] - PCI: Avoid FLR for AMD FCH AHCI adapters (Myron Stowe) [2179137] - PCI: Fix dropping valid root bus resources with .end = zero (Myron Stowe) [2179137] - PCI: Distribute available resources for root buses, too (Myron Stowe) [2179137] - PCI: Take other bus devices into account when distributing resources (Myron Stowe) [2179137] - PCI: Align extra resources for hotplug bridges properly (Myron Stowe) [2179137] - PCI/DPC: Await readiness of secondary bus after reset (Myron Stowe) [2179137] - PCI: Unify delay handling for reset and resume (Myron Stowe) [2179137] - PCI/PM: Observe reset delay irrespective of bridge_d3 (Myron Stowe) [2179137] - PCI/ACPI: Account for _S0W of the target bridge in acpi_pci_bridge_d3() (Myron Stowe) [2179137] - PCI/P2PDMA: Annotate RCU dereference (Myron Stowe) [2179137] - PCI/P2PDMA: Allow userspace VMA allocations through sysfs (Myron Stowe) [2179137] - PCI: Remove MODULE_LICENSE so boolean drivers don't look like modules (Myron Stowe) [2179137] - PCI/IOV: Enlarge virtfn sysfs name buffer (Myron Stowe) [2179137] - PCI: hotplug: Allow marking devices as disconnected during bind/unbind (Myron Stowe) [2179137] - PCI: pciehp: Add Qualcomm quirk for Command Completed erratum (Myron Stowe) [2179137] - PCI: Honor firmware's device disabled status (Myron Stowe) [2179137] - PCI: loongson: Add more devices that need MRRS quirk (Myron Stowe) [2179137] - PCI: loongson: Prevent LS7A MRRS increases (Myron Stowe) [2179137] - PCI/portdrv: Prevent LS7A Bus Master clearing on shutdown (Myron Stowe) [2179137] - PCI/AER: Remove redundant Device Control Error Reporting Enable (Myron Stowe) [2179137] - PCI/AER: Configure ECRC only if AER is native (Myron Stowe) [2179137] - PCI: Replace magic constant for PCI Sig Vendor ID (Myron Stowe) [2179137] - PCI: Add vendor ID for the PCI SIG (Myron Stowe) [2179137] - ntb: intel: add GNR support for Intel PCIe gen5 NTB (Myron Stowe) [2179137] - NTB: ntb_tool: uninitialized heap data in tool_fn_write() (Myron Stowe) [2179137] - ntb: idt: fix clang -Wformat warnings (Myron Stowe) [2179137] - arm64: dts: imx93-11x11-evk: enable fec function (Steve Best) [2179863] - arm64: dts: imx93-11x11-evk: enable eqos (Steve Best) [2179863] - arm64: dts: imx93-11x11-evk: correct clock and strobe pad setting (Steve Best) [2179863] - arm64: dts: imx93: add ADC support (Steve Best) [2179863] - arm64: dts: imx93: add FEC support (Steve Best) [2179863] - arm64: dts: imx93: add eqos support (Steve Best) [2179863] - arm64: dts: imx93: add flexcan nodes (Steve Best) [2179863] - mm/debug: use valid physical memory for pmd/pud tests (Waiman Long) [2095767] - kernel-rt: config: disable saa6588, saa6752hs and snd-soc-sdw-mockup to match stock kernel (Luis Claudio R. Goncalves) [2182012] - genetlink: correctly begin the iteration over policies (Ivan Vecera) [2175250] - genetlink: fix single op policy dump when do is present (Ivan Vecera) [2175250] - genetlink: convert control family to split ops (Ivan Vecera) [2175250] - genetlink: allow families to use split ops directly (Ivan Vecera) [2175250] - genetlink: inline old iteration helpers (Ivan Vecera) [2175250] - genetlink: use iterator in the op to policy map dumping (Ivan Vecera) [2175250] - genetlink: add iterator for walking family ops (Ivan Vecera) [2175250] - genetlink: inline genl_get_cmd() (Ivan Vecera) [2175250] - genetlink: support split policies in ctrl_dumppolicy_put_op() (Ivan Vecera) [2175250] - genetlink: add policies for both doit and dumpit in ctrl_dumppolicy_start() (Ivan Vecera) [2175250] - genetlink: check for callback type at op load time (Ivan Vecera) [2175250] - genetlink: load policy based on validation flags (Ivan Vecera) [2175250] - genetlink: introduce split op representation (Ivan Vecera) [2175250] - genetlink: move the private fields in struct genl_family (Ivan Vecera) [2175250] - genetlink: refactor the cmd <> policy mapping dump (Ivan Vecera) [2175250] - genetlink: fix kdoc warnings (Ivan Vecera) [2175250] - net: genl: fix error path memory leak in policy dumping (Ivan Vecera) [2175250] - dax: Remove usage of the deprecated ida_simple_xxx API (Jeff Moyer) [2162211] - libnvdimm/region: Allow setting align attribute on regions without mappings (Jeff Moyer) [2162211] - nvdimm/namespace: Fix comment typo (Jeff Moyer) [2162211] - nvdimm: make __nvdimm_security_overwrite_query static (Jeff Moyer) [2162211] - nvdimm/region: Fix kernel-doc (Jeff Moyer) [2162211] - nvdimm/namespace: drop nested variable in create_namespace_pmem() (Jeff Moyer) [2162211] - nvdimm/namespace: drop unneeded temporary variable in size_store() (Jeff Moyer) [2162211] - nvdimm/namespace: return uuid_null only once in nd_dev_to_uuid() (Jeff Moyer) [2162211] - ndtest: Cleanup all of blk namespace specific code (Jeff Moyer) [2162211] - pmem: fix a name collision (Jeff Moyer) [2162211] - dax: set did_zero to true when zeroing successfully (Jeff Moyer) [2162211] - nvdimm: Fix badblocks clear off-by-one error (Jeff Moyer) [2162211] - pmem: implement pmem_recovery_write() (Jeff Moyer) [2162211] - pmem: refactor pmem_clear_poison() (Jeff Moyer) [2162211] - dax: add .recovery_write dax_operation (Jeff Moyer) [2162211] - dax: introduce DAX_RECOVERY_WRITE dax access mode (Jeff Moyer) [2162211] - x86/mce: Retrieve poison range from hardware (Jeff Moyer) [1874190 2162211] - mce: fix set_mce_nospec to always unmap the whole page (Jeff Moyer) [1874190 2162211] - x86/mce: Change to not send SIGBUS error during copy from user (Jeff Moyer) [2162211] - x86/mce: relocate set{clear}_mce_nospec() functions (Jeff Moyer) [1874190 2162211] - testing: nvdimm: asm/mce.h is not needed in nfit.c (Jeff Moyer) [2162211] - testing: nvdimm: iomap: make __nfit_test_ioremap a macro (Jeff Moyer) [2162211] - nvdimm: Allow overwrite in the presence of disabled dimms (Jeff Moyer) [2162211] - nvdimm: Fix firmware activation deadlock scenarios (Jeff Moyer) [2162211] - device-core: Kill the lockdep_mutex (Jeff Moyer) [2162211] - nvdimm: Drop nd_device_lock() (Jeff Moyer) [2162211] - ACPI: NFIT: Drop nfit_device_lock() (Jeff Moyer) [2162211] - nvdimm: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211] - cxl: Drop cxl_device_lock() (Jeff Moyer) [2162211] - cxl/acpi: Add root device lockdep validation (Jeff Moyer) [2162211] - cxl: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211] - tools/testing/nvdimm: remove unneeded flush_workqueue (Jeff Moyer) [2162211] - nvdimm/blk: Fix title level (Jeff Moyer) [2162211] - MAINTAINERS: remove section LIBNVDIMM BLK: MMIO-APERTURE DRIVER (Jeff Moyer) [2162211] - dax: Fix missing kdoc for dax_device (Jeff Moyer) [2162211] - nvdimm/region: Delete nd_blk_region infrastructure (Jeff Moyer) [2162211] - nvdimm/namespace: Delete nd_namespace_blk (Jeff Moyer) [2162211] - nvdimm/namespace: Delete blk namespace consideration in shared paths (Jeff Moyer) [2162211] - nvdimm/blk: Delete the block-aperture window driver (Jeff Moyer) [2162211] - nvdimm/region: Fix default alignment for small regions (Jeff Moyer) [2162211] - dax: make sure inodes are flushed before destroy cache (Jeff Moyer) [2162211] - fsdax: fix function description (Jeff Moyer) [2162211] - device-dax: compound devmap support (Jeff Moyer) [2162211] - device-dax: remove pfn from __dev_dax_{pte,pmd,pud}_fault() (Jeff Moyer) [2162211] - device-dax: set mapping prior to vmf_insert_pfn{,_pmd,pud}() (Jeff Moyer) [2162211] - device-dax: factor out page mapping initialization (Jeff Moyer) [2162211] - device-dax: ensure dev_dax->pgmap is valid for dynamic devices (Jeff Moyer) [2162211] - device-dax: use struct_size() (Jeff Moyer) [2162211] - device-dax: use ALIGN() for determining pgoff (Jeff Moyer) [2162211] - iomap: Fix error handling in iomap_zero_iter() (Jeff Moyer) [2162211] - dax: remove the copy_from_iter and copy_to_iter methods (Jeff Moyer) [2162211] - dax: remove the DAXDEV_F_SYNC flag (Jeff Moyer) [2162211] - dax: simplify dax_synchronous and set_dax_synchronous (Jeff Moyer) [2162211] - uio: remove copy_from_iter_flushcache() and copy_mc_to_iter() (Jeff Moyer) [2162211] - iomap: turn the byte variable in iomap_zero_iter into a ssize_t (Jeff Moyer) [2162211] - fsdax: don't require CONFIG_BLOCK (Jeff Moyer) [2162211] - iomap: build the block based code conditionally (Jeff Moyer) [2162211] - dax: fix up some of the block device related ifdefs (Jeff Moyer) [2162211] - fsdax: shift partition offset handling into the file systems (Jeff Moyer) [2162211] - dax: return the partition offset from fs_dax_get_by_bdev (Jeff Moyer) [2162211] - iomap: add a IOMAP_DAX flag (Jeff Moyer) [2162211] - xfs: pass the mapping flags to xfs_bmbt_to_iomap (Jeff Moyer) [2162211] - xfs: use xfs_direct_write_iomap_ops for DAX zeroing (Jeff Moyer) [2162211] - xfs: move dax device handling into xfs_{alloc,free}_buftarg (Jeff Moyer) [2162211] - ext4: cleanup the dax handling in ext4_fill_super (Jeff Moyer) [2162211] - fsdax: decouple zeroing from the iomap buffered I/O code (Jeff Moyer) [2162211] - fsdax: factor out a dax_memzero helper (Jeff Moyer) [2162211] - fsdax: simplify the offset check in dax_iomap_zero (Jeff Moyer) [2162211] - xfs: add xfs_zero_range and xfs_truncate_page helpers (Jeff Moyer) [2162211] - fsdax: simplify the pgoff calculation (Jeff Moyer) [2162211] - fsdax: use a saner calling convention for copy_cow_page_dax (Jeff Moyer) [2162211] - fsdax: remove a pointless __force cast in copy_cow_page_dax (Jeff Moyer) [2162211] - dm-stripe: add a stripe_dax_pgoff helper (Jeff Moyer) [2162211] - dm-log-writes: add a log_writes_dax_pgoff helper (Jeff Moyer) [2162211] - dm-linear: add a linear_dax_pgoff helper (Jeff Moyer) [2162211] - dax: remove dax_capable (Jeff Moyer) [2162211] - xfs: factor out a xfs_setup_dax_always helper (Jeff Moyer) [2162211] - dax: move the partition alignment check into fs_dax_get_by_bdev (Jeff Moyer) [2162211] - dax: simplify the dax_device <-> gendisk association (Jeff Moyer) [2162211] - dax: remove CONFIG_DAX_DRIVER (Jeff Moyer) [2162211] - dm: make the DAX support depend on CONFIG_FS_DAX (Jeff Moyer) [2162211] - dm: fix alloc_dax error handling in alloc_dev (Jeff Moyer) [2162211] - nvdimm/pmem: move dax_attribute_group from dax to pmem (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce CXL labels (Jeff Moyer) [2162211] - libnvdimm/label: Define CXL region labels (Jeff Moyer) [2162211] - libnvdimm/labels: Fix kernel-doc for label.h (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce the concept of multi-range namespace labels (Jeff Moyer) [2162211] - libnvdimm/label: Add a helper for nlabel validation (Jeff Moyer) [2162211] - libnvdimm/labels: Add uuid helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add claim class helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add type-guid helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add blk special cases for nlabel and position helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add blk isetcookie set / validation helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add a checksum calculation helper (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce label setter helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add isetcookie validation helper (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce getters for namespace label fields (Jeff Moyer) [2162211] - cpuidle: lib/bug: Disable rcu_is_watching() during WARN/BUG (Waiman Long) [2169516] - rcu: Fix __this_cpu_read() lockdep warning in rcu_force_quiescent_state() (Waiman Long) [2169516] - rcu: Keep synchronize_rcu() from enabling irqs in early boot (Waiman Long) [2169516] - powerpc/64/interrupt: Fix false warning in context tracking due to idle state (Waiman Long) [2169516] - rcutorture: Use the barrier operation specified by cur_ops (Waiman Long) [2169516] - rcu-tasks: Make RCU Tasks Trace check for userspace execution (Waiman Long) [2169516] - rcu-tasks: Ensure RCU Tasks Trace loops have quiescent states (Waiman Long) [2169516] - rcu-tasks: Convert RCU_LOCKDEP_WARN() to WARN_ONCE() (Waiman Long) [2169516] - srcu: Make Tiny SRCU use full-sized grace-period counters (Waiman Long) [2169516] - srcu: Make Tiny SRCU poll_state_synchronize_srcu() more precise (Waiman Long) [2169516] - srcu: Add GP and maximum requested GP to Tiny SRCU rcutorture output (Waiman Long) [2169516] - rcutorture: Make "srcud" option also test polled grace-period API (Waiman Long) [2169516] - rcutorture: Limit read-side polling-API testing (Waiman Long) [2169516] - rcu: Add functions to compare grace-period state values (Waiman Long) [2169516] - rcutorture: Expand rcu_torture_write_types() first "if" statement (Waiman Long) [2169516] - rcutorture: Use 1-suffixed variable in rcu_torture_write_types() check (Waiman Long) [2169516] - rcu: Make synchronize_rcu() fastpath update only boot-CPU counters (Waiman Long) [2169516] - rcutorture: Adjust rcu_poll_need_2gp() for rcu_gp_oldstate field removal (Waiman Long) [2169516] - rcu: Remove ->rgos_polled field from rcu_gp_oldstate structure (Waiman Long) [2169516] - rcu: Make synchronize_rcu_expedited() fast path update .expedited_sequence (Waiman Long) [2169516] - rcu: Remove expedited grace-period fast-path forward-progress helper (Waiman Long) [2169516] - rcu: Make synchronize_rcu() fast path update ->gp_seq counters (Waiman Long) [2169516] - rcu-tasks: Remove grace-period fast-path rcu-tasks helper (Waiman Long) [2169516] - rcu: Set rcu_data structures' initial ->gpwrap value to true (Waiman Long) [2169516] - rcu: Disable run-time single-CPU grace-period optimization (Waiman Long) [2169516] - rcu: Add full-sized polling for cond_sync_exp_full() (Waiman Long) [2169516] - rcu: Add full-sized polling for cond_sync_full() (Waiman Long) [2169516] - rcu: Remove blank line from poll_state_synchronize_rcu() docbook header (Waiman Long) [2169516] - rcu: Add full-sized polling for start_poll_expedited() (Waiman Long) [2169516] - rcu: Add full-sized polling for start_poll() (Waiman Long) [2169516] - rcutorture: Verify long-running reader prevents full polling from completing (Waiman Long) [2169516] - rcutorture: Remove redundant RTWS_DEF_FREE check (Waiman Long) [2169516] - rcutorture: Verify RCU reader prevents full polling from completing (Waiman Long) [2169516] - rcutorture: Allow per-RCU-flavor polled double-GP check (Waiman Long) [2169516] - rcutorture: Abstract synchronous and polled API testing (Waiman Long) [2169516] - rcu: Add full-sized polling for get_state() (Waiman Long) [2169516] - rcu: Add full-sized polling for get_completed*() and poll_state*() (Waiman Long) [2169516] - rcu/nocb: Choose the right rcuog/rcuop kthreads to output (Waiman Long) [2169516] - rcu/kvfree: Update KFREE_DRAIN_JIFFIES interval (Waiman Long) [2169516] - rcu/kfree: Fix kfree_rcu_shrink_count() return value (Waiman Long) [2169516] - rcu: Back off upon fill_page_cache_func() allocation failure (Waiman Long) [2169516] - rcu: Exclude outgoing CPU when it is the last to leave (Waiman Long) [2169516] - rcu: Avoid triggering strict-GP irq-work when RCU is idle (Waiman Long) [2169516] - sched/debug: Show the registers of 'current' in dump_cpu_task() (Waiman Long) [2169516] - sched/debug: Try trigger_single_cpu_backtrace(cpu) in dump_cpu_task() (Waiman Long) [2169516] - rcu: Update rcu_access_pointer() header for rcu_dereference_protected() (Waiman Long) [2169516] - rcu: Document reason for rcu_all_qs() call to preempt_disable() (Waiman Long) [2169516] - rcu: Make tiny RCU support leak callbacks for debug-object errors (Waiman Long) [2169516] - rcu: Add QS check in rcu_exp_handler() for non-preemptible kernels (Waiman Long) [2169516] - rcu: Update rcu_preempt_deferred_qs() comments for !PREEMPT kernels (Waiman Long) [2169516] - rcu: Fix rcu_read_unlock_strict() strict QS reporting (Waiman Long) [2169516] - rcu: Add irqs-disabled indicator to expedited RCU CPU stall warnings (Waiman Long) [2169516] - rcu: Diagnose extended sync_rcu_do_polled_gp() loops (Waiman Long) [2169516] - rcu: Put panic_on_rcu_stall() after expedited RCU CPU stall warnings (Waiman Long) [2169516] - rcutorture: Test polled expedited grace-period primitives (Waiman Long) [2169516] - rcu: Add polled expedited grace-period primitives (Waiman Long) [2169516] - rcutorture: Verify that polled GP API sees synchronous grace periods (Waiman Long) [2169516] - rcu: Make Tiny RCU grace periods visible to polled APIs (Waiman Long) [2169516] - rcu: Make polled grace-period API account for expedited grace periods (Waiman Long) [2169516] - rcu: Switch polled grace-period APIs to ->gp_seq_polled (Waiman Long) [2169516] - rcu/nocb: Avoid polling when my_rdp->nocb_head_rdp list is empty (Waiman Long) [2169516] - redhat/configs: Add CONFIG_RCU_NOCB_CPU_CB_BOOST (Waiman Long) [2169516] - rcu/nocb: Add option to opt rcuo kthreads out of RT priority (Waiman Long) [2169516] - rcu: Add nocb_cb_kthread check to rcu_is_callbacks_kthread() (Waiman Long) [2169516] - redhat/configs: Add CONFIG_RCU_NOCB_CPU_DEFAULT_ALL (Waiman Long) [2169516] - rcu/nocb: Add an option to offload all CPUs on boot (Waiman Long) [2169516] - rcu/nocb: Fix NOCB kthreads spawn failure with rcu_nocb_rdp_deoffload() direct call (Waiman Long) [2169516] - rcu/nocb: Invert rcu_state.barrier_mutex VS hotplug lock locking order (Waiman Long) [2169516] - rcu/nocb: Add/del rdp to iterate from rcuog itself (Waiman Long) [2169516] - rcu/tree: Add comment to describe GP-done condition in fqs loop (Waiman Long) [2169516] - rcu: Initialize first_gp_fqs at declaration in rcu_gp_fqs() (Waiman Long) [2169516] - rcu/kvfree: Remove useless monitor_todo flag (Waiman Long) [2169516] - rcu: Cleanup RCU urgency state for offline CPU (Waiman Long) [2169516] - rcu: tiny: Record kvfree_call_rcu() call stack for KASAN (Waiman Long) [2169516] - locking/csd_lock: Change csdlock_debug from early_param to __setup (Waiman Long) [2169516] - rcu: Forbid RCU_STRICT_GRACE_PERIOD in TINY_RCU kernels (Waiman Long) [2169516] - rcu: Immediately boost preempted readers for strict grace periods (Waiman Long) [2169516] - rcu: Add rnp->cbovldmask check in rcutree_migrate_callbacks() (Waiman Long) [2169516] - rcu: Avoid tracing a few functions executed in stop machine (Waiman Long) [2169516] - rcu: Decrease FQS scan wait time in case of callback overloading (Waiman Long) [2169516] - context_tracking: Use arch_atomic_read() in __ct_state for KASAN (Waiman Long) [2169516] - MAINTAINERS: Add Paul as context tracking maintainer (Waiman Long) [2169516] - context_tracking: Convert state to atomic_t (Waiman Long) [2169516] - rcu/context-tracking: Remove unused and/or unecessary middle functions (Waiman Long) [2169516] - rcu/context-tracking: Move RCU-dynticks internal functions to context_tracking (Waiman Long) [2169516] - rcu/context-tracking: Move deferred nocb resched to context tracking (Waiman Long) [2169516] - rcu/context_tracking: Move dynticks_nmi_nesting to context tracking (Waiman Long) [2169516] - rcu/context_tracking: Move dynticks_nesting to context tracking (Waiman Long) [2169516] - rcu/context_tracking: Move dynticks counter to context tracking (Waiman Long) [2169516] - rcu/context-tracking: Remove rcu_irq_enter/exit() (Waiman Long) [2169516] - context_tracking: Take NMI eqs entrypoints over RCU (Waiman Long) [2169516] - context_tracking: Take IRQ eqs entrypoints over RCU (Waiman Long) [2169516] - context_tracking: Take idle eqs entrypoints over RCU (Waiman Long) [2169516] - redhat/configs: Rename CONFIG_CONTEXT_TRACKING_FORCE to CONFIG_CONTEXT_TRACKING_USER_FORCE (Waiman Long) [2169516] - context_tracking: Split user tracking Kconfig (Waiman Long) [2169516] - context_tracking: Rename context_tracking_cpu_set() to ct_cpu_track_user() (Waiman Long) [2169516] - context_tracking: Rename context_tracking_enter/exit() to ct_user_enter/exit() (Waiman Long) [2169516] - context_tracking: Rename context_tracking_user_enter/exit() to user_enter/exit_callable() (Waiman Long) [2169516] - context_tracking: Rename __context_tracking_enter/exit() to __ct_user_enter/exit() (Waiman Long) [2169516] - refscale: Convert test_lock spinlock to raw_spinlock (Waiman Long) [2169516] - rcutorture: Handle failure of memory allocation functions (Waiman Long) [2169516] - torture: Create kvm-check-branches.sh output in proper location (Waiman Long) [2169516] - rcuscale: Fix smp_processor_id()-in-preemptible warnings (Waiman Long) [2169516] - rcutorture: Make failure indication note reader-batch overflow (Waiman Long) [2169516] - torture: Adjust to again produce debugging information (Waiman Long) [2169516] - rcutorture: Fix memory leak in rcu_test_debug_objects() (Waiman Long) [2169516] - rcutorture: Simplify rcu_torture_read_exit_child() loop (Waiman Long) [2169516] - rcu/torture: Change order of warning and trace dump (Waiman Long) [2169516] - rcu-tasks: Update comments (Waiman Long) [2169516] - rcu-tasks: Disable and enable CPU hotplug in same function (Waiman Long) [2169516] - rcu-tasks: Eliminate RCU Tasks Trace IPIs to online CPUs (Waiman Long) [2169516] - rcu-tasks: Maintain a count of tasks blocking RCU Tasks Trace grace period (Waiman Long) [2169516] - rcu-tasks: Stop RCU Tasks Trace from scanning full tasks list (Waiman Long) [2169516] - rcutorture: Update rcutorture.fwd_progress help text (Waiman Long) [2169516] - context_tracking: Add a note about noinstr VS unsafe context tracking functions (Waiman Long) [2169516] - context_tracking: Remove unused context_tracking_in_user() (Waiman Long) [2169516] - rcu: Apply noinstr to rcu_idle_enter() and rcu_idle_exit() (Waiman Long) [2169516] - rcu: Dump rcuc kthread status for CPUs not reporting quiescent state (Waiman Long) [2169516] - torture: Make kvm-remote.sh announce which system is being waited on (Waiman Long) [2169516] - rcu-tasks: Stop RCU Tasks Trace from scanning idle tasks (Waiman Long) [2169516] - rcu-tasks: Pull in tasks blocked within RCU Tasks Trace readers (Waiman Long) [2169516] - rcu-tasks: Scan running tasks for RCU Tasks Trace readers (Waiman Long) [2169516] - rcu-tasks: Avoid rcu_tasks_trace_pertask() duplicate list additions (Waiman Long) [2169516] - rcu-tasks: Move rcu_tasks_trace_pertask() before rcu_tasks_trace_pregp_step() (Waiman Long) [2169516] - rcu-tasks: Add blocked-task indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516] - rcu-tasks: Untrack blocked RCU Tasks Trace at reader end (Waiman Long) [2169516] - rcu-tasks: Track blocked RCU Tasks Trace readers (Waiman Long) [2169516] - rcu-tasks: Add data structures for lightweight grace periods (Waiman Long) [2169516] - rcu-tasks: Make RCU Tasks Trace stall warning handle idle offline tasks (Waiman Long) [2169516] - rcu-tasks: Make RCU Tasks Trace stall warnings print full .b.need_qs field (Waiman Long) [2169516] - rcu-tasks: Flag offline CPUs in RCU Tasks Trace stall warnings (Waiman Long) [2169516] - rcu-tasks: Add slow-IPI indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516] - rcu-tasks: Simplify trc_inspect_reader() QS logic (Waiman Long) [2169516] - rcu-tasks: Make rcu_note_context_switch() unconditionally call rcu_tasks_qs() (Waiman Long) [2169516] - rcu-tasks: RCU Tasks Trace grace-period kthread has implicit QS (Waiman Long) [2169516] - rcu-tasks: Handle idle tasks for recently offlined CPUs (Waiman Long) [2169516] - rcu-tasks: Idle tasks on offline CPUs are in quiescent states (Waiman Long) [2169516] - rcu-tasks: Make trc_read_check_handler() fetch ->trc_reader_nesting only once (Waiman Long) [2169516] - rcu-tasks: Remove rcu_tasks_trace_postgp() wait for counter (Waiman Long) [2169516] - rcu-tasks: Merge state into .b.need_qs and atomically update (Waiman Long) [2169516] - rcu-tasks: Drive synchronous grace periods from calling task (Waiman Long) [2169516] - rcu-tasks: Move synchronize_rcu_tasks_generic() down (Waiman Long) [2169516] - rcu-tasks: Split rcu_tasks_one_gp() from rcu_tasks_kthread() (Waiman Long) [2169516] - rcu-tasks: Check for abandoned callbacks (Waiman Long) [2169516] - rcutorture: Validate get_completed_synchronize_rcu() (Waiman Long) [2169516] - rcu: Provide a get_completed_synchronize_rcu() function (Waiman Long) [2169516] - rcu: Make normal polling GP be more precise about sequence numbers (Waiman Long) [2169516] - cfi: Fix __cfi_slowpath_diag RCU usage with cpuidle (Waiman Long) [2169516] - context_tracking: Always inline empty stubs (Waiman Long) [2169516] - powerpc/64/interrupt: reduce expensive debug tests (Waiman Long) [2169516] - sched/deadline: Add more reschedule cases to prio_changed_dl() (Valentin Schneider) [2123590] Resolves: rhbz#1874190, rhbz#2095767, rhbz#2123590, rhbz#2162211, rhbz#2169516, rhbz#2175250, rhbz#2179137, rhbz#2179863, rhbz#2182012 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-02 13:25:16 +00:00
# CONFIG_CONTEXT_TRACKING_USER_FORCE is not set
CONFIG_CORDIC=m
CONFIG_CORE_DUMP_DEFAULT_ELF_HEADERS=y
CONFIG_CORTINA_PHY=m
# CONFIG_COUNTER is not set
# CONFIG_CPU5_WDT is not set
# CONFIG_CPU_BIG_ENDIAN is not set
# CONFIG_CPU_FREQ_DEFAULT_GOV_CONSERVATIVE is not set
# CONFIG_CPU_FREQ_DEFAULT_GOV_ONDEMAND is not set
CONFIG_CPU_FREQ_DEFAULT_GOV_PERFORMANCE=y
# CONFIG_CPU_FREQ_DEFAULT_GOV_POWERSAVE is not set
# CONFIG_CPU_FREQ_DEFAULT_GOV_SCHEDUTIL is not set
# CONFIG_CPU_FREQ_DEFAULT_GOV_USERSPACE is not set
# CONFIG_CPUFREQ_DT is not set
CONFIG_CPU_FREQ_GOV_CONSERVATIVE=y
CONFIG_CPU_FREQ_GOV_ONDEMAND=y
CONFIG_CPU_FREQ_GOV_PERFORMANCE=y
CONFIG_CPU_FREQ_GOV_POWERSAVE=y
CONFIG_CPU_FREQ_GOV_SCHEDUTIL=y
CONFIG_CPU_FREQ_GOV_USERSPACE=y
CONFIG_CPU_FREQ_STAT=y
CONFIG_CPU_FREQ=y
# CONFIG_CPU_HOTPLUG_STATE_CONTROL is not set
# CONFIG_CPU_IDLE_GOV_HALTPOLL is not set
# CONFIG_CPU_IDLE_GOV_LADDER is not set
# CONFIG_CPU_IDLE_GOV_TEO is not set
CONFIG_CPU_IDLE=y
CONFIG_CPU_ISOLATION=y
CONFIG_CPU_LITTLE_ENDIAN=y
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_CPUMASK_KUNIT_TEST=m
CONFIG_CPUMASK_OFFSTACK=y
CONFIG_CPUSETS=y
# CONFIG_CPU_THERMAL is not set
kernel-5.14.0-56.el9 * Mon Feb 07 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-56.el9] - KVM: VMX: switch blocked_vcpu_on_cpu_lock to raw spinlock (Marcelo Tosatti) [2034007] - x86/hyperv: Properly deal with empty cpumasks in hyperv_flush_tlb_multi() (Vitaly Kuznetsov) [2035993] - tcp: add missing htmldocs for skb->ll_node and sk->defer_list (Antoine Tenart) [2041382] - net: move early demux fields close to sk_refcnt (Antoine Tenart) [2041382] - tcp: defer skb freeing after socket lock is released (Antoine Tenart) [2041382] - net: shrink struct sock by 8 bytes (Antoine Tenart) [2041382] - ipv6: shrink struct ipcm6_cookie (Antoine Tenart) [2041382] - net: remove sk_route_nocaps (Antoine Tenart) [2041382] - net: remove sk_route_forced_caps (Antoine Tenart) [2041382] - net: use sk_is_tcp() in more places (Antoine Tenart) [2041382] - bpf, sockmap: Use stricter sk state checks in sk_lookup_assign (Antoine Tenart) [2041382] - ipv6: move inet6_sk(sk)->rx_dst_cookie to sk->sk_rx_dst_cookie (Antoine Tenart) [2041382] - tcp: move inet->rx_dst_ifindex to sk->sk_rx_dst_ifindex (Antoine Tenart) [2041382] - [RHEL-9.0] IPMI Add RH_KABI_RESERVE to kABI sensitive structs (Tony Camuso) [2042031] - configs: disable CONFIG_CRAMFS (Abhi Das) [2041184] - ppp: ensure minimum packet size in ppp_write() (Guillaume Nault) [2042936] - [pci] PCI: Add reserved fields to 'struct pci_sriov' (Myron Stowe) [2039086] - [include] PCI: Add reserved fields to 'struct pci_driver' (Myron Stowe) [2039086] - [include] PCI: Add reserved fields to 'struct pci_bus' (Myron Stowe) [2039086] - [include] PCI: Add reserved fields, and extension, to 'struct pci_dev' (Myron Stowe) [2039086] - PCI: ACPI: Check parent pointer in acpi_pci_find_companion() (Myron Stowe) [2039086] - PCI/ACPI: Don't reset a fwnode set by OF (Myron Stowe) [2039086] - PCI: Make saved capability state private to core (Myron Stowe) [2039086] - PCI: Change the type of probe argument in reset functions (Myron Stowe) [2039086] - PCI: Add support for ACPI _RST reset method (Myron Stowe) [2039086] - PCI: Setup ACPI fwnode early and at the same time with OF (Myron Stowe) [2039086] - PCI: Use acpi_pci_power_manageable() (Myron Stowe) [2039086] - PCI: Add pci_set_acpi_fwnode() to set ACPI_COMPANION (Myron Stowe) [2039086] - PCI: Allow userspace to query and set device reset mechanism (Myron Stowe) [2039086] - PCI: Remove reset_fn field from pci_dev (Myron Stowe) [2039086] - PCI: Add array to track reset method ordering (Myron Stowe) [2039086] - PCI: Add pcie_reset_flr() with 'probe' argument (Myron Stowe) [2039086] - PCI: Cache PCIe Device Capabilities register (Myron Stowe) [2039086] - PCI: Allow PASID on fake PCIe devices without TLP prefixes (Myron Stowe) [2039086] - clocksource: Reduce the default clocksource_watchdog() retries to 2 (Waiman Long) [2027463] - clocksource: Avoid accidental unstable marking of clocksources (Waiman Long) [2027463] - Revert "clocksource: Increase WATCHDOG_MAX_SKEW" (Waiman Long) [2027463] - PCI: Add pcie_ptm_enabled() (Petr Oros) [2037314] - Revert "PCI: Make pci_enable_ptm() private" (Petr Oros) [2037314] - iommu/vt-d: Fix unmap_pages support (Jerry Snitselaar) [2027762] Resolves: rhbz#2027463, rhbz#2027762, rhbz#2034007, rhbz#2035993, rhbz#2037314, rhbz#2039086, rhbz#2041184, rhbz#2041382, rhbz#2042031, rhbz#2042936 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-07 15:49:35 +00:00
# CONFIG_CRAMFS is not set
# CONFIG_CRAMFS_MTD is not set
CONFIG_CRASH_DUMP=y
CONFIG_CRC16=y
# CONFIG_CRC32_BIT is not set
# CONFIG_CRC32_SARWATE is not set
# CONFIG_CRC32_SELFTEST is not set
# CONFIG_CRC32_SLICEBY4 is not set
CONFIG_CRC32_SLICEBY8=y
CONFIG_CRC32=y
# CONFIG_CRC4 is not set
kernel-5.14.0-120.el9 * Mon Jun 27 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-120.el9] - vmxnet3: disable overlay offloads if UPT device does not support (Kamal Heib) [2095826] - vmxnet3: update to version 7 (Kamal Heib) [2095826] - vmxnet3: use ext1 field to indicate encapsulated packet (Kamal Heib) [2095826] - vmxnet3: limit number of TXDs used for TSO packet (Kamal Heib) [2095826] - vmxnet3: add command to set ring buffer sizes (Kamal Heib) [2095826] - vmxnet3: add support for out of order rx completion (Kamal Heib) [2095826] - vmxnet3: add support for large passthrough BAR register (Kamal Heib) [2095826] - vmxnet3: add support for capability registers (Kamal Heib) [2095826] - vmxnet3: prepare for version 7 changes (Kamal Heib) [2095826] - net: vmxnet3: fix possible NULL pointer dereference in vmxnet3_rq_cleanup() (Kamal Heib) [2095826] - net: vmxnet3: fix possible use-after-free bugs in vmxnet3_rq_alloc_rx_buf() (Kamal Heib) [2095826] - netfilter: nf_tables: sanitize nft_set_desc_concat_parse() (Florian Westphal) [2096401] {CVE-2022-2078} - fanotify: Fix stale file descriptor in copy_event_to_user() (Andrey Albershteyn) [2054337] {CVE-2022-1998} - scsi: mpi3mr: Fix kernel-doc (Tomas Henzl) [2091880] - scsi: mpi3mr: Rework mrioc->bsg_device model to fix warnings (Tomas Henzl) [2091880] - scsi: mpi3mr: Add target device related sysfs attributes (Tomas Henzl) [2091880] - scsi: mpi3mr: Add shost related sysfs attributes (Tomas Henzl) [2091880] - scsi: mpi3mr: Return error if dma_alloc_coherent() fails (Tomas Henzl) [2091880] - scsi: mpi3mr: Fix a NULL vs IS_ERR() bug in mpi3mr_bsg_init() (Tomas Henzl) [2091880] - scsi: mpi3mr: Return I/Os to an unrecoverable HBA with DID_ERROR (Tomas Henzl) [2091880] - scsi: mpi3mr: Hidden drives not removed during soft reset (Tomas Henzl) [2091880] - scsi: mpi3mr: Increase I/O timeout value to 60s (Tomas Henzl) [2091880] - scsi: mpi3mr: Update driver version to 8.0.0.69.0 (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for NVMe passthrough (Tomas Henzl) [2091880] - scsi: mpi3mr: Expose adapter state to sysfs (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for PEL commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for MPT commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Move data structures/definitions from MPI headers to uapi header (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for driver commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Add bsg device support (Tomas Henzl) [2091880] - tcp: Don't acquire inet_listen_hashbucket::lock with disabled BH. (Paolo Abeni) [2090409] - block/bfq: Enable I/O statistics (Ming Lei) [2083917] - blk-mq: don't clear flush_rq from tags->rqs[] (Ming Lei) [2083917] - blk-mq: avoid to touch q->elevator without any protection (Ming Lei) [2083917] - blk-mq: protect q->elevator by ->sysfs_lock in blk_mq_elv_switch_none (Ming Lei) [2083917] - md/raid5-ppl: Fix argument order in bio_alloc_bioset() (Ming Lei) [2083917] - dm: fix bio length of empty flush (Ming Lei) [2083917] - rhel: configs: add config option CONFIG_CRYPTO_CRC64* (Ming Lei) [2083917] - rhel: configs: enable BLOCK_LEGACY_AUTOLOAD (Ming Lei) [2083917] - bcache: put bch_bio_map() back to correct location in journal_write_unlocked() (Ming Lei) [2083917] - bcache: fix wrong bdev parameter when calling bio_alloc_clone() in do_bio_hook() (Ming Lei) [2083917] - block: null_blk: Fix null_zone_write() (Ming Lei) [2083917] - nbd: use pr_err to output error message (Ming Lei) [2083917] - nbd: fix possible overflow on 'first_minor' in nbd_dev_add() (Ming Lei) [2083917] - nbd: fix io hung while disconnecting device (Ming Lei) [2083917] - nbd: don't clear 'NBD_CMD_INFLIGHT' flag if request is not completed (Ming Lei) [2083917] - nbd: fix race between nbd_alloc_config() and module removal (Ming Lei) [2083917] - nbd: call genl_unregister_family() first in nbd_cleanup() (Ming Lei) [2083917] - blk-mq: remove the done argument to blk_execute_rq_nowait (Ming Lei) [2083917] - blk-mq: avoid a mess of casts for blk_end_sync_rq (Ming Lei) [2083917] - blk-mq: remove __blk_execute_rq_nowait (Ming Lei) [2083917] - block: Fix potential deadlock in blk_ia_range_sysfs_show() (Ming Lei) [2083917] - block: fix bio_clone_blkg_association() to associate with proper blkcg_gq (Ming Lei) [2083917] - block: remove useless BUG_ON() in blk_mq_put_tag() (Ming Lei) [2083917] - blk-mq: do not update io_ticks with passthrough requests (Ming Lei) [2083917] - block: make bioset_exit() fully resilient against being called twice (Ming Lei) [2083917] - block: use bio_queue_enter instead of blk_queue_enter in bio_poll (Ming Lei) [2083917] - block: document BLK_STS_AGAIN usage (Ming Lei) [2083917] - block: take destination bvec offsets into account in bio_copy_data_iter (Ming Lei) [2083917] - blk-iolatency: Fix inflight count imbalances and IO hangs on offline (Ming Lei) [2083917] - blk-mq: don't touch ->tagset in blk_mq_get_sq_hctx (Ming Lei) [2083917] - mtip32xx: fix typo in comment (Ming Lei) [2083917] - nbd: Fix hung on disconnect request if socket is closed before (Ming Lei) [2083917] - loop: remove most the top-of-file boilerplate comment from the UAPI header (Ming Lei) [2083917] - loop: remove most the top-of-file boilerplate comment (Ming Lei) [2083917] - loop: add a SPDX header (Ming Lei) [2083917] - loop: remove loop.h (Ming Lei) [2083917] - block: null_blk: Improve device creation with configfs (Ming Lei) [2083917] - block: null_blk: Cleanup messages (Ming Lei) [2083917] - block: null_blk: Cleanup device creation and deletion (Ming Lei) [2083917] - block: null_blk: Fix code style issues (Ming Lei) [2083917] - xen-blkback: use bdev_discard_alignment (Ming Lei) [2083917] - rnbd-srv: use bdev_discard_alignment (Ming Lei) [2083917] - nvme: remove a spurious clear of discard_alignment (Ming Lei) [2083917] - loop: remove a spurious clear of discard_alignment (Ming Lei) [2083917] - dasd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - raid5: don't set the discard_alignment queue limit (Ming Lei) [2083917] - dm-zoned: don't set the discard_alignment queue limit (Ming Lei) [2083917] - virtio_blk: fix the discard_granularity and discard_alignment queue limits (Ming Lei) [2083917] - null_blk: don't set the discard_alignment queue limit (Ming Lei) [2083917] - nbd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - ubd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - aoe: Avoid flush_scheduled_work() usage (Ming Lei) [2083917] - null-blk: save memory footprint for struct nullb_cmd (Ming Lei) [2083917] - block/rnbd-clt: Avoid flush_workqueue(system_long_wq) usage (Ming Lei) [2083917] - loop: don't destroy lo->workqueue in __loop_clr_fd (Ming Lei) [2083917] - loop: remove lo_refcount and avoid lo_mutex in ->open / ->release (Ming Lei) [2083917] - loop: avoid loop_validate_mutex/lo_mutex in ->release (Ming Lei) [2083917] - loop: suppress uevents while reconfiguring the device (Ming Lei) [2083917] - loop: implement ->free_disk (Ming Lei) [2083917] - loop: only freeze the queue in __loop_clr_fd when needed (Ming Lei) [2083917] - loop: don't freeze the queue in lo_release (Ming Lei) [2083917] - loop: remove the racy bd_inode->i_mapping->nrpages asserts (Ming Lei) [2083917] - loop: initialize the worker tracking fields once (Ming Lei) [2083917] - loop: de-duplicate the idle worker freeing code (Ming Lei) [2083917] - block: turn bdev->bd_openers into an atomic_t (Ming Lei) [2083917] - block: add a disk_openers helper (Ming Lei) [2083917] - zram: cleanup zram_remove (Ming Lei) [2083917] - zram: cleanup reset_store (Ming Lei) [2083917] - nbd: use the correct block_device in nbd_bdev_reset (Ming Lei) [2083917] - drdb: Switch to kvfree_rcu() API (Ming Lei) [2083917] - drbd: Replace "unsigned" with "unsigned int" (Ming Lei) [2083917] - drbd: Make use of PFN_UP helper macro (Ming Lei) [2083917] - block: drbd: drbd_receiver: Remove redundant assignment to err (Ming Lei) [2083917] - drbd: address enum mismatch warnings (Ming Lei) [2083917] - drbd: fix duplicate array initializer (Ming Lei) [2083917] - blk-mq: fix typo in comment (Ming Lei) [2083917] - bfq: Remove bfq_requeue_request_body() (Ming Lei) [2083917] - bfq: Remove superfluous conversion from RQ_BIC() (Ming Lei) [2083917] - bfq: Allow current waker to defend against a tentative one (Ming Lei) [2083917] - bfq: Relax waker detection for shared queues (Ming Lei) [2083917] - blk-cgroup: delete rcu_read_lock_held() WARN_ON_ONCE() (Ming Lei) [2083917] - blk-throttle: Set BIO_THROTTLED when bio has been throttled (Ming Lei) [2083917] - blk-cgroup: Remove unnecessary rcu_read_lock/unlock() (Ming Lei) [2083917] - blk-cgroup: always terminate io.stat lines (Ming Lei) [2083917] - block, bfq: make bfq_has_work() more accurate (Ming Lei) [2083917] - block, bfq: protect 'bfqd->queued' by 'bfqd->lock' (Ming Lei) [2083917] - block: cleanup the VM accounting in submit_bio (Ming Lei) [2083917] - block: Fix the bio.bi_opf comment (Ming Lei) [2083917] - block: reorder the REQ_ flags (Ming Lei) [2083917] - blk-iocost: combine local_stat and desc_stat to stat (Ming Lei) [2083917] - block: improve the error message from bio_check_eod (Ming Lei) [2083917] - block: allow passing a NULL bdev to bio_alloc_clone/bio_init_clone (Ming Lei) [2083917] - block: remove superfluous calls to blkcg_bio_issue_init (Ming Lei) [2083917] - kthread: unexport kthread_blkcg (Ming Lei) [2083917] - blk-cgroup: cleanup blkcg_maybe_throttle_current (Ming Lei) [2083917] - blk-cgroup: cleanup blk_cgroup_congested (Ming Lei) [2083917] - blk-cgroup: move blkcg_css to blk-cgroup.c (Ming Lei) [2083917] - blk-cgroup: remove unneeded includes from <linux/blk-cgroup.h> (Ming Lei) [2083917] - blk-cgroup: remove pointless CONFIG_BLOCK ifdefs (Ming Lei) [2083917] - blk-cgroup: replace bio_blkcg with bio_blkcg_css (Ming Lei) [2083917] - blktrace: cleanup the __trace_note_message interface (Ming Lei) [2083917] - blk-cgroup: move struct blkcg to block/blk-cgroup.h (Ming Lei) [2083917] - blk-cgroup: move blkcg_{pin,unpin}_online out of line (Ming Lei) [2083917] - blk-cgroup: move blk_cgroup_congested out line (Ming Lei) [2083917] - blk-cgroup: move blkcg_{get,set}_fc_appid out of line (Ming Lei) [2083917] - nvme-fc: fold t fc_update_appid into fc_appid_store (Ming Lei) [2083917] - nvme-fc: don't support the appid attribute without CONFIG_BLK_CGROUP_FC_APPID (Ming Lei) [2083917] - blk-cgroup: remove __bio_blkcg (Ming Lei) [2083917] - block/partitions/ldm: Remove redundant assignments (Ming Lei) [2083917] - block/partitions/atari: Remove redundant assignment (Ming Lei) [2083917] - block/partitions/acorn: Remove redundant assignments (Ming Lei) [2083917] - block/blk-map: Remove redundant assignment (Ming Lei) [2083917] - block/badblocks: Remove redundant assignments (Ming Lei) [2083917] - block: change exported IO accounting interface from gendisk to bdev (Ming Lei) [2083917] - direct-io: remove random prefetches (Ming Lei) [2083917] - block: decouple REQ_OP_SECURE_ERASE from REQ_OP_DISCARD (Ming Lei) [2083917] - block: add a bdev_discard_granularity helper (Ming Lei) [2083917] - block: remove QUEUE_FLAG_DISCARD (Ming Lei) [2083917] - block: add a bdev_max_discard_sectors helper (Ming Lei) [2083917] - block: refactor discard bio size limiting (Ming Lei) [2083917] - block: move {bdev,queue_limit}_discard_alignment out of line (Ming Lei) [2083917] - block: use bdev_discard_alignment in part_discard_alignment_show (Ming Lei) [2083917] - block: remove queue_discard_alignment (Ming Lei) [2083917] - block: move bdev_alignment_offset and queue_limit_alignment_offset out of line (Ming Lei) [2083917] - block: use bdev_alignment_offset in disk_alignment_offset_show (Ming Lei) [2083917] - block: use bdev_alignment_offset in part_alignment_offset_show (Ming Lei) [2083917] - block: add a bdev_max_zone_append_sectors helper (Ming Lei) [2083917] - block: add a bdev_stable_writes helper (Ming Lei) [2083917] - block: add a bdev_fua helper (Ming Lei) [2083917] - block: add a bdev_write_cache helper (Ming Lei) [2083917] - block: add a bdev_nonrot helper (Ming Lei) [2083917] - mm: use bdev_is_zoned in claim_swapfile (Ming Lei) [2083917] - drbd: cleanup decide_on_discard_support (Ming Lei) [2083917] - drbd: use bdev_alignment_offset instead of queue_alignment_offset (Ming Lei) [2083917] - drbd: use bdev based limit helpers in drbd_send_sizes (Ming Lei) [2083917] - drbd: remove assign_p_sizes_qlim (Ming Lei) [2083917] - target: fix discard alignment on partitions (Ming Lei) [2083917] - target: pass a block_device to target_configure_unmap_from_queue (Ming Lei) [2083917] - target: remove an incorrect unmap zeroes data deduction (Ming Lei) [2083917] - bfq: Make sure bfqg for which we are queueing requests is online (Ming Lei) [2083917] - bfq: Get rid of __bio_blkcg() usage (Ming Lei) [2083917] - bfq: Track whether bfq_group is still online (Ming Lei) [2083917] - bfq: Remove pointless bfq_init_rq() calls (Ming Lei) [2083917] - bfq: Drop pointless unlock-lock pair (Ming Lei) [2083917] - bfq: Update cgroup information before merging bio (Ming Lei) [2083917] - bfq: Split shared queues on move between cgroups (Ming Lei) [2083917] - bfq: Avoid merging queues with different parents (Ming Lei) [2083917] - bfq: Avoid false marking of bic as stably merged (Ming Lei) [2083917] - pktcdvd: stop using bio_reset (Ming Lei) [2083917] - block: turn bio_kmalloc into a simple kmalloc wrapper (Ming Lei) [2083917] - target/pscsi: remove pscsi_get_bio (Ming Lei) [2083917] - squashfs: always use bio_kmalloc in squashfs_bio_read (Ming Lei) [2083917] - block: allow use of per-cpu bio alloc cache by block drivers (Ming Lei) [2083917] - block: allow using the per-cpu bio cache from bio_alloc_bioset (Ming Lei) [2083917] - blk-mq: fix passthrough plugging (Ming Lei) [2083917] - block: wire-up support for passthrough plugging (Ming Lei) [2083917] - scsi: block: Remove REQ_OP_WRITE_SAME support (Ming Lei) [2083917] - scsi: dm: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: md: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: sd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: rnbd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: drbd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: cxlflash: Query write_zeroes limit for zeroing (Ming Lei) [2083917] - block/mq-deadline: Set the fifo_time member also if inserting at head (Ming Lei) [2083917] - Revert "block: release rq qos structures for queue without disk" (Ming Lei) [2083917] - bfq: Fix warning in bfqq_request_over_limit() (Ming Lei) [2083917] - Revert "block: inherit request start time from bio for BLK_CGROUP" (Ming Lei) [2083917] - iocost: don't reset the inuse weight of under-weighted debtors (Ming Lei) [2083917] - block: don't print I/O error warning for dead disks (Ming Lei) [2083917] - block/compat_ioctl: fix range check in BLKGETSIZE (Ming Lei) [2083917] - block: null_blk: end timed out poll request (Ming Lei) [2083917] - block: fix offset/size check in bio_trim() (Ming Lei) [2083917] - asm-generic: fix __get_unaligned_be48() on 32 bit platforms (Ming Lei) [2083917] - block: move lower_48_bits() to block (Ming Lei) [2083917] - drbd: set QUEUE_FLAG_STABLE_WRITES (Ming Lei) [2083917] - drbd: fix an invalid memory access caused by incorrect use of list iterator (Ming Lei) [2083917] - drbd: Fix five use after free bugs in get_initial_state (Ming Lei) [2083917] - cdrom: remove unused variable (Ming Lei) [2083917] - Revert "nbd: fix possible overflow on 'first_minor' in nbd_dev_add()" (Ming Lei) [2083917] - drbd: remove check of list iterator against head past the loop body (Ming Lei) [2083917] - drbd: remove usage of list iterator variable after loop (Ming Lei) [2083917] - nbd: fix possible overflow on 'first_minor' in nbd_dev_add() (Ming Lei) [2083917] - MAINTAINERS: add drbd co-maintainer (Ming Lei) [2083917] - drbd: fix potential silent data corruption (Ming Lei) [2083917] - loop: fix ioctl calls using compat_loop_info (Ming Lei) [2083917] - n64cart: convert bi_disk to bi_bdev->bd_disk fix build (Ming Lei) [2083917] - blk-wbt: remove wbt_track stub (Ming Lei) [2083917] - block: use dedicated list iterator variable (Ming Lei) [2083917] - block: Fix the maximum minor value is blk_alloc_ext_minor() (Ming Lei) [2083917] - block: avoid calling blkg_free() in atomic context (Ming Lei) [2083917] - crypto: fix crc64 testmgr digest byte order (Ming Lei) [2083917] - nvme: add support for enhanced metadata (Ming Lei) [2083917] - block: add pi for extended integrity (Ming Lei) [2083917] - crypto: add rocksoft 64b crc guard tag framework (Ming Lei) [2083917] - lib: add rocksoft model crc64 (Ming Lei) [2083917] - linux/kernel: introduce lower_48_bits function (Ming Lei) [2083917] - asm-generic: introduce be48 unaligned accessors (Ming Lei) [2083917] - nvme: allow integrity on extended metadata formats (Ming Lei) [2083917] - block: support pi with extended metadata (Ming Lei) [2083917] - fs: do not pass __GFP_HIGHMEM to bio_alloc in do_mpage_readpage (Ming Lei) [2083917] - f2fs: pass the bio operation to bio_alloc_bioset (Ming Lei) [2083917] - f2fs: don't pass a bio to f2fs_target_device (Ming Lei) [2083917] - nilfs2: pass the operation to bio_alloc (Ming Lei) [2083917] - ext4: pass the operation to bio_alloc (Ming Lei) [2083917] - mpage: pass the operation to bio_alloc (Ming Lei) [2083917] - virtio_blk: eliminate anonymous module_init & module_exit (Ming Lei) [2083917] - floppy: use memcpy_{to,from}_bvec (Ming Lei) [2083917] - drbd: use bvec_kmap_local in recv_dless_read (Ming Lei) [2083917] - drbd: use bvec_kmap_local in drbd_csum_bio (Ming Lei) [2083917] - bcache: use bvec_kmap_local in bio_csum (Ming Lei) [2083917] - nvdimm-btt: use bvec_kmap_local in btt_rw_integrity (Ming Lei) [2083917] - nvdimm-blk: use bvec_kmap_local in nd_blk_rw_integrity (Ming Lei) [2083917] - zram: use memcpy_from_bvec in zram_bvec_write (Ming Lei) [2083917] - zram: use memcpy_to_bvec in zram_bvec_read (Ming Lei) [2083917] - aoe: use bvec_kmap_local in bvcpy (Ming Lei) [2083917] - iss-simdisk: use bvec_kmap_local in simdisk_submit_bio (Ming Lei) [2083917] - null_blk: null_alloc_page() cleanup (Ming Lei) [2083917] - null_blk: remove hardcoded null_alloc_page() param (Ming Lei) [2083917] - null_blk: remove hardcoded alloc_cmd() parameter (Ming Lei) [2083917] - loop: allow user to set the queue depth (Ming Lei) [2083917] - loop: remove extra variable in lo_req_flush (Ming Lei) [2083917] - loop: remove extra variable in lo_fallocate() (Ming Lei) [2083917] - loop: use sysfs_emit() in the sysfs xxx show() (Ming Lei) [2083917] - null_blk: fix return value from null_add_dev() (Ming Lei) [2083917] - loop: clean up grammar in warning message (Ming Lei) [2083917] - block/rnbd: Remove a useless mutex (Ming Lei) [2083917] - block/rnbd: client device does not care queue/rotational (Ming Lei) [2083917] - block/rnbd-clt: fix CHECK:BRACES warning (Ming Lei) [2083917] - block: cancel all throttled bios in del_gendisk() (Ming Lei) [2083917] - block: let blkcg_gq grab request queue's refcnt (Ming Lei) [2083917] - block: avoid use-after-free on throttle data (Ming Lei) [2083917] - block/bfq-iosched: Fix spelling mistake "tenative" -> "tentative" (Ming Lei) [2083917] - sr: simplify the local variable initialization in sr_block_open() (Ming Lei) [2083917] - block: don't merge across cgroup boundaries if blkcg is enabled (Ming Lei) [2083917] - block: move rq_qos_exit() into disk_release() (Ming Lei) [2083917] - block: do more work in elevator_exit (Ming Lei) [2083917] - block: move blk_exit_queue into disk_release (Ming Lei) [2083917] - block: move q_usage_counter release into blk_queue_release (Ming Lei) [2083917] - block: don't remove hctx debugfs dir from blk_mq_exit_queue (Ming Lei) [2083917] - block: move blkcg initialization/destroy into disk allocation/release handler (Ming Lei) [2083917] - sr: implement ->free_disk to simplify refcounting (Ming Lei) [2083917] - sd: implement ->free_disk to simplify refcounting (Ming Lei) [2083917] - blk-mq: handle already freed tags gracefully in blk_mq_free_rqs (Ming Lei) [2083917] - blk-mq: do not include passthrough requests in I/O accounting (Ming Lei) [2083917] - blk-mq: manage hctx map via xarray (Ming Lei) [2083917] - blk-mq: prepare for implementing hctx table via xarray (Ming Lei) [2083917] - block: mtip32xx: don't touch q->queue_hw_ctx (Ming Lei) [2083917] - blk-mq: reconfigure poll after queue map is changed (Ming Lei) [2083917] - blk-mq: simplify reallocation of hw ctxs a bit (Ming Lei) [2083917] - blk-mq: figure out correct numa node for hw queue (Ming Lei) [2083917] - Revert "Revert "block, bfq: honor already-setup queue merges"" (Ming Lei) [2083917] - block: remove bio_devname (Ming Lei) [2083917] - raid5-ppl: stop using bio_devname (Ming Lei) [2083917] - raid1: stop using bio_devname (Ming Lei) [2083917] - md-multipath: stop using bio_devname (Ming Lei) [2083917] - dm-integrity: stop using bio_devname (Ming Lei) [2083917] - dm-crypt: stop using bio_devname (Ming Lei) [2083917] - pktcdvd: remove a pointless debug check in pkt_submit_bio (Ming Lei) [2083917] - block: remove handle_bad_sector (Ming Lei) [2083917] - block: fix and cleanup bio_check_ro (Ming Lei) [2083917] - bfq: fix use-after-free in bfq_dispatch_request (Ming Lei) [2083917] - blk-crypto: show crypto capabilities in sysfs (Ming Lei) [2083917] - block: don't delete queue kobject before its children (Ming Lei) [2083917] - block: simplify calling convention of elv_unregister_queue() (Ming Lei) [2083917] - block: remove redundant semicolon (Ming Lei) [2083917] - block: default BLOCK_LEGACY_AUTOLOAD to y (Ming Lei) [2083917] - block, bfq: don't move oom_bfqq (Ming Lei) [2083917] - block, bfq: avoid moving bfqq to it's parent bfqg (Ming Lei) [2083917] - block, bfq: cleanup bfq_bfqq_to_bfqg() (Ming Lei) [2083917] - block/bfq_wf2q: correct weight to ioprio (Ming Lei) [2083917] - blk-mq: avoid extending delays of active hctx from blk_mq_delay_run_hw_queues (Ming Lei) [2083917] - virtio_blk: simplify refcounting (Ming Lei) [2083917] - memstick/mspro_block: simplify refcounting (Ming Lei) [2083917] - memstick/mspro_block: fix handling of read-only devices (Ming Lei) [2083917] - memstick/ms_block: simplify refcounting (Ming Lei) [2083917] - block: add a ->free_disk method (Ming Lei) [2083917] - block: revert 4f1e9630afe6 ("blk-throtl: optimize IOPS throttle for large IO scenarios") (Ming Lei) [2083917] - block: don't try to throttle split bio if iops limit isn't set (Ming Lei) [2083917] - block: throttle split bio in case of iops limit (Ming Lei) [2083917] - block: merge submit_bio_checks() into submit_bio_noacct (Ming Lei) [2083917] - block: don't check bio in blk_throtl_dispatch_work_fn (Ming Lei) [2083917] - block: don't declare submit_bio_checks in local header (Ming Lei) [2083917] - block: move blk_crypto_bio_prep() out of blk-mq.c (Ming Lei) [2083917] - block: move submit_bio_checks() into submit_bio_noacct (Ming Lei) [2083917] - dm: remove dm_dispatch_clone_request (Ming Lei) [2083917] - dm: remove useless code from dm_dispatch_clone_request (Ming Lei) [2083917] - blk-mq: remove the request_queue argument to blk_insert_cloned_request (Ming Lei) [2083917] - blk-mq: fold blk_cloned_rq_check_limits into blk_insert_cloned_request (Ming Lei) [2083917] - blk-mq: make the blk-mq stacking code optional (Ming Lei) [2083917] - blk-cgroup: set blkg iostat after percpu stat aggregation (Ming Lei) [2083917] - blk-lib: don't check bdev_get_queue() NULL check (Ming Lei) [2083917] - block: remove biodoc.rst (Ming Lei) [2083917] - docs: block: biodoc.rst: Drop the obsolete and incorrect content (Ming Lei) [2083917] - block: partition include/linux/blk-cgroup.h (Ming Lei) [2083917] - block: move initialization of q->blkg_list into blkcg_init_queue (Ming Lei) [2083917] - block: remove THROTL_IOPS_MAX (Ming Lei) [2083917] - block: introduce block_rq_error tracepoint (Ming Lei) [2083917] - sbitmap: Delete old sbitmap_queue_get_shallow() (Ming Lei) [2083917] - block: pass a block_device to bio_clone_fast (Ming Lei) [2083917] - block: initialize the target bio in __bio_clone_fast (Ming Lei) [2083917] - dm: use bio_clone_fast in alloc_io/alloc_tio (Ming Lei) [2083917] - block: clone crypto and integrity data in __bio_clone_fast (Ming Lei) [2083917] - dm-cache: remove __remap_to_origin_clear_discard (Ming Lei) [2083917] - dm: simplify the single bio fast path in __send_duplicate_bios (Ming Lei) [2083917] - dm: retun the clone bio from alloc_tio (Ming Lei) [2083917] - dm: pass the bio instead of tio to __map_bio (Ming Lei) [2083917] - dm: move cloning the bio into alloc_tio (Ming Lei) [2083917] - dm: fold __send_duplicate_bios into __clone_and_map_simple_bio (Ming Lei) [2083917] - dm: fold clone_bio into __clone_and_map_data_bio (Ming Lei) [2083917] - dm: add a clone_to_tio helper (Ming Lei) [2083917] - drbd: set ->bi_bdev in drbd_req_new (Ming Lei) [2083917] - block: call bio_associate_blkg from bio_reset (Ming Lei) [2083917] - scsi: use BLK_STS_OFFLINE for not fully online devices (Ming Lei) [2083917] - block: return -ENODEV for BLK_STS_OFFLINE (Ming Lei) [2083917] - block: introduce BLK_STS_OFFLINE (Ming Lei) [2083917] - block: fix boolreturn.cocci warning (Ming Lei) [2083917] - MAINTAINERS: add bio.h to the block section (Ming Lei) [2083917] - block: fix the kerneldoc for bio_end_io_acct (Ming Lei) [2083917] - block: check that there is a plug in blk_flush_plug (Ming Lei) [2083917] - block: remove blk_needs_flush_plug (Ming Lei) [2083917] - block: pass a block_device and opf to bio_reset (Ming Lei) [2083917] - block: pass a block_device and opf to bio_init (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc_kiocb (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc_bioset (Ming Lei) [2083917] - block: pass a block_device and opf to blk_next_bio (Ming Lei) [2083917] - block: move blk_next_bio to bio.c (Ming Lei) [2083917] - xen-blkback: bio_alloc can't fail if it is allow to sleep (Ming Lei) [2083917] - rnbd-srv: remove struct rnbd_dev_blk_io (Ming Lei) [2083917] - rnbd-srv: simplify bio mapping in process_rdma (Ming Lei) [2083917] - drbd: bio_alloc can't fail if it is allow to sleep (Ming Lei) [2083917] - dm-thin: use blkdev_issue_flush instead of open coding it (Ming Lei) [2083917] - dm-snap: use blkdev_issue_flush instead of open coding it (Ming Lei) [2083917] - dm-crypt: remove clone_init (Ming Lei) [2083917] - dm: bio_alloc can't fail if it is allowed to sleep (Ming Lei) [2083917] - nfs/blocklayout: remove bl_alloc_init_bio (Ming Lei) [2083917] - nilfs2: remove nilfs_alloc_seg_bio (Ming Lei) [2083917] - fs: remove mpage_alloc (Ming Lei) [2083917] - block: remove genhd.h (Ming Lei) [2083917] - block: move blk_drop_partitions to blk.h (Ming Lei) [2083917] - block: move disk_{block,unblock,flush}_events to blk.h (Ming Lei) [2083917] - block: deprecate autoloading based on dev_t (Ming Lei) [2083917] - zram_drv: allow reclaim on bio_alloc (Ming Lei) [2083917] - block: Add bio_for_each_folio_all() (Ming Lei) [2083917] - block: Add bio_add_folio() (Ming Lei) [2083917] - [s390] s390/zcrypt: Filter admin CPRBs on custom devices (Mete Durlu) [2076921] - [s390] s390/zcrypt: CCA control CPRB sending (Mete Durlu) [2076921] - [s390] s390/zcrypt: Add admask to zcdn (Mete Durlu) [2076921] - ntb: intel: fix port config status offset for SPR (John W. Linville) [2048751] - NTB/msi: Use struct_size() helper in devm_kzalloc() (John W. Linville) [2048751] - ntb_hw_switchtec: Fix a minor issue in config_req_id_table() (John W. Linville) [2048751] - ntb_hw_switchtec: Remove code for disabling ID protection (John W. Linville) [2048751] - ntb_hw_switchtec: Update the way of getting VEP instance ID (John W. Linville) [2048751] - ntb_hw_switchtec: AND with the part_map for a valid tpart_vec (John W. Linville) [2048751] - ntb_hw_switchtec: Fix bug with more than 32 partitions (John W. Linville) [2048751] - ntb_hw_switchtec: Fix pff ioread to read into mmio_part_cfg_all (John W. Linville) [2048751] - ntb_hw_switchtec: fix the spelling of "its" (John W. Linville) [2048751] - NTB/msi: Fix ntbm_msi_request_threaded_irq() kernel-doc comment (John W. Linville) [2048751] - ntb_hw_amd: Add NTB PCI ID for new gen CPU (John W. Linville) [2048751] - NTB: switch from 'pci_' to 'dma_' API (John W. Linville) [2048751] - ntb: ntb_pingpong: remove redundant initialization of variables msg_data and spad_data (John W. Linville) [2048751] - NTB: perf: Fix an error code in perf_setup_inbuf() (John W. Linville) [2048751] - NTB: Fix an error code in ntb_msit_probe() (John W. Linville) [2048751] - ntb: intel: remove invalid email address in header comment (John W. Linville) [2048751] - redhat: spec: trigger dracut when modules are installed separately (Jan Stancek) [2062518] Resolves: rhbz#2095826, rhbz#2096401, rhbz#2054337, rhbz#2091880, rhbz#2090409, rhbz#2083917, rhbz#2076921, rhbz#2048751, rhbz#2062518 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-27 08:00:43 +00:00
CONFIG_CRC64_ROCKSOFT=y
CONFIG_CRC64=y
CONFIG_CRC7=m
CONFIG_CRC8=m
CONFIG_CRC_CCITT=y
CONFIG_CRC_ITU_T=m
CONFIG_CRC_T10DIF=y
# CONFIG_CROS_EC is not set
# CONFIG_CROS_KBD_LED_BACKLIGHT is not set
CONFIG_CROSS_MEMORY_ATTACH=y
CONFIG_CRYPTO_842=m
CONFIG_CRYPTO_ADIANTUM=m
CONFIG_CRYPTO_AEGIS128_AESNI_SSE2=m
# CONFIG_CRYPTO_AEGIS128 is not set
# CONFIG_CRYPTO_AES_TI is not set
CONFIG_CRYPTO_AES=y
CONFIG_CRYPTO_ANSI_CPRNG=m
CONFIG_CRYPTO_AUTHENC=y
kernel-5.14.0-42.el9 * Thu Jan 13 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-42.el9] - scsi: smartpqi: Update version to 2.1.12-055 (Don Brace) [1869853] - scsi: smartpqi: Add 3252-8i PCI id (Don Brace) [1869853] - scsi: smartpqi: Fix duplicate device nodes for tape changers (Don Brace) [1869853] - scsi: smartpqi: Fix boot failure during LUN rebuild (Don Brace) [1869853] - scsi: smartpqi: Add extended report physical LUNs (Don Brace) [1869853] - scsi: smartpqi: Avoid failing I/Os for offline devices (Don Brace) [1869853] - scsi: smartpqi: Add TEST UNIT READY check for SANITIZE operation (Don Brace) [1869853] - scsi: smartpqi: Update LUN reset handler (Don Brace) [1869853] - scsi: smartpqi: Capture controller reason codes (Don Brace) [1869853] - scsi: smartpqi: Add controller handshake during kdump (Don Brace) [1869853] - scsi: smartpqi: Update device removal management (Don Brace) [1869853] - scsi: smartpqi: Replace one-element array with flexible-array member (Don Brace) [1869853] - scsi: smartpqi: Fix an error code in pqi_get_raid_map() (Don Brace) [1869853] - scsi: smartpqi: Update version to 2.1.10-020 (Don Brace) [1869853] - scsi: smartpqi: Fix ISR accessing uninitialized data (Don Brace) [1869853] - scsi: smartpqi: Add PCI IDs for new ZTE controllers (Don Brace) [1869853] - scsi: smartpqi: Add PCI ID for new ntcom controller (Don Brace) [1869853] - scsi: smartpqi: Add SCSI cmd info for resets (Don Brace) [1869853] - scsi: smartpqi: Change Kconfig menu entry to Microchip (Don Brace) [1869853] - scsi: smartpqi: Change driver module macros to Microchip (Don Brace) [1869853] - scsi: smartpqi: Update copyright notices (Don Brace) [1869853] - scsi: smartpqi: Add PCI IDs for H3C P4408 controllers (Don Brace) [1869853] - powerpc/module_64: Fix livepatching for RO modules (Joe Lawrence) [2019205] - net-sysfs: try not to restart the syscall if it will fail eventually (Antoine Tenart) [2030634] - CI: Enable realtime checks for baselines (Veronika Kabatova) - CI: Cleanup residue from ARK (Veronika Kabatova) - redhat: ignore ksamples and kselftests on the badfuncs rpminspect test (Herton R. Krzesinski) - redhat: disable upstream check for rpminspect (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_CRYPTO_BLAKE2B (Neal Gompa) [2031547] - selftests: netfilter: switch zone stress to socat (Florian Westphal) [2030759] - netfilter: conntrack: set on IPS_ASSURED if flows enters internal stream state (Florian Westphal) [2030759] - netfilter: conntrack: serialize hash resizes and cleanups (Florian Westphal) [2030759] - selftests: netfilter: add zone stress test with colliding tuples (Florian Westphal) [2030759] - selftests: netfilter: add selftest for directional zone support (Florian Westphal) [2030759] - netfilter: conntrack: include zone id in tuple hash again (Florian Westphal) [2030759] - netfilter: conntrack: make max chain length random (Florian Westphal) [2030759] - netfilter: refuse insertion if chain has grown too large (Florian Westphal) [2030759] - netfilter: conntrack: switch to siphash (Florian Westphal) [2030759] - netfilter: conntrack: sanitize table size default settings (Florian Westphal) [2030759] - redhat: configs: increase CONFIG_DEBUG_KMEMLEAK_MEM_POOL_SIZE (Rafael Aquini) [2008118] - iommu/dma: Fix incorrect error return on iommu deferred attach (Jerry Snitselaar) [2030394] - RDMA/siw: Mark Software iWARP Driver as tech-preview (Kamal Heib) [2023416] - genirq: Fix kernel doc indentation (Prarit Bhargava) [2023084] - genirq: Change force_irqthreads to a static key (Prarit Bhargava) [2023084] - genirq: Clarify documentation for request_threaded_irq() (Prarit Bhargava) [2023084] Resolves: rhbz#1869853, rhbz#2008118, rhbz#2019205, rhbz#2023084, rhbz#2023416, rhbz#2030394, rhbz#2030634, rhbz#2030759, rhbz#2031547 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-13 17:37:07 +00:00
CONFIG_CRYPTO_BLAKE2B=m
# CONFIG_CRYPTO_BLAKE2S is not set
CONFIG_CRYPTO_BLOWFISH=m
CONFIG_CRYPTO_CAMELLIA=m
CONFIG_CRYPTO_CAST5=m
CONFIG_CRYPTO_CAST6=m
CONFIG_CRYPTO_CBC=y
CONFIG_CRYPTO_CCM=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_CRYPTO_CFB=y
CONFIG_CRYPTO_CHACHA20=m
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_CRYPTO_CHACHA20POLY1305=m
CONFIG_CRYPTO_CMAC=y
# CONFIG_CRYPTO_CRC32C_VPMSUM is not set
CONFIG_CRYPTO_CRC32C=y
CONFIG_CRYPTO_CRC32=m
kernel-5.14.0-120.el9 * Mon Jun 27 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-120.el9] - vmxnet3: disable overlay offloads if UPT device does not support (Kamal Heib) [2095826] - vmxnet3: update to version 7 (Kamal Heib) [2095826] - vmxnet3: use ext1 field to indicate encapsulated packet (Kamal Heib) [2095826] - vmxnet3: limit number of TXDs used for TSO packet (Kamal Heib) [2095826] - vmxnet3: add command to set ring buffer sizes (Kamal Heib) [2095826] - vmxnet3: add support for out of order rx completion (Kamal Heib) [2095826] - vmxnet3: add support for large passthrough BAR register (Kamal Heib) [2095826] - vmxnet3: add support for capability registers (Kamal Heib) [2095826] - vmxnet3: prepare for version 7 changes (Kamal Heib) [2095826] - net: vmxnet3: fix possible NULL pointer dereference in vmxnet3_rq_cleanup() (Kamal Heib) [2095826] - net: vmxnet3: fix possible use-after-free bugs in vmxnet3_rq_alloc_rx_buf() (Kamal Heib) [2095826] - netfilter: nf_tables: sanitize nft_set_desc_concat_parse() (Florian Westphal) [2096401] {CVE-2022-2078} - fanotify: Fix stale file descriptor in copy_event_to_user() (Andrey Albershteyn) [2054337] {CVE-2022-1998} - scsi: mpi3mr: Fix kernel-doc (Tomas Henzl) [2091880] - scsi: mpi3mr: Rework mrioc->bsg_device model to fix warnings (Tomas Henzl) [2091880] - scsi: mpi3mr: Add target device related sysfs attributes (Tomas Henzl) [2091880] - scsi: mpi3mr: Add shost related sysfs attributes (Tomas Henzl) [2091880] - scsi: mpi3mr: Return error if dma_alloc_coherent() fails (Tomas Henzl) [2091880] - scsi: mpi3mr: Fix a NULL vs IS_ERR() bug in mpi3mr_bsg_init() (Tomas Henzl) [2091880] - scsi: mpi3mr: Return I/Os to an unrecoverable HBA with DID_ERROR (Tomas Henzl) [2091880] - scsi: mpi3mr: Hidden drives not removed during soft reset (Tomas Henzl) [2091880] - scsi: mpi3mr: Increase I/O timeout value to 60s (Tomas Henzl) [2091880] - scsi: mpi3mr: Update driver version to 8.0.0.69.0 (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for NVMe passthrough (Tomas Henzl) [2091880] - scsi: mpi3mr: Expose adapter state to sysfs (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for PEL commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for MPT commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Move data structures/definitions from MPI headers to uapi header (Tomas Henzl) [2091880] - scsi: mpi3mr: Add support for driver commands (Tomas Henzl) [2091880] - scsi: mpi3mr: Add bsg device support (Tomas Henzl) [2091880] - tcp: Don't acquire inet_listen_hashbucket::lock with disabled BH. (Paolo Abeni) [2090409] - block/bfq: Enable I/O statistics (Ming Lei) [2083917] - blk-mq: don't clear flush_rq from tags->rqs[] (Ming Lei) [2083917] - blk-mq: avoid to touch q->elevator without any protection (Ming Lei) [2083917] - blk-mq: protect q->elevator by ->sysfs_lock in blk_mq_elv_switch_none (Ming Lei) [2083917] - md/raid5-ppl: Fix argument order in bio_alloc_bioset() (Ming Lei) [2083917] - dm: fix bio length of empty flush (Ming Lei) [2083917] - rhel: configs: add config option CONFIG_CRYPTO_CRC64* (Ming Lei) [2083917] - rhel: configs: enable BLOCK_LEGACY_AUTOLOAD (Ming Lei) [2083917] - bcache: put bch_bio_map() back to correct location in journal_write_unlocked() (Ming Lei) [2083917] - bcache: fix wrong bdev parameter when calling bio_alloc_clone() in do_bio_hook() (Ming Lei) [2083917] - block: null_blk: Fix null_zone_write() (Ming Lei) [2083917] - nbd: use pr_err to output error message (Ming Lei) [2083917] - nbd: fix possible overflow on 'first_minor' in nbd_dev_add() (Ming Lei) [2083917] - nbd: fix io hung while disconnecting device (Ming Lei) [2083917] - nbd: don't clear 'NBD_CMD_INFLIGHT' flag if request is not completed (Ming Lei) [2083917] - nbd: fix race between nbd_alloc_config() and module removal (Ming Lei) [2083917] - nbd: call genl_unregister_family() first in nbd_cleanup() (Ming Lei) [2083917] - blk-mq: remove the done argument to blk_execute_rq_nowait (Ming Lei) [2083917] - blk-mq: avoid a mess of casts for blk_end_sync_rq (Ming Lei) [2083917] - blk-mq: remove __blk_execute_rq_nowait (Ming Lei) [2083917] - block: Fix potential deadlock in blk_ia_range_sysfs_show() (Ming Lei) [2083917] - block: fix bio_clone_blkg_association() to associate with proper blkcg_gq (Ming Lei) [2083917] - block: remove useless BUG_ON() in blk_mq_put_tag() (Ming Lei) [2083917] - blk-mq: do not update io_ticks with passthrough requests (Ming Lei) [2083917] - block: make bioset_exit() fully resilient against being called twice (Ming Lei) [2083917] - block: use bio_queue_enter instead of blk_queue_enter in bio_poll (Ming Lei) [2083917] - block: document BLK_STS_AGAIN usage (Ming Lei) [2083917] - block: take destination bvec offsets into account in bio_copy_data_iter (Ming Lei) [2083917] - blk-iolatency: Fix inflight count imbalances and IO hangs on offline (Ming Lei) [2083917] - blk-mq: don't touch ->tagset in blk_mq_get_sq_hctx (Ming Lei) [2083917] - mtip32xx: fix typo in comment (Ming Lei) [2083917] - nbd: Fix hung on disconnect request if socket is closed before (Ming Lei) [2083917] - loop: remove most the top-of-file boilerplate comment from the UAPI header (Ming Lei) [2083917] - loop: remove most the top-of-file boilerplate comment (Ming Lei) [2083917] - loop: add a SPDX header (Ming Lei) [2083917] - loop: remove loop.h (Ming Lei) [2083917] - block: null_blk: Improve device creation with configfs (Ming Lei) [2083917] - block: null_blk: Cleanup messages (Ming Lei) [2083917] - block: null_blk: Cleanup device creation and deletion (Ming Lei) [2083917] - block: null_blk: Fix code style issues (Ming Lei) [2083917] - xen-blkback: use bdev_discard_alignment (Ming Lei) [2083917] - rnbd-srv: use bdev_discard_alignment (Ming Lei) [2083917] - nvme: remove a spurious clear of discard_alignment (Ming Lei) [2083917] - loop: remove a spurious clear of discard_alignment (Ming Lei) [2083917] - dasd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - raid5: don't set the discard_alignment queue limit (Ming Lei) [2083917] - dm-zoned: don't set the discard_alignment queue limit (Ming Lei) [2083917] - virtio_blk: fix the discard_granularity and discard_alignment queue limits (Ming Lei) [2083917] - null_blk: don't set the discard_alignment queue limit (Ming Lei) [2083917] - nbd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - ubd: don't set the discard_alignment queue limit (Ming Lei) [2083917] - aoe: Avoid flush_scheduled_work() usage (Ming Lei) [2083917] - null-blk: save memory footprint for struct nullb_cmd (Ming Lei) [2083917] - block/rnbd-clt: Avoid flush_workqueue(system_long_wq) usage (Ming Lei) [2083917] - loop: don't destroy lo->workqueue in __loop_clr_fd (Ming Lei) [2083917] - loop: remove lo_refcount and avoid lo_mutex in ->open / ->release (Ming Lei) [2083917] - loop: avoid loop_validate_mutex/lo_mutex in ->release (Ming Lei) [2083917] - loop: suppress uevents while reconfiguring the device (Ming Lei) [2083917] - loop: implement ->free_disk (Ming Lei) [2083917] - loop: only freeze the queue in __loop_clr_fd when needed (Ming Lei) [2083917] - loop: don't freeze the queue in lo_release (Ming Lei) [2083917] - loop: remove the racy bd_inode->i_mapping->nrpages asserts (Ming Lei) [2083917] - loop: initialize the worker tracking fields once (Ming Lei) [2083917] - loop: de-duplicate the idle worker freeing code (Ming Lei) [2083917] - block: turn bdev->bd_openers into an atomic_t (Ming Lei) [2083917] - block: add a disk_openers helper (Ming Lei) [2083917] - zram: cleanup zram_remove (Ming Lei) [2083917] - zram: cleanup reset_store (Ming Lei) [2083917] - nbd: use the correct block_device in nbd_bdev_reset (Ming Lei) [2083917] - drdb: Switch to kvfree_rcu() API (Ming Lei) [2083917] - drbd: Replace "unsigned" with "unsigned int" (Ming Lei) [2083917] - drbd: Make use of PFN_UP helper macro (Ming Lei) [2083917] - block: drbd: drbd_receiver: Remove redundant assignment to err (Ming Lei) [2083917] - drbd: address enum mismatch warnings (Ming Lei) [2083917] - drbd: fix duplicate array initializer (Ming Lei) [2083917] - blk-mq: fix typo in comment (Ming Lei) [2083917] - bfq: Remove bfq_requeue_request_body() (Ming Lei) [2083917] - bfq: Remove superfluous conversion from RQ_BIC() (Ming Lei) [2083917] - bfq: Allow current waker to defend against a tentative one (Ming Lei) [2083917] - bfq: Relax waker detection for shared queues (Ming Lei) [2083917] - blk-cgroup: delete rcu_read_lock_held() WARN_ON_ONCE() (Ming Lei) [2083917] - blk-throttle: Set BIO_THROTTLED when bio has been throttled (Ming Lei) [2083917] - blk-cgroup: Remove unnecessary rcu_read_lock/unlock() (Ming Lei) [2083917] - blk-cgroup: always terminate io.stat lines (Ming Lei) [2083917] - block, bfq: make bfq_has_work() more accurate (Ming Lei) [2083917] - block, bfq: protect 'bfqd->queued' by 'bfqd->lock' (Ming Lei) [2083917] - block: cleanup the VM accounting in submit_bio (Ming Lei) [2083917] - block: Fix the bio.bi_opf comment (Ming Lei) [2083917] - block: reorder the REQ_ flags (Ming Lei) [2083917] - blk-iocost: combine local_stat and desc_stat to stat (Ming Lei) [2083917] - block: improve the error message from bio_check_eod (Ming Lei) [2083917] - block: allow passing a NULL bdev to bio_alloc_clone/bio_init_clone (Ming Lei) [2083917] - block: remove superfluous calls to blkcg_bio_issue_init (Ming Lei) [2083917] - kthread: unexport kthread_blkcg (Ming Lei) [2083917] - blk-cgroup: cleanup blkcg_maybe_throttle_current (Ming Lei) [2083917] - blk-cgroup: cleanup blk_cgroup_congested (Ming Lei) [2083917] - blk-cgroup: move blkcg_css to blk-cgroup.c (Ming Lei) [2083917] - blk-cgroup: remove unneeded includes from <linux/blk-cgroup.h> (Ming Lei) [2083917] - blk-cgroup: remove pointless CONFIG_BLOCK ifdefs (Ming Lei) [2083917] - blk-cgroup: replace bio_blkcg with bio_blkcg_css (Ming Lei) [2083917] - blktrace: cleanup the __trace_note_message interface (Ming Lei) [2083917] - blk-cgroup: move struct blkcg to block/blk-cgroup.h (Ming Lei) [2083917] - blk-cgroup: move blkcg_{pin,unpin}_online out of line (Ming Lei) [2083917] - blk-cgroup: move blk_cgroup_congested out line (Ming Lei) [2083917] - blk-cgroup: move blkcg_{get,set}_fc_appid out of line (Ming Lei) [2083917] - nvme-fc: fold t fc_update_appid into fc_appid_store (Ming Lei) [2083917] - nvme-fc: don't support the appid attribute without CONFIG_BLK_CGROUP_FC_APPID (Ming Lei) [2083917] - blk-cgroup: remove __bio_blkcg (Ming Lei) [2083917] - block/partitions/ldm: Remove redundant assignments (Ming Lei) [2083917] - block/partitions/atari: Remove redundant assignment (Ming Lei) [2083917] - block/partitions/acorn: Remove redundant assignments (Ming Lei) [2083917] - block/blk-map: Remove redundant assignment (Ming Lei) [2083917] - block/badblocks: Remove redundant assignments (Ming Lei) [2083917] - block: change exported IO accounting interface from gendisk to bdev (Ming Lei) [2083917] - direct-io: remove random prefetches (Ming Lei) [2083917] - block: decouple REQ_OP_SECURE_ERASE from REQ_OP_DISCARD (Ming Lei) [2083917] - block: add a bdev_discard_granularity helper (Ming Lei) [2083917] - block: remove QUEUE_FLAG_DISCARD (Ming Lei) [2083917] - block: add a bdev_max_discard_sectors helper (Ming Lei) [2083917] - block: refactor discard bio size limiting (Ming Lei) [2083917] - block: move {bdev,queue_limit}_discard_alignment out of line (Ming Lei) [2083917] - block: use bdev_discard_alignment in part_discard_alignment_show (Ming Lei) [2083917] - block: remove queue_discard_alignment (Ming Lei) [2083917] - block: move bdev_alignment_offset and queue_limit_alignment_offset out of line (Ming Lei) [2083917] - block: use bdev_alignment_offset in disk_alignment_offset_show (Ming Lei) [2083917] - block: use bdev_alignment_offset in part_alignment_offset_show (Ming Lei) [2083917] - block: add a bdev_max_zone_append_sectors helper (Ming Lei) [2083917] - block: add a bdev_stable_writes helper (Ming Lei) [2083917] - block: add a bdev_fua helper (Ming Lei) [2083917] - block: add a bdev_write_cache helper (Ming Lei) [2083917] - block: add a bdev_nonrot helper (Ming Lei) [2083917] - mm: use bdev_is_zoned in claim_swapfile (Ming Lei) [2083917] - drbd: cleanup decide_on_discard_support (Ming Lei) [2083917] - drbd: use bdev_alignment_offset instead of queue_alignment_offset (Ming Lei) [2083917] - drbd: use bdev based limit helpers in drbd_send_sizes (Ming Lei) [2083917] - drbd: remove assign_p_sizes_qlim (Ming Lei) [2083917] - target: fix discard alignment on partitions (Ming Lei) [2083917] - target: pass a block_device to target_configure_unmap_from_queue (Ming Lei) [2083917] - target: remove an incorrect unmap zeroes data deduction (Ming Lei) [2083917] - bfq: Make sure bfqg for which we are queueing requests is online (Ming Lei) [2083917] - bfq: Get rid of __bio_blkcg() usage (Ming Lei) [2083917] - bfq: Track whether bfq_group is still online (Ming Lei) [2083917] - bfq: Remove pointless bfq_init_rq() calls (Ming Lei) [2083917] - bfq: Drop pointless unlock-lock pair (Ming Lei) [2083917] - bfq: Update cgroup information before merging bio (Ming Lei) [2083917] - bfq: Split shared queues on move between cgroups (Ming Lei) [2083917] - bfq: Avoid merging queues with different parents (Ming Lei) [2083917] - bfq: Avoid false marking of bic as stably merged (Ming Lei) [2083917] - pktcdvd: stop using bio_reset (Ming Lei) [2083917] - block: turn bio_kmalloc into a simple kmalloc wrapper (Ming Lei) [2083917] - target/pscsi: remove pscsi_get_bio (Ming Lei) [2083917] - squashfs: always use bio_kmalloc in squashfs_bio_read (Ming Lei) [2083917] - block: allow use of per-cpu bio alloc cache by block drivers (Ming Lei) [2083917] - block: allow using the per-cpu bio cache from bio_alloc_bioset (Ming Lei) [2083917] - blk-mq: fix passthrough plugging (Ming Lei) [2083917] - block: wire-up support for passthrough plugging (Ming Lei) [2083917] - scsi: block: Remove REQ_OP_WRITE_SAME support (Ming Lei) [2083917] - scsi: dm: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: md: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: sd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: rnbd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: drbd: Remove WRITE_SAME support (Ming Lei) [2083917] - scsi: cxlflash: Query write_zeroes limit for zeroing (Ming Lei) [2083917] - block/mq-deadline: Set the fifo_time member also if inserting at head (Ming Lei) [2083917] - Revert "block: release rq qos structures for queue without disk" (Ming Lei) [2083917] - bfq: Fix warning in bfqq_request_over_limit() (Ming Lei) [2083917] - Revert "block: inherit request start time from bio for BLK_CGROUP" (Ming Lei) [2083917] - iocost: don't reset the inuse weight of under-weighted debtors (Ming Lei) [2083917] - block: don't print I/O error warning for dead disks (Ming Lei) [2083917] - block/compat_ioctl: fix range check in BLKGETSIZE (Ming Lei) [2083917] - block: null_blk: end timed out poll request (Ming Lei) [2083917] - block: fix offset/size check in bio_trim() (Ming Lei) [2083917] - asm-generic: fix __get_unaligned_be48() on 32 bit platforms (Ming Lei) [2083917] - block: move lower_48_bits() to block (Ming Lei) [2083917] - drbd: set QUEUE_FLAG_STABLE_WRITES (Ming Lei) [2083917] - drbd: fix an invalid memory access caused by incorrect use of list iterator (Ming Lei) [2083917] - drbd: Fix five use after free bugs in get_initial_state (Ming Lei) [2083917] - cdrom: remove unused variable (Ming Lei) [2083917] - Revert "nbd: fix possible overflow on 'first_minor' in nbd_dev_add()" (Ming Lei) [2083917] - drbd: remove check of list iterator against head past the loop body (Ming Lei) [2083917] - drbd: remove usage of list iterator variable after loop (Ming Lei) [2083917] - nbd: fix possible overflow on 'first_minor' in nbd_dev_add() (Ming Lei) [2083917] - MAINTAINERS: add drbd co-maintainer (Ming Lei) [2083917] - drbd: fix potential silent data corruption (Ming Lei) [2083917] - loop: fix ioctl calls using compat_loop_info (Ming Lei) [2083917] - n64cart: convert bi_disk to bi_bdev->bd_disk fix build (Ming Lei) [2083917] - blk-wbt: remove wbt_track stub (Ming Lei) [2083917] - block: use dedicated list iterator variable (Ming Lei) [2083917] - block: Fix the maximum minor value is blk_alloc_ext_minor() (Ming Lei) [2083917] - block: avoid calling blkg_free() in atomic context (Ming Lei) [2083917] - crypto: fix crc64 testmgr digest byte order (Ming Lei) [2083917] - nvme: add support for enhanced metadata (Ming Lei) [2083917] - block: add pi for extended integrity (Ming Lei) [2083917] - crypto: add rocksoft 64b crc guard tag framework (Ming Lei) [2083917] - lib: add rocksoft model crc64 (Ming Lei) [2083917] - linux/kernel: introduce lower_48_bits function (Ming Lei) [2083917] - asm-generic: introduce be48 unaligned accessors (Ming Lei) [2083917] - nvme: allow integrity on extended metadata formats (Ming Lei) [2083917] - block: support pi with extended metadata (Ming Lei) [2083917] - fs: do not pass __GFP_HIGHMEM to bio_alloc in do_mpage_readpage (Ming Lei) [2083917] - f2fs: pass the bio operation to bio_alloc_bioset (Ming Lei) [2083917] - f2fs: don't pass a bio to f2fs_target_device (Ming Lei) [2083917] - nilfs2: pass the operation to bio_alloc (Ming Lei) [2083917] - ext4: pass the operation to bio_alloc (Ming Lei) [2083917] - mpage: pass the operation to bio_alloc (Ming Lei) [2083917] - virtio_blk: eliminate anonymous module_init & module_exit (Ming Lei) [2083917] - floppy: use memcpy_{to,from}_bvec (Ming Lei) [2083917] - drbd: use bvec_kmap_local in recv_dless_read (Ming Lei) [2083917] - drbd: use bvec_kmap_local in drbd_csum_bio (Ming Lei) [2083917] - bcache: use bvec_kmap_local in bio_csum (Ming Lei) [2083917] - nvdimm-btt: use bvec_kmap_local in btt_rw_integrity (Ming Lei) [2083917] - nvdimm-blk: use bvec_kmap_local in nd_blk_rw_integrity (Ming Lei) [2083917] - zram: use memcpy_from_bvec in zram_bvec_write (Ming Lei) [2083917] - zram: use memcpy_to_bvec in zram_bvec_read (Ming Lei) [2083917] - aoe: use bvec_kmap_local in bvcpy (Ming Lei) [2083917] - iss-simdisk: use bvec_kmap_local in simdisk_submit_bio (Ming Lei) [2083917] - null_blk: null_alloc_page() cleanup (Ming Lei) [2083917] - null_blk: remove hardcoded null_alloc_page() param (Ming Lei) [2083917] - null_blk: remove hardcoded alloc_cmd() parameter (Ming Lei) [2083917] - loop: allow user to set the queue depth (Ming Lei) [2083917] - loop: remove extra variable in lo_req_flush (Ming Lei) [2083917] - loop: remove extra variable in lo_fallocate() (Ming Lei) [2083917] - loop: use sysfs_emit() in the sysfs xxx show() (Ming Lei) [2083917] - null_blk: fix return value from null_add_dev() (Ming Lei) [2083917] - loop: clean up grammar in warning message (Ming Lei) [2083917] - block/rnbd: Remove a useless mutex (Ming Lei) [2083917] - block/rnbd: client device does not care queue/rotational (Ming Lei) [2083917] - block/rnbd-clt: fix CHECK:BRACES warning (Ming Lei) [2083917] - block: cancel all throttled bios in del_gendisk() (Ming Lei) [2083917] - block: let blkcg_gq grab request queue's refcnt (Ming Lei) [2083917] - block: avoid use-after-free on throttle data (Ming Lei) [2083917] - block/bfq-iosched: Fix spelling mistake "tenative" -> "tentative" (Ming Lei) [2083917] - sr: simplify the local variable initialization in sr_block_open() (Ming Lei) [2083917] - block: don't merge across cgroup boundaries if blkcg is enabled (Ming Lei) [2083917] - block: move rq_qos_exit() into disk_release() (Ming Lei) [2083917] - block: do more work in elevator_exit (Ming Lei) [2083917] - block: move blk_exit_queue into disk_release (Ming Lei) [2083917] - block: move q_usage_counter release into blk_queue_release (Ming Lei) [2083917] - block: don't remove hctx debugfs dir from blk_mq_exit_queue (Ming Lei) [2083917] - block: move blkcg initialization/destroy into disk allocation/release handler (Ming Lei) [2083917] - sr: implement ->free_disk to simplify refcounting (Ming Lei) [2083917] - sd: implement ->free_disk to simplify refcounting (Ming Lei) [2083917] - blk-mq: handle already freed tags gracefully in blk_mq_free_rqs (Ming Lei) [2083917] - blk-mq: do not include passthrough requests in I/O accounting (Ming Lei) [2083917] - blk-mq: manage hctx map via xarray (Ming Lei) [2083917] - blk-mq: prepare for implementing hctx table via xarray (Ming Lei) [2083917] - block: mtip32xx: don't touch q->queue_hw_ctx (Ming Lei) [2083917] - blk-mq: reconfigure poll after queue map is changed (Ming Lei) [2083917] - blk-mq: simplify reallocation of hw ctxs a bit (Ming Lei) [2083917] - blk-mq: figure out correct numa node for hw queue (Ming Lei) [2083917] - Revert "Revert "block, bfq: honor already-setup queue merges"" (Ming Lei) [2083917] - block: remove bio_devname (Ming Lei) [2083917] - raid5-ppl: stop using bio_devname (Ming Lei) [2083917] - raid1: stop using bio_devname (Ming Lei) [2083917] - md-multipath: stop using bio_devname (Ming Lei) [2083917] - dm-integrity: stop using bio_devname (Ming Lei) [2083917] - dm-crypt: stop using bio_devname (Ming Lei) [2083917] - pktcdvd: remove a pointless debug check in pkt_submit_bio (Ming Lei) [2083917] - block: remove handle_bad_sector (Ming Lei) [2083917] - block: fix and cleanup bio_check_ro (Ming Lei) [2083917] - bfq: fix use-after-free in bfq_dispatch_request (Ming Lei) [2083917] - blk-crypto: show crypto capabilities in sysfs (Ming Lei) [2083917] - block: don't delete queue kobject before its children (Ming Lei) [2083917] - block: simplify calling convention of elv_unregister_queue() (Ming Lei) [2083917] - block: remove redundant semicolon (Ming Lei) [2083917] - block: default BLOCK_LEGACY_AUTOLOAD to y (Ming Lei) [2083917] - block, bfq: don't move oom_bfqq (Ming Lei) [2083917] - block, bfq: avoid moving bfqq to it's parent bfqg (Ming Lei) [2083917] - block, bfq: cleanup bfq_bfqq_to_bfqg() (Ming Lei) [2083917] - block/bfq_wf2q: correct weight to ioprio (Ming Lei) [2083917] - blk-mq: avoid extending delays of active hctx from blk_mq_delay_run_hw_queues (Ming Lei) [2083917] - virtio_blk: simplify refcounting (Ming Lei) [2083917] - memstick/mspro_block: simplify refcounting (Ming Lei) [2083917] - memstick/mspro_block: fix handling of read-only devices (Ming Lei) [2083917] - memstick/ms_block: simplify refcounting (Ming Lei) [2083917] - block: add a ->free_disk method (Ming Lei) [2083917] - block: revert 4f1e9630afe6 ("blk-throtl: optimize IOPS throttle for large IO scenarios") (Ming Lei) [2083917] - block: don't try to throttle split bio if iops limit isn't set (Ming Lei) [2083917] - block: throttle split bio in case of iops limit (Ming Lei) [2083917] - block: merge submit_bio_checks() into submit_bio_noacct (Ming Lei) [2083917] - block: don't check bio in blk_throtl_dispatch_work_fn (Ming Lei) [2083917] - block: don't declare submit_bio_checks in local header (Ming Lei) [2083917] - block: move blk_crypto_bio_prep() out of blk-mq.c (Ming Lei) [2083917] - block: move submit_bio_checks() into submit_bio_noacct (Ming Lei) [2083917] - dm: remove dm_dispatch_clone_request (Ming Lei) [2083917] - dm: remove useless code from dm_dispatch_clone_request (Ming Lei) [2083917] - blk-mq: remove the request_queue argument to blk_insert_cloned_request (Ming Lei) [2083917] - blk-mq: fold blk_cloned_rq_check_limits into blk_insert_cloned_request (Ming Lei) [2083917] - blk-mq: make the blk-mq stacking code optional (Ming Lei) [2083917] - blk-cgroup: set blkg iostat after percpu stat aggregation (Ming Lei) [2083917] - blk-lib: don't check bdev_get_queue() NULL check (Ming Lei) [2083917] - block: remove biodoc.rst (Ming Lei) [2083917] - docs: block: biodoc.rst: Drop the obsolete and incorrect content (Ming Lei) [2083917] - block: partition include/linux/blk-cgroup.h (Ming Lei) [2083917] - block: move initialization of q->blkg_list into blkcg_init_queue (Ming Lei) [2083917] - block: remove THROTL_IOPS_MAX (Ming Lei) [2083917] - block: introduce block_rq_error tracepoint (Ming Lei) [2083917] - sbitmap: Delete old sbitmap_queue_get_shallow() (Ming Lei) [2083917] - block: pass a block_device to bio_clone_fast (Ming Lei) [2083917] - block: initialize the target bio in __bio_clone_fast (Ming Lei) [2083917] - dm: use bio_clone_fast in alloc_io/alloc_tio (Ming Lei) [2083917] - block: clone crypto and integrity data in __bio_clone_fast (Ming Lei) [2083917] - dm-cache: remove __remap_to_origin_clear_discard (Ming Lei) [2083917] - dm: simplify the single bio fast path in __send_duplicate_bios (Ming Lei) [2083917] - dm: retun the clone bio from alloc_tio (Ming Lei) [2083917] - dm: pass the bio instead of tio to __map_bio (Ming Lei) [2083917] - dm: move cloning the bio into alloc_tio (Ming Lei) [2083917] - dm: fold __send_duplicate_bios into __clone_and_map_simple_bio (Ming Lei) [2083917] - dm: fold clone_bio into __clone_and_map_data_bio (Ming Lei) [2083917] - dm: add a clone_to_tio helper (Ming Lei) [2083917] - drbd: set ->bi_bdev in drbd_req_new (Ming Lei) [2083917] - block: call bio_associate_blkg from bio_reset (Ming Lei) [2083917] - scsi: use BLK_STS_OFFLINE for not fully online devices (Ming Lei) [2083917] - block: return -ENODEV for BLK_STS_OFFLINE (Ming Lei) [2083917] - block: introduce BLK_STS_OFFLINE (Ming Lei) [2083917] - block: fix boolreturn.cocci warning (Ming Lei) [2083917] - MAINTAINERS: add bio.h to the block section (Ming Lei) [2083917] - block: fix the kerneldoc for bio_end_io_acct (Ming Lei) [2083917] - block: check that there is a plug in blk_flush_plug (Ming Lei) [2083917] - block: remove blk_needs_flush_plug (Ming Lei) [2083917] - block: pass a block_device and opf to bio_reset (Ming Lei) [2083917] - block: pass a block_device and opf to bio_init (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc_kiocb (Ming Lei) [2083917] - block: pass a block_device and opf to bio_alloc_bioset (Ming Lei) [2083917] - block: pass a block_device and opf to blk_next_bio (Ming Lei) [2083917] - block: move blk_next_bio to bio.c (Ming Lei) [2083917] - xen-blkback: bio_alloc can't fail if it is allow to sleep (Ming Lei) [2083917] - rnbd-srv: remove struct rnbd_dev_blk_io (Ming Lei) [2083917] - rnbd-srv: simplify bio mapping in process_rdma (Ming Lei) [2083917] - drbd: bio_alloc can't fail if it is allow to sleep (Ming Lei) [2083917] - dm-thin: use blkdev_issue_flush instead of open coding it (Ming Lei) [2083917] - dm-snap: use blkdev_issue_flush instead of open coding it (Ming Lei) [2083917] - dm-crypt: remove clone_init (Ming Lei) [2083917] - dm: bio_alloc can't fail if it is allowed to sleep (Ming Lei) [2083917] - nfs/blocklayout: remove bl_alloc_init_bio (Ming Lei) [2083917] - nilfs2: remove nilfs_alloc_seg_bio (Ming Lei) [2083917] - fs: remove mpage_alloc (Ming Lei) [2083917] - block: remove genhd.h (Ming Lei) [2083917] - block: move blk_drop_partitions to blk.h (Ming Lei) [2083917] - block: move disk_{block,unblock,flush}_events to blk.h (Ming Lei) [2083917] - block: deprecate autoloading based on dev_t (Ming Lei) [2083917] - zram_drv: allow reclaim on bio_alloc (Ming Lei) [2083917] - block: Add bio_for_each_folio_all() (Ming Lei) [2083917] - block: Add bio_add_folio() (Ming Lei) [2083917] - [s390] s390/zcrypt: Filter admin CPRBs on custom devices (Mete Durlu) [2076921] - [s390] s390/zcrypt: CCA control CPRB sending (Mete Durlu) [2076921] - [s390] s390/zcrypt: Add admask to zcdn (Mete Durlu) [2076921] - ntb: intel: fix port config status offset for SPR (John W. Linville) [2048751] - NTB/msi: Use struct_size() helper in devm_kzalloc() (John W. Linville) [2048751] - ntb_hw_switchtec: Fix a minor issue in config_req_id_table() (John W. Linville) [2048751] - ntb_hw_switchtec: Remove code for disabling ID protection (John W. Linville) [2048751] - ntb_hw_switchtec: Update the way of getting VEP instance ID (John W. Linville) [2048751] - ntb_hw_switchtec: AND with the part_map for a valid tpart_vec (John W. Linville) [2048751] - ntb_hw_switchtec: Fix bug with more than 32 partitions (John W. Linville) [2048751] - ntb_hw_switchtec: Fix pff ioread to read into mmio_part_cfg_all (John W. Linville) [2048751] - ntb_hw_switchtec: fix the spelling of "its" (John W. Linville) [2048751] - NTB/msi: Fix ntbm_msi_request_threaded_irq() kernel-doc comment (John W. Linville) [2048751] - ntb_hw_amd: Add NTB PCI ID for new gen CPU (John W. Linville) [2048751] - NTB: switch from 'pci_' to 'dma_' API (John W. Linville) [2048751] - ntb: ntb_pingpong: remove redundant initialization of variables msg_data and spad_data (John W. Linville) [2048751] - NTB: perf: Fix an error code in perf_setup_inbuf() (John W. Linville) [2048751] - NTB: Fix an error code in ntb_msit_probe() (John W. Linville) [2048751] - ntb: intel: remove invalid email address in header comment (John W. Linville) [2048751] - redhat: spec: trigger dracut when modules are installed separately (Jan Stancek) [2062518] Resolves: rhbz#2095826, rhbz#2096401, rhbz#2054337, rhbz#2091880, rhbz#2090409, rhbz#2083917, rhbz#2076921, rhbz#2048751, rhbz#2062518 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-27 08:00:43 +00:00
CONFIG_CRYPTO_CRC64_ROCKSOFT=y
CONFIG_CRYPTO_CRCT10DIF_ARM64_CE=m
# CONFIG_CRYPTO_CRCT10DIF_VPMSUM is not set
CONFIG_CRYPTO_CRYPTD=y
CONFIG_CRYPTO_CTR=y
CONFIG_CRYPTO_CTS=y
kernel-5.14.0-219.el9 * Tue Dec 20 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-219.el9] - i2c: ismt: Fix an out-of-bounds bug in ismt_access() (David Arcari) [2119067] {CVE-2022-2873} - redhat/configs: Enable CONFIG_CRYPTO_CURVE25519 (Prarit Bhargava) [2030750] - x86/fpu: Drop fpregs lock before inheriting FPU permissions (Valentin Schneider) [2153181] - vmxnet3: use correct intrConf reference when using extended queues (Izabela Bakollari) [2150922] - vmxnet3: correctly report encapsulated LRO packet (Izabela Bakollari) [2150922] - net: move from strlcpy with unused retval to strscpy (Izabela Bakollari) [2150922] - vmxnet3: Implement ethtool's get_channels command (Izabela Bakollari) [2150922] - vmxnet3: Record queue number to incoming packets (Izabela Bakollari) [2150922] - powerpc/rtas: Allow ibm,platform-dump RTAS call with null buffer address (Mamatha Inamdar) [2095499] - kernfs: remove redundant kernfs_rwsem declaration. (Luis Claudio R. Goncalves) [2152737] - kernfs: fix potential NULL dereference in __kernfs_remove (Luis Claudio R. Goncalves) [2152737] - kernfs: fix NULL dereferencing in kernfs_remove (Luis Claudio R. Goncalves) [2152737] - kernfs: prevent early freeing of root node (Luis Claudio R. Goncalves) [2152737] - kernfs: switch global kernfs_rwsem lock to per-fs lock (Luis Claudio R. Goncalves) [2152737] - tracing: Use a copy of the va_list for __assign_vstr() (Íñigo Huguet) [2143357] - tracing/events: Add __vstring() and __assign_vstr() helper macros (Íñigo Huguet) [2143357] - kunit/memcpy: Avoid pathological compile-time string size (Josef Oskera) [2139493] - mips: boot/compressed: use __NO_FORTIFY (Josef Oskera) [2139493] - fortify: Fix __compiletime_strlen() under UBSAN_BOUNDS_LOCAL (Josef Oskera) [2139493] - string: Introduce strtomem() and strtomem_pad() (Josef Oskera) [2139493] - fortify: Provide a memcpy trap door for sharp corners (Josef Oskera) [2139493] - fortify: Add Clang support (Josef Oskera) [2139493] - fortify: Make sure strlen() may still be used as a constant expression (Josef Oskera) [2139493] - fortify: Use __diagnose_as() for better diagnostic coverage (Josef Oskera) [2139493] - fortify: Make pointer arguments const (Josef Oskera) [2139493] - Compiler Attributes: Add __diagnose_as for Clang (Josef Oskera) [2139493] - Compiler Attributes: Add __overloadable for Clang (Josef Oskera) [2139493] - fortify: Update compile-time tests for Clang 14 (Josef Oskera) [2139493] - fortify: Replace open-coded __gnu_inline attribute (Josef Oskera) [2139493] - fortify: Detect struct member overflows in memset() at compile-time (Josef Oskera) [2139493] - fortify: Detect struct member overflows in memmove() at compile-time (Josef Oskera) [2139493] - fortify: Detect struct member overflows in memcpy() at compile-time (Josef Oskera) [2139493] - Compiler Attributes: Add __pass_object_size for Clang (Josef Oskera) [2139493] - lib/string_helpers: Introduce kasprintf_strarray() (Josef Oskera) [2139493] - string: uninline memcpy_and_pad (Josef Oskera) [2139493] - fortify: strlen: Avoid shadowing previous locals (Josef Oskera) [2139493] - fortify: Add compile-time FORTIFY_SOURCE tests (Josef Oskera) [2139493] - fortify: Allow strlen() and strnlen() to pass compile-time known lengths (Josef Oskera) [2139493] - fortify: Prepare to improve strnlen() and strlen() warnings (Josef Oskera) [2139493] - fortify: Fix dropped strcpy() compile-time write overflow check (Josef Oskera) [2139493] - fortify: Explicitly disable Clang support (Josef Oskera) [2139493] - fortify: Move remaining fortify helpers into fortify-string.h (Josef Oskera) [2139493] - lib/string: Move helper functions out of string.c (Josef Oskera) [2139493] - Redo missing uapi/linux/stddef.h: Add include guards (Patrick Talbert) [2132632] Resolves: rhbz#2119067, rhbz#2030750, rhbz#2153181, rhbz#2150922, rhbz#2095499, rhbz#2152737, rhbz#2143357, rhbz#2139493, rhbz#2132632 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-20 18:20:10 +00:00
CONFIG_CRYPTO_CURVE25519=m
CONFIG_CRYPTO_DEFLATE=y
CONFIG_CRYPTO_DES=m
# CONFIG_CRYPTO_DEV_AMLOGIC_GXL is not set
# CONFIG_CRYPTO_DEV_ATMEL_ECC is not set
# CONFIG_CRYPTO_DEV_ATMEL_SHA204A is not set
CONFIG_CRYPTO_DEV_CCP_CRYPTO=m
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_CRYPTO_DEV_CCP_DEBUGFS=y
# CONFIG_CRYPTO_DEV_CCREE is not set
CONFIG_CRYPTO_DEV_CHELSIO=m
# CONFIG_CRYPTO_DEV_HISI_HPRE is not set
# CONFIG_CRYPTO_DEV_HISI_SEC2 is not set
CONFIG_CRYPTO_DEV_HISI_SEC=m
# CONFIG_CRYPTO_DEV_HISI_TRNG is not set
CONFIG_CRYPTO_DEV_NITROX_CNN55XX=m
CONFIG_CRYPTO_DEV_NX_COMPRESS_POWERNV=y
CONFIG_CRYPTO_DEV_NX_COMPRESS_PSERIES=y
CONFIG_CRYPTO_DEV_NX_COMPRESS=y
CONFIG_CRYPTO_DEV_NX=y
# CONFIG_CRYPTO_DEV_OCTEONTX_CPT is not set
kernel-5.14.0-137.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-137.el9] - md: fix double free of io_acct_set bioset (Nigel Croxon) [2105293] - md: Don't set mddev private to NULL in raid0 pers->free (Nigel Croxon) [2105293] - md: remove most calls to bdevname (Nigel Croxon) [2105293] - md: protect md_unregister_thread from reentrancy (Nigel Croxon) [2105293] - md: don't unregister sync_thread with reconfig_mutex held (Nigel Croxon) [2105293] - md: Replace role magic numbers with defined constants (Nigel Croxon) [2105293] - md/raid0: Ignore RAID0 layout if the second zone has only one device (Nigel Croxon) [2105293] - md/raid5: Annotate functions that hold device_lock with __must_hold (Nigel Croxon) [2105293] - md/raid5-ppl: Annotate with rcu_dereference_protected() (Nigel Croxon) [2105293] - md/raid5: Annotate rdev/replacement access when mddev_lock is held (Nigel Croxon) [2105293] - md/raid5: Annotate rdev/replacement accesses when nr_pending is elevated (Nigel Croxon) [2105293] - md/raid5: Add __rcu annotation to struct disk_info (Nigel Croxon) [2105293] - md/raid5: Un-nest struct raid5_percpu definition (Nigel Croxon) [2105293] - md/raid5: Cleanup setup_conf() error returns (Nigel Croxon) [2105293] - md: replace deprecated strlcpy & remove duplicated line (Nigel Croxon) [2105293] - md/bitmap: don't set sb values if can't pass sanity check (Nigel Croxon) [2105293] - md: fix an incorrect NULL check in md_reload_sb (Nigel Croxon) [2105293] - md: fix an incorrect NULL check in does_sb_need_changing (Nigel Croxon) [2105293] - raid5: introduce MD_BROKEN (Nigel Croxon) [2105293] - md: Set MD_BROKEN for RAID1 and RAID10 (Nigel Croxon) [2105293] - raid5: initialize the stripe_head embeeded bios as needed (Nigel Croxon) [2105293] - raid5-cache: statically allocate the recovery ra bio (Nigel Croxon) [2105293] - raid5-cache: fully initialize flush_bio when needed (Nigel Croxon) [2105293] - raid5-ppl: fully initialize the bio in ppl_new_iounit (Nigel Croxon) [2105293] - md: use msleep() in md_notify_reboot() (Nigel Croxon) [2105293] - md: raid1/raid10: drop pending_cnt (Nigel Croxon) [2105293] - net: Don't include filter.h from net/sock.h (Petr Oros) [2101792] - sfc: fix considering that all channels have TX queues (Íñigo Huguet) [2106076] - sfc: fix wrong tx channel offset with efx_separate_tx_channels (Íñigo Huguet) [2106076] - NFSv4.1 support for NFS4_RESULT_PRESERVER_UNLINKED (Scott Mayhew) [2066368] - bpf: Fix request_sock leak in sk lookup helpers (Felix Maurer) [2102742] - xsk: Fix generic transmit when completion queue reservation fails (Felix Maurer) [2102742] - xsk: Fix handling of invalid descriptors in XSK TX batching API (Felix Maurer) [2102742] - xsk: Fix possible crash when multiple sockets are created (Felix Maurer) [2102742] - xsk: Fix l2fwd for copy mode + busy poll combo (Felix Maurer) [2102742] - bpf: Support dual-stack sockets in bpf_tcp_check_syncookie (Felix Maurer) [2102742] - xsk: Fix race at socket teardown (Felix Maurer) [2102742] - netdevsim: don't overwrite read only ethtool parms (Antoine Tenart) [2101836] - Bluetooth: btmtksdio: fix possible FW initialization failure (Gopal Tiwari) [2097262] - Bluetooth: btmtksdio: fix the reset takes too long (Gopal Tiwari) [2097262] - Bluetooth: btmtksdio: Fix kernel oops when sdio suspend. (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: support bluetooth reset mechanism (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: fix btmtksdio_[drv|fw]_pmctrl() (Gopal Tiwari) [2097262] - Bluetooth: btmtksdio: refactor btmtksdio_runtime_[suspend|resume]() (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: fix bus hang with wrong privilege (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: Enable SCO over I2S (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: fix firmware coredump retrieve (Gopal Tiwari) [2097262] - Bluetooth: mt7921s: Support wake on bluetooth (Gopal Tiwari) [2097262] - Enable MTK BT Support for RHEL (Gopal Tiwari) [2097262] - redhat: workaround CKI cross compilation for scripts (Jan Stancek) [2101805] - redhat/configs: Support QAT devices for x86 only (Vladis Dronov) [2040726] - crypto: qat - expose device config through sysfs for 4xxx (Vladis Dronov) [2040726] - crypto: qat - relocate and rename adf_sriov_prepare_restart() (Vladis Dronov) [2040726] - crypto: qat - change behaviour of adf_cfg_add_key_value_param() (Vladis Dronov) [2040726] - crypto: qat - expose device state through sysfs for 4xxx (Vladis Dronov) [2040726] - crypto: qat - Removes the x86 dependency on the QAT drivers (Vladis Dronov) [2040726] - crypto: qat - replace get_current_node() with numa_node_id() (Vladis Dronov) [2040726] - crypto: qat - add support for 401xx devices (Vladis Dronov) [2040726] - crypto: qat - re-enable registration of algorithms (Vladis Dronov) [2040726] - crypto: qat - honor CRYPTO_TFM_REQ_MAY_SLEEP flag (Vladis Dronov) [2040726] - crypto: qat - add param check for DH (Vladis Dronov) [2040726] - crypto: qat - add param check for RSA (Vladis Dronov) [2040726] - crypto: qat - remove dma_free_coherent() for DH (Vladis Dronov) [2040726] - crypto: qat - fix memory leak in RSA (Vladis Dronov) [2040726] - crypto: qat - add backlog mechanism (Vladis Dronov) [2040726] - crypto: qat - refactor submission logic (Vladis Dronov) [2040726] - crypto: qat - use pre-allocated buffers in datapath (Vladis Dronov) [2040726] - crypto: qat - set to zero DH parameters before free (Vladis Dronov) [2040726] - crypto: qat - Fix unsigned function returning negative constant (Vladis Dronov) [2040726] - crypto: qat - remove line wrapping for pfvf_ops functions (Vladis Dronov) [2040726] - crypto: qat - use u32 variables in all GEN4 pfvf_ops (Vladis Dronov) [2040726] - crypto: qat - replace disable_vf2pf_interrupts() (Vladis Dronov) [2040726] - crypto: qat - leverage the GEN2 VF mask definiton (Vladis Dronov) [2040726] - crypto: qat - rework the VF2PF interrupt handling logic (Vladis Dronov) [2040726] - crypto: qat - fix off-by-one error in PFVF debug print (Vladis Dronov) [2040726] - crypto: qat - fix wording and formatting in code comment (Vladis Dronov) [2040726] - crypto: qat - test PFVF registers for spurious interrupts on GEN4 (Vladis Dronov) [2040726] - crypto: qat - add check for invalid PFVF protocol version 0 (Vladis Dronov) [2040726] - crypto: qat - add missing restarting event notification in VFs (Vladis Dronov) [2040726] - crypto: qat - remove unnecessary tests to detect PFVF support (Vladis Dronov) [2040726] - crypto: qat - remove unused PFVF stubs (Vladis Dronov) [2040726] - crypto: qat - remove unneeded braces (Vladis Dronov) [2040726] - crypto: qat - fix ETR sources enabled by default on GEN2 devices (Vladis Dronov) [2040726] - crypto: qat - set COMPRESSION capability for DH895XCC (Vladis Dronov) [2040726] - crypto: qat - set CIPHER capability for DH895XCC (Vladis Dronov) [2040726] - crypto: qat - stop using iommu_present() (Vladis Dronov) [2040726] - crypto: qat - fix initialization of pfvf rts_map_msg structures (Vladis Dronov) [2040726] - crypto: qat - fix initialization of pfvf cap_msg structures (Vladis Dronov) [2040726] - crypto: qat - remove unneeded assignment (Vladis Dronov) [2040726] - crypto: qat - disable registration of algorithms (Vladis Dronov) [2040726] - crypto: qat - enable power management for QAT GEN4 (Vladis Dronov) [2040726] - crypto: qat - move and rename GEN4 error register definitions (Vladis Dronov) [2040726] - crypto: qat - add misc workqueue (Vladis Dronov) [2040726] - crypto: qat - don't cast parameter in bit operations (Vladis Dronov) [2040726] - crypto: qat - fix access to PFVF interrupt registers for GEN4 (Vladis Dronov) [2040726] - crypto: qat - fix a signedness bug in get_service_enabled() (Vladis Dronov) [2040726] - e1000e: Fix possible overflow in LTR decoding (Ken Cox) [2037986] - e1000e: Print PHY register address when MDI read/write fails (Ken Cox) [2037986] - e1000e: Correct NVM checksum verification flow (Ken Cox) [2037986] - e1000e: Fix possible HW unit hang after an s0ix exit (Ken Cox) [2037986 2049953] - e1000e: Handshake with CSME starts from ADL platforms (Ken Cox) [2037986 2049953] - e1000e: Separate ADP board type from TGP (Ken Cox) [2037986 2049953] - e1000e: Fix packet loss on Tiger Lake and later (Ken Cox) [2037986] - e1000e: Separate TGP board type from SPT (Ken Cox) [2037986] - e1000e: Remove redundant statement (Ken Cox) [2037986] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ken Cox) [2037986] - net: e1000e: solve insmod 'Unknown symbol mutex_lock' error (Ken Cox) [2037986] - net/e1000e: Fix spelling mistake "The" -> "This" (Ken Cox) [2037986] - e1000e: Add space to the debug print (Ken Cox) [2037986] - e1000e: Add support for Lunar Lake (Ken Cox) [2037986] - e1000e: Additional PHY power saving in S0ix (Ken Cox) [2037986 2049953] - e1000e: Add polling mechanism to indicate CSME DPG exit (Ken Cox) [2037986 2049953] - e1000e: Add handshake with the CSME to support S0ix (Ken Cox) [2037986 2049953] - cpuidle,intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE (Lenny Szubowicz) [2075710] - intel_idle: Add AlderLake support (Lenny Szubowicz) [2075710] - intel_idle: Fix SPR C6 optimization (Lenny Szubowicz) [2075710] - intel_idle: Fix the 'preferred_cstates' module parameter (Lenny Szubowicz) [2075710] - cpuidle: intel_idle: Update intel_idle() kerneldoc comment (Lenny Szubowicz) [2075710] Resolves: rhbz#2105293, rhbz#2101792, rhbz#2106076, rhbz#2066368, rhbz#2102742, rhbz#2101836, rhbz#2097262, rhbz#2101805, rhbz#2040726, rhbz#2037986, rhbz#2049953, rhbz#2075710 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 13:40:51 +00:00
# CONFIG_CRYPTO_DEV_QAT_4XXX is not set
# CONFIG_CRYPTO_DEV_QAT_C3XXX is not set
# CONFIG_CRYPTO_DEV_QAT_C3XXXVF is not set
# CONFIG_CRYPTO_DEV_QAT_C62X is not set
# CONFIG_CRYPTO_DEV_QAT_C62XVF is not set
# CONFIG_CRYPTO_DEV_QAT_DH895xCC is not set
# CONFIG_CRYPTO_DEV_QAT_DH895xCCVF is not set
# CONFIG_CRYPTO_DEV_QCOM_RNG is not set
# CONFIG_CRYPTO_DEV_SAFEXCEL is not set
CONFIG_CRYPTO_DEV_SP_CCP=y
CONFIG_CRYPTO_DEV_SP_PSP=y
# CONFIG_CRYPTO_DEV_VIRTIO is not set
CONFIG_CRYPTO_DEV_VMX_ENCRYPT=m
CONFIG_CRYPTO_DEV_VMX=y
kernel-5.14.0-208.el9 * Wed Dec 07 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-208.el9] - selftests/livepatch: better synchronize test_klp_callbacks_busy (Julia Denham) [2121205] - livepatch: Reorder to use before freeing a pointer (Julia Denham) [2121205] - livepatch: Skip livepatch tests if ftrace cannot be configured (Julia Denham) [2121205] - livepatch: Don't block removal of patches that are safe to unload (Julia Denham) [2121205] - enable CONFIG_CRYPTO_DH_RFC7919_GROUPS (Chris Leech) [2143836] - crypto: add __init/__exit annotations to init/exit funcs (Chris Leech) [2143836] - crypto: dh - Remove the unused function dh_safe_prime_dh_alg() (Chris Leech) [2143836] - crypto: dh - calculate Q from P for the full public key verification (Chris Leech) [2143836] - crypto: dh - allow for passing NULL to the ffdheXYZ(dh)s' ->set_secret() (Chris Leech) [2143836] - crypto: testmgr - add keygen tests for ffdheXYZ(dh) templates (Chris Leech) [2143836] - crypto: dh - implement private key generation primitive for ffdheXYZ(dh) (Chris Leech) [2143836] - crypto: testmgr - add known answer tests for ffdheXYZ(dh) templates (Chris Leech) [2143836] - crypto: dh - implement ffdheXYZ(dh) templates (Chris Leech) [2143836] - crypto: dh - introduce common code for built-in safe-prime group support (Chris Leech) [2143836] - crypto: dh - split out deserialization code from crypto_dh_decode() (Chris Leech) [2143836] - crypto: dh - remove struct dh's ->q member (Chris Leech) [2143836] - crypto: kpp - provide support for KPP spawns (Chris Leech) [2143836] - crypto: kpp - provide support for KPP template instances (Chris Leech) [2143836] - scsi: storvsc: remove an extraneous "to" in a comment (Cathy Avery) [2143335] - Drivers: hv: vmbus: Optimize vmbus_on_event (Cathy Avery) [2143335] - scsi: storvsc: Drop DID_TARGET_FAILURE use (Cathy Avery) [2143335] - scsi: storvsc: Remove WQ_MEM_RECLAIM from storvsc_error_wq (Cathy Avery) [2143335] - scsi: storvsc: Correct reporting of Hyper-V I/O size limits (Cathy Avery) [2143335] - scsi: storvsc: Removing Pre Win8 related logic (Cathy Avery) [2143335] - scsi: storvsc: Fix typo in comment (Cathy Avery) [2143335] - scsi: storvsc: Remove support for Hyper-V 2008 and 2008R2/Win7 (Cathy Avery) [2143335] Resolves: rhbz#2121205, rhbz#2143836, rhbz#2143335 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-07 23:04:51 +00:00
CONFIG_CRYPTO_DH_RFC7919_GROUPS=y
CONFIG_CRYPTO_DH=y
CONFIG_CRYPTO_DRBG_CTR=y
CONFIG_CRYPTO_DRBG_HASH=y
CONFIG_CRYPTO_DRBG_HMAC=y
CONFIG_CRYPTO_DRBG_MENU=y
CONFIG_CRYPTO_ECB=y
kernel-5.14.0-73.el9 * Sun Mar 20 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-73.el9] - CI: Use 9.0-rt branch for rhel-9 realtime_check (Juri Lelli) - redhat: enable zstream release numbering for rhel 9.0 (Herton R. Krzesinski) - redhat: change kabi tarballs to use the package release (Herton R. Krzesinski) - redhat: generate distgit changelog in genspec.sh as well (Herton R. Krzesinski) - redhat: make genspec prefer metadata from git notes (Herton R. Krzesinski) - redhat: use tags from git notes for zstream to generate changelog (Herton R. Krzesinski) - CI: Add disttag setting (Veronika Kabatova) - CI: Drop c9s config (Veronika Kabatova) - virtio-net: fix pages leaking when building skb in big mode (Laurent Vivier) [2042559] - lib/iov_iter: initialize "flags" in new pipe_buffer (Carlos Maiolino) [2060869] {CVE-2022-0847} - redhat/configs: Make CRYPTO_ECDH algos built-in (Simo Sorce) [2062199] - crypto: api - Move cryptomgr soft dependency into algapi (Vladis Dronov) [2062199] - crypto: api - Fix boot-up crash when crypto manager is disabled (Vladis Dronov) [2062199] - crypto: api - Do not create test larvals if manager is disabled (Vladis Dronov) [2062199] - crypto: api - Export crypto_boot_test_finished (Vladis Dronov) [2062199] - crypto: api - Fix built-in testing dependency failures (Vladis Dronov) [2062199] - redhat/configs: Add CRYPTO_AES_ARM64 config explicitly (Vladis Dronov) [2062199] - crypto: arm64/aes-ce - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - crypto: arm64/aes-neonbs - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - redhat: change default dist suffix for RHEL 9.0 (Herton R. Krzesinski) - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2050813] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2050813] - block: kabi: reserve space for block layer public structure (Ming Lei) [2057238] - block: kabi: reserve space for blk-mq related structure (Ming Lei) [2057238] - block: kabi: reserve space for bsg related structure (Ming Lei) [2057238] - block: kabi: reserve space for integrity related structure (Ming Lei) [2057238] - scsi: lpfc: Fix pt2pt NVMe PRLI reject LOGO loop (Dick Kennedy) [2054866] - x86/MCE/AMD, EDAC/mce_amd: Support non-uniform MCA bank type enumeration (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD, EDAC/mce_amd: Add new SMCA bank types (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC/amd64: Add support for AMD Family 19h Models 10h-1Fh and A0h-AFh (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC: Add RDDR5 and LRDDR5 memory types (Aristeu Rozanski) [1898165 2047430 2047446] - drm/amdgpu: Register MCE notifier for Aldebaran RAS (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD: Export smca_get_bank_type symbol (Aristeu Rozanski) [1898165 2047430 2047446] - PCI/ACPI: Fix acpi_pci_osc_control_set() kernel-doc comment (Mark Langsdorf) [2049635] - PCI/ACPI: Check for _OSC support in acpi_pci_osc_control_set() (Mark Langsdorf) [2049635] - PCI/ACPI: Move _OSC query checks to separate function (Mark Langsdorf) [2049635] - PCI/ACPI: Move supported and control calculations to separate functions (Mark Langsdorf) [2049635] - PCI/ACPI: Remove OSC_PCI_SUPPORT_MASKS and OSC_PCI_CONTROL_MASKS (Mark Langsdorf) [2049635] - nvmet-tcp: fix missing unmainted messages (Chris Leech) [2054441] - dm stats: fix too short end duration_ns when using precise_timestamps (Benjamin Marzinski) [2051798] - dm: fix double accounting of flush with data (Benjamin Marzinski) [2051798] - dm: interlock pending dm_io and dm_wait_for_bios_completion (Benjamin Marzinski) [2051798] - dm: properly fix redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - dm: revert partial fix for redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - block: add bio_start_io_acct_time() to control start_time (Benjamin Marzinski) [2051798] - scsi: mpt3sas: Fix incorrect system timestamp (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix system going into read-only mode (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix kernel panic during drive powercycle test (Tomas Henzl) [2049631] - redhat/configs: enable mellanox platform drivers to support LED, fan & watchdog devices (Ivan Vecera) [2057491] - x86/cpu: Drop spurious underscore from RAPTOR_LAKE #define (David Arcari) [2040022] - x86/cpu: Add Raptor Lake to Intel family (David Arcari) [2040022] - powerpc/64: Move paca allocation later in boot (Desnes A. Nunes do Rosario) [2055566] - powerpc: Set crashkernel offset to mid of RMA region (Desnes A. Nunes do Rosario) [2055566] - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2060814] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2060814] - igb: refactor XDP registration (Corinna Vinschen) [2054379] - igc: avoid kernel warning when changing RX ring parameters (Corinna Vinschen) [2054379] - redhat/configs: Enable CONFIG_ACER_WIRELESS (Peter Georg) [2025985] Resolves: rhbz#2025985, rhbz#2054379, rhbz#2060814, rhbz#2055566, rhbz#2040022 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-20 08:42:21 +00:00
CONFIG_CRYPTO_ECDH=y
CONFIG_CRYPTO_ECDSA=y
CONFIG_CRYPTO_ECHAINIV=m
# CONFIG_CRYPTO_ECRDSA is not set
CONFIG_CRYPTO_ESSIV=m
CONFIG_CRYPTO_FCRYPT=m
kernel-5.14.0-121.el9 * Wed Jun 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-121.el9] - redhat/configs: Set CONFIG_VIRTIO_IOMMU on x86_64 (Eric Auger) [2089765] - crypto: fips - make proc files report fips module name and version (Simo Sorce) [2080499] - fuse: clean up error exits in fuse_fill_super() (Miklos Szeredi) [2021800] - fuse: always initialize sb->s_fs_info (Miklos Szeredi) [2021800] - fuse: clean up fuse_mount destruction (Miklos Szeredi) [2021800] - fuse: get rid of fuse_put_super() (Miklos Szeredi) [2021800] - fuse: check s_root when destroying sb (Miklos Szeredi) [2021800] - fuse: allow sharing existing sb (Miklos Szeredi) [2021800] - fuse: move fget() to fuse_get_tree() (Miklos Szeredi) [2021800] - fuse: move option checking into fuse_fill_super() (Miklos Szeredi) [2021800] - fuse: name fs_context consistently (Miklos Szeredi) [2021800] - gfs2: Stop using glock holder auto-demotion for now (Andreas Gruenbacher) [2082193] - gfs2: buffered write prefaulting (Andreas Gruenbacher) [2082193] - gfs2: Align read and write chunks to the page cache (Andreas Gruenbacher) [2082193] - gfs2: Pull return value test out of should_fault_in_pages (Andreas Gruenbacher) [2082193] - gfs2: Clean up use of fault_in_iov_iter_{read,write}able (Andreas Gruenbacher) [2082193] - gfs2: Variable rename (Andreas Gruenbacher) [2082193] - gfs2: Fix filesystem block deallocation for short writes (Andreas Gruenbacher) [2082193] - iomap: iomap_write_end cleanup (Andreas Gruenbacher) [2082193] - iomap: iomap_write_failed fix (Andreas Gruenbacher) [2082193] - gfs2: Don't re-check for write past EOF unnecessarily (Andreas Gruenbacher) [2082193] - gfs2: No short reads or writes upon glock contention (Andreas Gruenbacher) [2082193] - fs/iomap: Fix buffered write page prefaulting (Andreas Gruenbacher) [2082193] - gfs2: Make sure not to return short direct writes (Andreas Gruenbacher) [2082193] - gfs2: Remove dead code in gfs2_file_read_iter (Andreas Gruenbacher) [2082193] - gfs2: Fix gfs2_file_buffered_write endless loop workaround (Andreas Gruenbacher) [2082193] - gfs2: Minor retry logic cleanup (Andreas Gruenbacher) [2082193] - gfs2: Disable page faults during lockless buffered reads (Andreas Gruenbacher) [2082193] - gfs2: Fix should_fault_in_pages() logic (Andreas Gruenbacher) [2082193] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2082193] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2082193] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2082193] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2082193] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2082193] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2082193] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2082193] - redhat/kernel.spec.template: fix standalone tools build (Jan Stancek) [2081992] - arm64/mte: Clarify mode reported by PR_GET_TAGGED_ADDR_CTRL (Mark Salter) [2076088] - arm64: mte: change PR_MTE_TCF_NONE back into an unsigned long (Mark Salter) [2076088] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Mark Salter) [2076088] - kselftest/arm64: Skip VL_INHERIT tests for unsupported vector types (Mark Salter) [2076088] - kselftest/arm64: Parameterise ptrace vector length information (Mark Salter) [2076088] - arm64: extable: fix load_unaligned_zeropad() reg indices (Mark Salter) [2076088] - arm64: kexec: Fix missing error code 'ret' warning in load_other_segments() (Mark Salter) [2076088] - arm64: ftrace: add missing BTIs (Mark Salter) [2076088] - arm64: kexec: use __pa_symbol(empty_zero_page) (Mark Salter) [2076088] - arm64: update PAC description for kernel (Mark Salter) [2076088] - arm64: uaccess: avoid blocking within critical sections (Mark Salter) [2076088] - arm64: mm: Fix VM_BUG_ON(mm != &init_mm) for trans_pgd (Mark Salter) [2076088] - arm64: pgtable: make __pte_to_phys/__phys_to_pte_val inline functions (Mark Salter) [2076088] - arm64: Track no early_pgtable_alloc() for kmemleak (Mark Salter) [2076088] - arm64: vdso: remove -nostdlib compiler flag (Mark Salter) [2076088] - arm64: arm64_ftr_reg->name may not be a human-readable string (Mark Salter) [2076088] - kasan: arm64: fix pcpu_page_first_chunk crash with KASAN_VMALLOC (Mark Salter) [2076088] - arm64: support page mapping percpu first chunk allocator (Mark Salter) [2076088] - arm64: cpufeature: Export this_cpu_has_cap helper (Mark Salter) [2076088] - arm64: Select POSIX_CPU_TIMERS_TASK_WORK (Mark Salter) [2076088] - arm64: Document boot requirements for FEAT_SME_FA64 (Mark Salter) [2076088] - arm64: ftrace: use function_nocfi for _mcount as well (Mark Salter) [2076088] - arm64: asm: setup.h: export common variables (Mark Salter) [2076088] - arm64/traps: Avoid unnecessary kernel/user pointer conversion (Mark Salter) [2076088] - arm64/sve: Fix warnings when SVE is disabled (Mark Salter) [2076088] - arm64/sve: Add stub for sve_max_virtualisable_vl() (Mark Salter) [2076088] - arm64/sve: Track vector lengths for tasks in an array (Mark Salter) [2076088] - arm64/sve: Explicitly load vector length when restoring SVE state (Mark Salter) [2076088] - arm64/sve: Put system wide vector length information into structs (Mark Salter) [2076088] - arm64/sve: Use accessor functions for vector lengths in thread_struct (Mark Salter) [2076088] - arm64/sve: Rename find_supported_vector_length() (Mark Salter) [2076088] - arm64/sve: Make access to FFR optional (Mark Salter) [2076088] - arm64/sve: Make sve_state_size() static (Mark Salter) [2076088] - arm64/sve: Remove sve_load_from_fpsimd_state() (Mark Salter) [2076088] - arm64/fp: Reindent fpsimd_save() (Mark Salter) [2076088] - selftests: arm64: Factor out utility functions for assembly FP tests (Mark Salter) [2076088] - selftests: arm64: Add coverage of ptrace flags for SVE VL inheritance (Mark Salter) [2076088] - selftests: arm64: Verify that all possible vector lengths are handled (Mark Salter) [2076088] - selftests: arm64: Fix and enable test for setting current VL in vec-syscfg (Mark Salter) [2076088] - selftests: arm64: Remove bogus error check on writing to files (Mark Salter) [2076088] - selftests: arm64: Fix printf() format mismatch in vec-syscfg (Mark Salter) [2076088] - selftests: arm64: Move FPSIMD in SVE ptrace test into a function (Mark Salter) [2076088] - selftests: arm64: More comprehensively test the SVE ptrace interface (Mark Salter) [2076088] - selftests: arm64: Verify interoperation of SVE and FPSIMD register sets (Mark Salter) [2076088] - selftests: arm64: Clarify output when verifying SVE register set (Mark Salter) [2076088] - selftests: arm64: Document what the SVE ptrace test is doing (Mark Salter) [2076088] - selftests: arm64: Remove extraneous register setting code (Mark Salter) [2076088] - selftests: arm64: Don't log child creation as a test in SVE ptrace test (Mark Salter) [2076088] - selftests: arm64: Use a define for the number of SVE ptrace tests to be run (Mark Salter) [2076088] - arm64: vmlinux.lds.S: remove `.fixup` section (Mark Salter) [2076088] - arm64: extable: add load_unaligned_zeropad() handler (Mark Salter) [2076088] - arm64: extable: add a dedicated uaccess handler (Mark Salter) [2076088] - arm64: extable: add `type` and `data` fields (Mark Salter) [2076088] - arm64: extable: use `ex` for `exception_table_entry` (Mark Salter) [2076088] - arm64: extable: make fixup_exception() return bool (Mark Salter) [2076088] - arm64: extable: consolidate definitions (Mark Salter) [2076088] - arm64: gpr-num: support W registers (Mark Salter) [2076088] - arm64: factor out GPR numbering helpers (Mark Salter) [2076088] - arm64: kvm: use kvm_exception_table_entry (Mark Salter) [2076088] - arm64: lib: __arch_copy_to_user(): fold fixups into body (Mark Salter) [2076088] - arm64: lib: __arch_copy_from_user(): fold fixups into body (Mark Salter) [2076088] - arm64: lib: __arch_clear_user(): fold fixups into body (Mark Salter) [2076088] - arm64: vdso32: require CROSS_COMPILE_COMPAT for gcc+bfd (Mark Salter) [2076088] - arm64: vdso32: suppress error message for 'make mrproper' (Mark Salter) [2076088] - arm64: vdso32: drop test for -march=armv8-a (Mark Salter) [2076088] - arm64: vdso32: drop the test for dmb ishld (Mark Salter) [2076088] - arm64: Add a capability for FEAT_ECV (Mark Salter) [2076088] - arm64: mte: Add asymmetric mode support (Mark Salter) [2076088] - arm64: mte: CPU feature detection for Asymm MTE (Mark Salter) [2076088] - arm64: mte: Bitfield definitions for Asymm MTE (Mark Salter) [2076088] - arm64: kasan: mte: move GCR_EL1 switch to task switch when KASAN disabled (Mark Salter) [2076088] - arm64/mm: drop HAVE_ARCH_PFN_VALID (Mark Salter) [2076088] - arm64: trans_pgd: remove trans_pgd_map_page() (Mark Salter) [2076088] - arm64: kexec: remove cpu-reset.h (Mark Salter) [2076088] - arm64: kexec: remove the pre-kexec PoC maintenance (Mark Salter) [2076088] - arm64: kexec: keep MMU enabled during kexec relocation (Mark Salter) [2076088] - arm64: kexec: install a copy of the linear-map (Mark Salter) [2076088] - arm64: kexec: use ld script for relocation function (Mark Salter) [2076088] - arm64: kexec: relocate in EL1 mode (Mark Salter) [2076088] - arm64: kexec: configure EL2 vectors for kexec (Mark Salter) [2076088] - arm64: kexec: pass kimage as the only argument to relocation function (Mark Salter) [2076088] - arm64: kexec: Use dcache ops macros instead of open-coding (Mark Salter) [2076088] - arm64: kexec: skip relocation code for inplace kexec (Mark Salter) [2076088] - arm64: kexec: flush image and lists during kexec load time (Mark Salter) [2076088] - arm64: hibernate: abstract ttrb0 setup function (Mark Salter) [2076088] - arm64: trans_pgd: hibernate: Add trans_pgd_copy_el2_vectors (Mark Salter) [2076088] - arm64: kernel: add helper for booted at EL2 and not VHE (Mark Salter) [2076088] - arm64: mm: update max_pfn after memory hotplug (Mark Salter) [2076088] - arm64/mm: Add pud_sect_supported() (Mark Salter) [2076088] - arm64: mm: Drop pointless call to set_max_mapnr() (Mark Salter) [2076088] - arm64/hugetlb: fix CMA gigantic page order for non-4K PAGE_SIZE (Mark Salter) [2076088] - kselftest/arm64: signal: Skip tests if required features are missing (Mark Salter) [2076088] - arm64: Mitigate MTE issues with str{n}cmp() (Mark Salter) [2076088] - arm64: add MTE supported check to thread switching and syscall entry/exit (Mark Salter) [2076088] - arm64: Mark __stack_chk_guard as __ro_after_init (Mark Salter) [2076088] - arm64/kernel: remove duplicate include in process.c (Mark Salter) [2076088] - arm64/sve: Use correct size when reinitialising SVE state (Mark Salter) [2076088] - arm64: remove GCC version check for ARCH_SUPPORTS_INT128 (Mark Salter) [2076088] - arm64: mm: limit linear region to 51 bits for KVM in nVHE mode (Mark Salter) [2076088] - arm64: mm: fix comment typo of pud_offset_phys() (Mark Salter) [2076088] - arm64: signal32: Drop pointless call to sigdelsetmask() (Mark Salter) [2076088] - arm64/sve: Better handle failure to allocate SVE register storage (Mark Salter) [2076088] - arm64: Document the requirement for SCR_EL3.HCE (Mark Salter) [2076088] - arm64: head: avoid over-mapping in map_memory (Mark Salter) [2076088] - arm64/sve: Add a comment documenting the binutils needed for SVE asm (Mark Salter) [2076088] - arm64/sve: Add some comments for sve_save/load_state() (Mark Salter) [2076088] - arm64: replace in_irq() with in_hardirq() (Mark Salter) [2076088] - arm64: mm: Fix TLBI vs ASID rollover (Mark Salter) [2076088] - arm64: entry: Add SYM_CODE annotation for __bad_stack (Mark Salter) [2076088] - arm64: fix typo in a comment (Mark Salter) [2076088] - arm64: move the (z)install rules to arch/arm64/Makefile (Mark Salter) [2076088] - arm64/sve: Make fpsimd_bind_task_to_cpu() static (Mark Salter) [2076088] - arm64: unnecessary end 'return;' in void functions (Mark Salter) [2076088] - arm64/sme: Document boot requirements for SME (Mark Salter) [2076088] - arm64: use __func__ to get function name in pr_err (Mark Salter) [2076088] - arm64: SSBS/DIT: print SSBS and DIT bit when printing PSTATE (Mark Salter) [2076088] - arm64: cpufeature: Use defined macro instead of magic numbers (Mark Salter) [2076088] - kselftest/arm64: signal: Add a TODO list for signal handling tests (Mark Salter) [2076088] - kselftest/arm64: signal: Add test case for SVE register state in signals (Mark Salter) [2076088] - kselftest/arm64: signal: Verify that signals can't change the SVE vector length (Mark Salter) [2076088] - kselftest/arm64: signal: Check SVE signal frame shows expected vector length (Mark Salter) [2076088] - kselftest/arm64: signal: Support signal frames with SVE register data (Mark Salter) [2076088] - kselftest/arm64: signal: Add SVE to the set of features we can check for (Mark Salter) [2076088] - kselftest/arm64: pac: Fix skipping of tests on systems without PAC (Mark Salter) [2076088] - kselftest/arm64: mte: Fix misleading output when skipping tests (Mark Salter) [2076088] - kselftest/arm64: Add a TODO list for floating point tests (Mark Salter) [2076088] - kselftest/arm64: Add tests for SVE vector configuration (Mark Salter) [2076088] - kselftest/arm64: Validate vector lengths are set in sve-probe-vls (Mark Salter) [2076088] - kselftest/arm64: Provide a helper binary and "library" for SVE RDVL (Mark Salter) [2076088] - kselftest/arm64: Ignore check_gcr_el1_cswitch binary (Mark Salter) [2076088] - Documentation: arm64: describe asymmetric 32-bit support (Mark Salter) [2076088] - arm64: Remove logic to kill 32-bit tasks on 64-bit-only cores (Mark Salter) [2076088] - arm64: Hook up cmdline parameter to allow mismatched 32-bit EL0 (Mark Salter) [2076088] - arm64: Advertise CPUs capable of running 32-bit applications in sysfs (Mark Salter) [2076088] - arm64: Prevent offlining first CPU with 32-bit EL0 on mismatched system (Mark Salter) [2076088] - arm64: exec: Adjust affinity for compat tasks with mismatched 32-bit EL0 (Mark Salter) [2076088] - arm64: Implement task_cpu_possible_mask() (Mark Salter) [2076088] - KVM: arm64: Add feature register flag definitions (Mark Salter) [2076088] - arm64/perf: Replace '0xf' instances with ID_AA64DFR0_PMUVER_IMP_DEF (Mark Salter) [2076088] - arm64: entry: call exit_to_user_mode() from C (Mark Salter) [2076088] - arm64: entry: move bulk of ret_to_user to C (Mark Salter) [2076088] - arm64: entry: clarify entry/exit helpers (Mark Salter) [2076088] - arm64: entry: consolidate entry/exit helpers (Mark Salter) [2076088] - arm64/cpufeature: Optionally disable MTE via command-line (Mark Salter) [2076088] - arm64: kasan: mte: remove redundant mte_report_once logic (Mark Salter) [2076088] - arm64: kasan: mte: use a constant kernel GCR_EL1 value (Mark Salter) [2076088] - arm64: avoid double ISB on kernel entry (Mark Salter) [2076088] - arm64: mte: optimize GCR_EL1 modification on kernel entry/exit (Mark Salter) [2076088] - Documentation: document the preferred tag checking mode feature (Mark Salter) [2076088] - arm64: mte: introduce a per-CPU tag checking mode preference (Mark Salter) [2076088] - arm64: move preemption disablement to prctl handlers (Mark Salter) [2076088] - arm64: mte: change ASYNC and SYNC TCF settings into bitfields (Mark Salter) [2076088] - arm64: mte: rename gcr_user_excl to mte_ctrl (Mark Salter) [2076088] - arm64: mte: avoid TFSRE0_EL1 related operations unless in async mode (Mark Salter) [2076088] - arm64/kexec: Test page size support with new TGRAN range values (Mark Salter) [2076088] - arm64: Add compile-time asserts for siginfo_t offsets (Mark Salter) [2076088] - Kbuild: use -std=gnu11 for KBUILD_USERCFLAGS (Waiman Long) [2074118] - Kbuild: move to -std=gnu11 (Waiman Long) [2074118] - Kbuild: use -Wdeclaration-after-statement (Waiman Long) [2074118] - Kbuild: add -Wno-shift-negative-value where -Wextra is used (Waiman Long) [2074118] - kbuild: Add a comment above -Wno-gnu (Waiman Long) [2074118] - kbuild: Remove -Wno-format-invalid-specifier from clang block (Waiman Long) [2074118] Resolves: rhbz#2089765, rhbz#2080499, rhbz#2021800, rhbz#2082193, rhbz#2081992, rhbz#2076088, rhbz#2074118 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-29 07:59:09 +00:00
# CONFIG_CRYPTO_FIPS_CUSTOM_VERSION is not set
CONFIG_CRYPTO_FIPS_NAME="Linux Kernel Cryptographic API"
CONFIG_CRYPTO_FIPS=y
CONFIG_CRYPTO_GCM=y
CONFIG_CRYPTO_GF128MUL=y
CONFIG_CRYPTO_GHASH=y
CONFIG_CRYPTO_HMAC=y
CONFIG_CRYPTO_HW=y
# CONFIG_CRYPTO_KEYWRAP is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_CRYPTO_LIB_BLAKE2S=m
CONFIG_CRYPTO_LIB_CHACHA20POLY1305=y
CONFIG_CRYPTO_LIB_CHACHA=y
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_CRYPTO_LIB_CURVE25519=m
CONFIG_CRYPTO_LIB_POLY1305=y
CONFIG_CRYPTO_LRW=m
# CONFIG_CRYPTO_LZ4HC is not set
# CONFIG_CRYPTO_LZ4 is not set
CONFIG_CRYPTO_LZO=y
# CONFIG_CRYPTO_MANAGER_DISABLE_TESTS is not set
# CONFIG_CRYPTO_MANAGER_EXTRA_TESTS is not set
CONFIG_CRYPTO_MANAGER=y
CONFIG_CRYPTO_MD4=m
# CONFIG_CRYPTO_MD5_PPC is not set
CONFIG_CRYPTO_MD5=y
CONFIG_CRYPTO_MICHAEL_MIC=m
CONFIG_CRYPTO_NULL=y
CONFIG_CRYPTO_OFB=y
CONFIG_CRYPTO_PCBC=m
CONFIG_CRYPTO_PCRYPT=m
CONFIG_CRYPTO_POLY1305=m
CONFIG_CRYPTO_RMD160=m
CONFIG_CRYPTO_RSA=y
CONFIG_CRYPTO_SEQIV=y
CONFIG_CRYPTO_SERPENT=m
# CONFIG_CRYPTO_SHA1_PPC is not set
CONFIG_CRYPTO_SHA1=y
CONFIG_CRYPTO_SHA256_ARM64=m
CONFIG_CRYPTO_SHA256=y
# CONFIG_CRYPTO_SHA3_ARM64 is not set
CONFIG_CRYPTO_SHA3=y
CONFIG_CRYPTO_SHA512_ARM64_CE=m
# CONFIG_CRYPTO_SHA512_ARM64 is not set
CONFIG_CRYPTO_SHA512=y
# CONFIG_CRYPTO_SM2 is not set
# CONFIG_CRYPTO_SM3 is not set
# CONFIG_CRYPTO_SM4 is not set
kernel-5.14.0-363.el9 * Tue Sep 05 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-363.el9] - s390/ap: add ap status asynch error support (Tobias Huschle) [2110509] - s390/ap: implement SE AP bind, unbind and associate (Tobias Huschle) [2110509] - s390/ap: introduce low frequency polling possibility (Tobias Huschle) [2110509] - s390/ap: new low level inline functions ap_bapq() and ap_aapq() (Tobias Huschle) [2110509] - s390/ap: provide F bit parameter for ap_rapq() and ap_zapq() (Tobias Huschle) [2110509] - s390/ap: filter ap card functions, new queue functions attribute (Tobias Huschle) [2110509] - s390/ap: make tapq gr2 response a struct (Tobias Huschle) [2110509] - s390/ap: introduce new AP bus sysfs attribute features (Tobias Huschle) [2110509] - s390/ap: exploit new B bit from QCI config info (Tobias Huschle) [2110509] - s390/zcrypt: replace scnprintf with sysfs_emit (Tobias Huschle) [2110509] - s390/zcrypt: rework length information for dqap (Tobias Huschle) [2110509] - s390/zcrypt: make psmid unsigned long instead of long long (Tobias Huschle) [2110509] - s390/ap,zcrypt,vfio: introduce and use ap_queue_status_reg union (Tobias Huschle) [2110509] - s390/ap: fix status returned by ap_qact() (Tobias Huschle) [2110509] - s390/ap: fix status returned by ap_aqic() (Tobias Huschle) [2110509] - s390/ap: adjust whitespace (Tobias Huschle) [2110509] - s390/ap: use insn format for new instructions (Tobias Huschle) [2110509] - erofs: Convert to folios (Alexander Larsson) [2234790] - erofs: fix potential overflow calculating xattr_isize (Alexander Larsson) [2234790] - erofs: fix order >= MAX_ORDER warning due to crafted negative i_size (Alexander Larsson) [2234790] - erofs: fix misbehavior of unsupported chunk format check (Alexander Larsson) [2234790] - erofs: fix double free of 'copied' (Alexander Larsson) [2234790] - erofs: support reading chunk-based uncompressed files (Alexander Larsson) [2234790] - erofs: introduce chunk-based file on-disk format (Alexander Larsson) [2234790] - erofs: convert all uncompressed cases to iomap (Alexander Larsson) [2234790] - erofs: iomap support for non-tailpacking DIO (Alexander Larsson) [2234790] - dlm: fix plock lookup when using multiple lockspaces (Alexander Aring) [2234868] - redhat: enable zstream release numbering for rhel 9.3 (Jan Stancek) - redhat: change default dist suffix for RHEL 9.3 (Jan Stancek) - thunderbolt: Fix Thunderbolt 3 display flickering issue on 2nd hot plug onwards (Desnes Nunes) [2233967] - Revert "firmware: raspberrypi: Fix a leak in 'rpi_firmware_get()'" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Relax base protocol sanity checks on the protocol list" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Fix incorrect error propagation in scmi_voltage_descriptors_get" (Lenny Szubowicz) [2234390] - Revert "pstore: Add priv field to pstore_record for backend specific use" (Lenny Szubowicz) [2234390] - Revert "efi: vars: Don't drop lock in the middle of efivar_init()" (Lenny Szubowicz) [2234390] - Revert "efi: vars: Add thin wrapper around EFI get/set variable interface" (Lenny Szubowicz) [2234390] - Revert "efi: pstore: Omit efivars caching EFI varstore access layer" (Lenny Szubowicz) [2234390] - Revert "efi: vars: Use locking version to iterate over efivars linked lists" (Lenny Szubowicz) [2234390] - Revert "efi: vars: Drop __efivar_entry_iter() helper which is no longer used" (Lenny Szubowicz) [2234390] - Revert "efi: vars: Remove deprecated 'efivars' sysfs interface" (Lenny Szubowicz) [2234390] - Revert "efi: vars: Switch to new wrapper layer" (Lenny Szubowicz) [2234390] - Revert "efi: avoid efivars layer when loading SSDTs from variables" (Lenny Szubowicz) [2234390] - Revert "efi: vars: Move efivar caching layer into efivarfs" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scpi: Ensure scpi_info is not assigned if the probe fails" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Add SCMI v3.1 System Power extensions" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Add devm_protocol_acquire helper" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Add SCMI System Power Control driver" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Add SCMI v3.1 powercap protocol basic support" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Generalize the fast channel support" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Add SCMI v3.1 powercap fast channels support" (Lenny Szubowicz) [2234390] - Revert "include: trace: Add SCMI fast channel tracing" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Use fast channel tracing" (Lenny Szubowicz) [2234390] - Revert "efi: Fix efi_power_off() not being run before acpi_power_off() when necessary" (Lenny Szubowicz) [2234390] - Revert "cpufreq: scmi: Use .register_em() to register with energy model" (Lenny Szubowicz) [2234390] - Revert "cpufreq: scmi: Support the power scale in micro-Watts in SCMI v3.1" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Get detailed power scale from perf" (Lenny Szubowicz) [2234390] - Revert "firmware: dmi: Use the proper accessor for the version field" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Fix missing kernel-doc in optee" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Improve checks in the info_get operations" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Harden accesses to the sensor domains" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Harden accesses to the reset domains" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Fix the asynchronous reset requests" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Add SCMI PM driver remove routine" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Disable struct randomization" (Lenny Szubowicz) [2234390] - Revert "efi/x86: libstub: remove unused variable" (Lenny Szubowicz) [2234390] - Revert "efi: capsule-loader: Fix use-after-free in efi_capsule_write" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: add some missing EFI prototypes" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: use EFI provided memcpy/memset routines" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: move efi_system_table global var into separate object" (Lenny Szubowicz) [2234390] - Revert "efi/dev-path-parser: Refactor _UID handling to use acpi_dev_uid_to_integer()" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: implement generic EFI zboot" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: fix type confusion for load_options_size" (Lenny Szubowicz) [2234390] - Revert "efi: efibc: avoid efivar API for setting variables" (Lenny Szubowicz) [2234390] - Revert "efi: efibc: Guard against allocation failure" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: drop pointless get_memory_map() call" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: check Shim mode using MokSBStateRT" (Lenny Szubowicz) [2234390] - Revert "Revert "firmware: arm_scmi: Add clock management to the SCMI power domain"" (Lenny Szubowicz) [2234390] - Revert "firmware: dmi: Fortify entry point length checks" (Lenny Szubowicz) [2234390] - Revert "psci: Fix the function type for psci_initcall_t" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: avoid efi_get_memory_map() for allocating the virt map" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: simplify efi_get_memory_map() and struct efi_boot_memmap" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: remove pointless goto kludge" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: unify initrd loading between architectures" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: remove DT dependency from generic stub" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: install boot-time memory map as config table" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: refactor the initrd measuring functions" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: measure EFI LoadOptions" (Lenny Szubowicz) [2234390] - Revert "efi/arm: libstub: move ARM specific code out of generic routines" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: fix up the last remaining open coded boot service call" (Lenny Szubowicz) [2234390] - Revert "efi: zboot: create MemoryMapped() device path for the parent if needed" (Lenny Szubowicz) [2234390] - Revert "efi/arm64: libstub: avoid SetVirtualAddressMap() when possible" (Lenny Szubowicz) [2234390] - Revert "firmware: raspberrypi: Use dev_err_probe() to simplify code" (Lenny Szubowicz) [2234390] - Revert "efi: pstore: Follow convention for the efi-pstore backend name" (Lenny Szubowicz) [2234390] - Revert "efi/cper: Export several helpers for ghes_edac to use" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Remove zboot signing from build options" (Lenny Szubowicz) [2234390] - Revert "efi: ssdt: Don't free memory if ACPI table was loaded successfully" (Lenny Szubowicz) [2234390] - Revert "efi: efivars: Fix variable writes without query_variable_store()" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Give efi_main() asmlinkage qualification" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Fix incorrect payload size in zboot header" (Lenny Szubowicz) [2234390] - Revert "efi: runtime: Don't assume virtual mappings are missing if VA == PA == 0" (Lenny Szubowicz) [2234390] - Revert "firmware: imx: scu-pd: add missed USB_1_PHY pd" (Lenny Szubowicz) [2234390] - Revert "efi: random: reduce seed size to 32 bytes" (Lenny Szubowicz) [2234390] - Revert "efi: random: Use 'ACPI reclaim' memory for random seed" (Lenny Szubowicz) [2234390] - Revert "firmware: raspberrypi: Introduce rpi_firmware_find_node()" (Lenny Szubowicz) [2234390] - Revert "firmware: ti_sci: Switch transport to polled mode during system suspend" (Lenny Szubowicz) [2234390] - Revert "firmware: ti_sci: Use the bitmap API to allocate bitmaps" (Lenny Szubowicz) [2234390] - Revert "firmware: ti_sci: Use the non-atomic bitmap API when applicable" (Lenny Szubowicz) [2234390] - Revert "firmware: ti_sci: Fix polled mode during system suspend" (Lenny Szubowicz) [2234390] - Revert "efi: efivars: Fix variable writes with unsupported query_variable_store()" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Cleanup the core driver removal callback" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Suppress the driver's bind attributes" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Fix devres allocation device in virtio transport" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Fix deferred_tx_wq release on error paths" (Lenny Szubowicz) [2234390] - Revert "firmware: ti_sci: Use devm_bitmap_zalloc when applicable" (Lenny Szubowicz) [2234390] - Revert "ARM: 9255/1: efi/dump UEFI runtime page tables for ARM" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Drop randomization of runtime memory map" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Drop handling of EFI properties table" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Deduplicate ftrace command line argument filtering" (Lenny Szubowicz) [2234390] - Revert "arm64: efi: Move dcache cleaning of loaded image out of efi_enter_kernel()" (Lenny Szubowicz) [2234390] - Revert "arm64: efi: Avoid dcache_clean_poc() altogether in efi_enter_kernel()" (Lenny Szubowicz) [2234390] - Revert "arm64: efi: Move efi-entry.S into the libstub source directory" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Use local strncmp() implementation unconditionally" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Clone memcmp() into the stub" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Enable efi_printk() in zboot decompressor" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Move screen_info handling to common code" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Provide local implementations of strrchr() and memchr()" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Factor out EFI stub entrypoint into separate file" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Add image code and data size to the zimage metadata" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Factor out min alignment and preferred kernel load address" (Lenny Szubowicz) [2234390] - Revert "efi/arm64: libstub: Split off kernel image relocation for builtin stub" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Merge zboot decompressor with the ordinary stub" (Lenny Szubowicz) [2234390] - Revert "arm64: unwind: add asynchronous unwind tables to kernel and modules" (Lenny Szubowicz) [2234390] - Revert "arm64: efi: Force the use of SetVirtualAddressMap() on Altra machines" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: use EFI_LOADER_CODE region when moving the kernel in memory" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Implement devicepath support for initrd commandline loader" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Permit mixed mode return types other than efi_status_t" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Add mixed mode support to command line initrd loader" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Undeprecate the command line initrd loader" (Lenny Szubowicz) [2234390] - Revert "efi: memmap: Move EFI fake memmap support into x86 arch tree" (Lenny Szubowicz) [2234390] - Revert "efi: Correct comment on efi_memmap_alloc" (Lenny Szubowicz) [2234390] - Revert "drivers: fix typo in firmware/efi/memmap.c" (Lenny Szubowicz) [2234390] - Revert "efi: memmap: Move manipulation routines into x86 arch tree" (Lenny Szubowicz) [2234390] - Revert "efi: pstore: Add module parameter for setting the record size" (Lenny Szubowicz) [2234390] - Revert "efi: random: combine bootloader provided RNG seed with RNG protocol output" (Lenny Szubowicz) [2234390] - Revert "firmware: raspberrypi: fix possible memory leak in rpi_firmware_probe()" (Lenny Szubowicz) [2234390] - Revert "efi: stub: use random seed from EFI variable" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: Always enable initrd command line loader and bump version" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_ffa: Move constants to header file" (Lenny Szubowicz) [2234390] - Revert "efi: Put Linux specific magic number in the DOS header" (Lenny Szubowicz) [2234390] - Revert "efi: fix NULL-deref in init error path" (Lenny Szubowicz) [2234390] - Revert "efi: fix userspace infinite retry read efivars after EFI runtime services page fault" (Lenny Szubowicz) [2234390] - Revert "firmware/sysfb: Fix EFI/VESA format selection" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Clear stale xfer->hdr.status" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Harden shared memory access in fetch_response" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Harden shared memory access in fetch_notification" (Lenny Szubowicz) [2234390] - Revert "firmware: arm_scmi: Fix virtio channels cleanup on shutdown" (Lenny Szubowicz) [2234390] - Revert "efi/earlycon: Replace open coded strnchrnul()" (Lenny Szubowicz) [2234390] - Revert "firmware: dmi-sysfs: Fix null-ptr-deref in dmi_sysfs_register_handle" (Lenny Szubowicz) [2234390] - Revert "efi: memmap: Disregard bogus entries instead of returning them" (Lenny Szubowicz) [2234390] - Revert "efi: verify that variable services are supported" (Lenny Szubowicz) [2234390] - Revert "efi: efivars: prevent double registration" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: Add memory attribute protocol definitions" (Lenny Szubowicz) [2234390] - Revert "efi: Accept version 2 of memory attributes table" (Lenny Szubowicz) [2234390] - Revert "efi: fix potential NULL deref in efi_mem_reserve_persistent" (Lenny Szubowicz) [2234390] - Revert "efi: zboot: Use EFI protocol to remap code/data with the right attributes" (Lenny Szubowicz) [2234390] - Revert "efi: Use standard format for printing the EFI revision" (Lenny Szubowicz) [2234390] - Revert "efi: Discover BTI support in runtime services regions" (Lenny Szubowicz) [2234390] - Revert "arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: zboot: Mark zboot EFI application as NX compatible" (Lenny Szubowicz) [2234390] - Revert "efi: earlycon: Reprobe after parsing config tables" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: smbios: Use length member instead of record struct size" (Lenny Szubowicz) [2234390] - Revert "arm64: efi: Use SMBIOS processor version to key off Ampere quirk" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: smbios: Drop unused 'recsize' parameter" (Lenny Szubowicz) [2234390] - Revert "efi: sysfb_efi: Fix DMI quirks not working for simpledrm" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: zboot: Add compressed image to make targets" (Lenny Szubowicz) [2234390] - Revert "efi/libstub: randomalloc: Return EFI_OUT_OF_RESOURCES on failure" (Lenny Szubowicz) [2234390] - Revert "efi: Bump stub image version for macOS HVF compatibility" (Lenny Szubowicz) [2234390] - Revert "firmware/sysfb: Fix VESA format selection" (Lenny Szubowicz) [2234390] - Revert "redhat/configs: update firmware configs" (Lenny Szubowicz) [2234390] - Revert "ACPI: power: Switch to sys-off handler API" (Lenny Szubowicz) [2234390] - Revert "gsmi: fix null-deref in gsmi_get_variable" (Lenny Szubowicz) [2234390] - Revert "efi: efivars: drop kobject from efivars_register()" (Lenny Szubowicz) [2234390] - Revert "efi: libstub: fix efi_load_initrd_dev_path() kernel-doc comment" (Lenny Szubowicz) [2234390] - Revert "notifier: Add atomic_notifier_call_chain_is_empty()" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Wrap legacy power-off callbacks into sys-off handlers" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Add do_kernel_power_off()" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Add stub for pm_power_off" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Add kernel_can_power_off()" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Add register_platform_power_off()" (Lenny Szubowicz) [2234390] - Revert "reboot: Remove pm_power_off_prepare()" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Change registration order of legacy power-off handler" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Use static handler for register_platform_power_off()" (Lenny Szubowicz) [2234390] - Revert "kernel/reboot: Fix powering off using a non-syscall code paths" (Lenny Szubowicz) [2234390] - Revert "PM: hibernate: Use kernel_can_power_off()" (Lenny Szubowicz) [2234390] - x86/cpu: Enable STIBP on AMD if Automatic IBRS is enabled (Waiman Long) [2227917] - Documentation/hw-vuln: Document the interaction between IBRS and STIBP (Waiman Long) [2227917] - x86/CPU/AMD: Make sure EFER[AIBRSE] is set (Waiman Long) [2227917] - sched/core: Use empty mask to reset cpumasks in sched_setaffinity() (Waiman Long) [2219681] - cgroup/cpuset: Extend test_cpuset_prs.sh to test remote partition (Waiman Long) [2174568] - cgroup/cpuset: Documentation update for partition (Waiman Long) [2174568] - cgroup/cpuset: Check partition conflict with housekeeping setup (Waiman Long) [2174568] - cgroup/cpuset: Introduce remote partition (Waiman Long) [2174568] - cgroup/cpuset: Add cpuset.cpus.exclusive for v2 (Waiman Long) [2174568] - cgroup/cpuset: Add cpuset.cpus.exclusive.effective for v2 (Waiman Long) [2174568] - cgroup/cpuset: simplify the percpu kthreads check in update_tasks_cpumask() (Waiman Long) [2174568] - cgroup/cpuset: Allow suppression of sched domain rebuild in update_cpumasks_hier() (Waiman Long) [2174568] - cgroup/cpuset: Improve temporary cpumasks handling (Waiman Long) [2174568] - cgroup/cpuset: Extract out CS_CPU_EXCLUSIVE & CS_SCHED_LOAD_BALANCE handling (Waiman Long) [2174568] - cgroup/cpuset: Inherit parent's load balance state in v2 (Waiman Long) [2174568] - cgroup/cpuset: Free DL BW in case can_attach() fails (Waiman Long) [2174568] - sched/deadline: Create DL BW alloc, free & check overflow interface (Waiman Long) [2174568] - cgroup/cpuset: Iterate only if DEADLINE tasks are present (Waiman Long) [2174568] - sched/cpuset: Keep track of SCHED_DEADLINE task in cpusets (Waiman Long) [2174568] - sched/cpuset: Bring back cpuset_mutex (Waiman Long) [2174568] - cgroup/cpuset: Rename functions dealing with DEADLINE accounting (Waiman Long) [2174568] - cgroup/cpuset: Minor updates to test_cpuset_prs.sh (Waiman Long) [2174568] - cgroup/cpuset: Include offline CPUs when tasks' cpumasks in top_cpuset are updated (Waiman Long) [2174568] - cgroup/cpuset: Skip task update if hotplug doesn't affect current cpuset (Waiman Long) [2174568] - kselftest/cgroup: Add cleanup() to test_cpuset_prs.sh (Waiman Long) [2174568] - kselftest/cgroup: Fix gathering number of CPUs (Waiman Long) [2174568] - redhat: configs: Disable CONFIG_CRYPTO_STATS since performance issue for storage (Herbert Xu) [2227964] - redhat: list Z-Jiras in the changelog before Y-Jiras (Herton R. Krzesinski) - redhat: bump RHEL_MINOR for 9.4 (Scott Weaver) Resolves: rhbz#2110509, rhbz#2234790 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-05 14:42:29 +00:00
# CONFIG_CRYPTO_STATS is not set
# CONFIG_CRYPTO_STREEBOG is not set
CONFIG_CRYPTO_TEST=m
CONFIG_CRYPTO_TWOFISH=m
CONFIG_CRYPTO_USER_API_AEAD=y
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
# CONFIG_CRYPTO_USER_API_ENABLE_OBSOLETE is not set
CONFIG_CRYPTO_USER_API_HASH=y
# CONFIG_CRYPTO_USER_API_RNG_CAVP is not set
CONFIG_CRYPTO_USER_API_RNG=y
CONFIG_CRYPTO_USER_API_SKCIPHER=y
kernel-5.14.0-77.el9 * Thu Apr 07 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-77.el9] - NFSD: Fix offset type in I/O trace points (Benjamin Coddington) [2063045] - NFSD: COMMIT operations must not return NFS?ERR_INVAL (Benjamin Coddington) [2063045] - NFSD: Clamp WRITE offsets (Benjamin Coddington) [2063045] - NFSD: Fix NFSv3 SETATTR/CREATE's handling of large file sizes (Benjamin Coddington) [2063045] - NFSD: Fix ia_size underflow (Benjamin Coddington) [2063045] - NFSD: Fix the behavior of READ near OFFSET_MAX (Benjamin Coddington) [2063045] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2054100] - Revert "include/linux/ioport.h: Pad resource struct for KABI" (Prarit Bhargava) [2055736] - powerpc/pseries: Fix use after free in remove_phb_dynamic() (Prarit Bhargava) [2055736] - lib/sbitmap: kill 'depth' from sbitmap_word (Ming Lei) [2064695] - KVM: use __vcalloc for very large allocations (David Hildenbrand) [2057367] - mm: use vmalloc_array and vcalloc for array allocations (David Hildenbrand) [2057367] - mm: vmalloc: introduce array allocation functions (David Hildenbrand) [2057367] - tpm: fix potential NULL pointer access in tpm_del_char_device (Desnes A. Nunes do Rosario) [2032117] - x86/speculation: Warn about eIBRS + LFENCE + Unprivileged eBPF + SMT (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Warn about Spectre v2 LFENCE mitigation (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Update link to AMD speculation whitepaper (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Use generic retpoline by default on AMD (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - Documentation/hw-vuln: Update spectre doc (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Add eIBRS + Retpoline options (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Rename RETPOLINE_AMD to RETPOLINE_LFENCE (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86,bugs: Unconditionally allow spectre_v2=retpoline,amd (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86: deduplicate the spectre_v2_user documentation (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - redhat/configs: aarch64: Enable ARM_SPE_PMU (Mark Salter) [2063234] - drm/i915/audio: Use BIOS provided value for RKL HDA link (Mika Penttilä) [2046691] - redhat: configs: Disable TPM 1.2 device drivers (Jerry Snitselaar) [2060516] - redhat: configs: Change aarch64 default dma domain to lazy (Jerry Snitselaar) [2050415] - powerpc/64s/hash: Make hash faults work in NMI context (Desnes A. Nunes do Rosario) [2062762] - CI: Remove deprecated option (Veronika Kabatova) - redhat/configs: make SHA512_arch algos and CRYPTO_USER built-ins (Vladis Dronov) [2070624] - futex: Fix PREEMPT_RT build (Fernando Pacheco) [2070369] - crypto: ccp - ccp_dmaengine_unregister release dma channels (Vladis Dronov) [2047836] - crypto: ccp - remove redundant ret variable (Vladis Dronov) [2047836] - crypto: ccp - Ensure psp_ret is always init'd in __sev_platform_init_locked() (Vladis Dronov) [2047836] - crypto: ccp - remove unneeded semicolon (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT_EX support (Vladis Dronov) [2047836] - crypto: ccp - Add psp_init_on_probe module parameter (Vladis Dronov) [2047836] - crypto: ccp - Refactor out sev_fw_alloc() (Vladis Dronov) [2047836] - crypto: ccp - Move SEV_INIT retry for corrupted data (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT rc error logging on init (Vladis Dronov) [2047836] - crypto: ccp - no need to initialise statics to 0 (Vladis Dronov) [2047836] - perf tests attr: Add missing topdown metrics events (Michael Petlan) [2062513] - powerpc/papr_scm: Implement initial support for injecting smart errors (Steve Best) [1873827] - redhat/koji/Makefile: Decouple koji Makefile from Makefile.common (Andrea Claudi) [2037486] - redhat: fix make {distg-brew,distg-koji} (Andrea Claudi) [2037486] - mm: memcg: synchronize objcg lists with a dedicated spinlock (Waiman Long) [2046537] - mm: memcontrol: remove the kmem states (Waiman Long) [2046537] - mm: memcontrol: remove kmemcg_id reparenting (Waiman Long) [2046537] - mm/memcg: remove obsolete memcg_free_kmem() (Waiman Long) [2046537] - PCI: rcar: Check if device is runtime suspended instead of __clk_is_enabled() (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for MEM resource type (Myron Stowe) [2045254] - PCI/MSI: Move non-mask check back into low level accessors (Myron Stowe) [2045254] - PCI: aardvark: Implement re-issuing config requests on CRS response (Myron Stowe) [2045254] - PCI: aardvark: Deduplicate code in advk_pcie_rd_conf() (Myron Stowe) [2045254] - PCI: aardvark: Fix preserving PCI_EXP_RTCTL_CRSSVE flag on emulated bridge (Myron Stowe) [2045254] - PCI: ACPI: Drop acpi_pci_bus (Myron Stowe) [2045254] - ACPI: glue: Eliminate acpi_platform_notify() (Myron Stowe) [2045254] - ACPI: bus: Rename functions to avoid name collision (Myron Stowe) [2045254] - ACPI: glue: Change return type of two functions to void (Myron Stowe) [2045254] - ACPI: glue: Rearrange acpi_device_notify() (Myron Stowe) [2045254] - PCI/MSI: Handle msi_populate_sysfs() errors correctly (Myron Stowe) [2045254] - MAINTAINERS: Add Nirmal Patel as VMD maintainer (Myron Stowe) [2045254] - PCI: Add AMD GPU multi-function power dependencies (Myron Stowe) [2045254] - tools: PCI: Zero-initialize param (Myron Stowe) [2045254] - PCI: controller: PCI_IXP4XX should depend on ARCH_IXP4XX (Myron Stowe) [2045254] - Documentation: PCI: endpoint/pci-endpoint-cfs: Guide to use SR-IOV (Myron Stowe) [2045254] - misc: pci_endpoint_test: Populate sriov_configure ops to configure SR-IOV device (Myron Stowe) [2045254] - PCI: cadence: Add support to configure virtual functions (Myron Stowe) [2045254] - PCI: cadence: Simplify code to get register base address for configuring BAR (Myron Stowe) [2045254] - PCI: endpoint: Add virtual function number in pci_epc ops (Myron Stowe) [2045254] - PCI: endpoint: Add support to link a physical function to a virtual function (Myron Stowe) [2045254] - PCI: endpoint: Add support to add virtual function in endpoint core (Myron Stowe) [2045254] - dt-bindings: PCI: pci-ep: Add binding to specify virtual function (Myron Stowe) [2045254] - PCI: xilinx-nwl: Enable the clock through CCF (Myron Stowe) [2045254] - dt-bindings: pci: xilinx-nwl: Document optional clock property (Myron Stowe) [2045254] - PCI: xgene-msi: Remove redundant dev_err() call in xgene_msi_probe() (Myron Stowe) [2045254] - PCI: tegra194: Cleanup unused code (Myron Stowe) [2045254] - PCI: tegra194: Don't allow suspend when Tegra PCIe is in EP mode (Myron Stowe) [2045254] - PCI: tegra194: Disable interrupts before entering L2 (Myron Stowe) [2045254] - PCI: tegra194: Fix MSI-X programming (Myron Stowe) [2045254] - PCI: tegra194: Fix handling BME_CHGED event (Myron Stowe) [2045254] - PCI: tegra: make const array err_msg static (Myron Stowe) [2045254] - PCI: tegra: Use 'seq_puts' instead of 'seq_printf' (Myron Stowe) [2045254] - PCI: tegra: Fix OF node reference leak (Myron Stowe) [2045254] - PCI: tegra: Remove unused struct tegra_pcie_bus (Myron Stowe) [2045254] - PCI: rcar: Add L1 link state fix into data abort hook (Myron Stowe) [2045254] - PCI: rcar: Fix runtime PM imbalance in rcar_pcie_ep_probe() (Myron Stowe) [2045254] - PCI: mediatek: Use PCI domain to handle ports detection (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get irq number (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get shared pcie-cfg base address (Myron Stowe) [2045254] - dt-bindings: PCI: mediatek: Update the Device tree bindings (Myron Stowe) [2045254] - PCI: keembay: Add support for Intel Keem Bay (Myron Stowe) [2045254] - dt-bindings: PCI: Add Intel Keem Bay PCIe controller (Myron Stowe) [2045254] - PCI: iproc: Fix BCMA probe resource handling (Myron Stowe) [2045254] - PCI: of: Don't fail devm_pci_alloc_host_bridge() on missing 'ranges' (Myron Stowe) [2045254] - misc: pci_endpoint_test: Add deviceID for AM64 and J7200 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for AM64 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for J7200 (Myron Stowe) [2045254] - PCI: cadence: Add quirk flag to set minimum delay in LTSSM Detect.Quiet state (Myron Stowe) [2045254] - PCI: cadence: Use bitfield for *quirk_retrain_flag* instead of bool (Myron Stowe) [2045254] - PCI: aardvark: Fix masking and unmasking legacy INTx interrupts (Myron Stowe) [2045254] - PCI: aardvark: Configure PCIe resources from 'ranges' DT property (Myron Stowe) [2045254] - PCI: aardvark: Fix reporting CRS value (Myron Stowe) [2045254] - PCI: pci-bridge-emul: Add PCIe Root Capabilities Register (Myron Stowe) [2045254] - PCI: aardvark: Increase polling delay to 1.5s while waiting for PIO response (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for PIO status (Myron Stowe) [2045254] - MAINTAINERS: Add entries for Toshiba Visconti PCIe controller (Myron Stowe) [2045254] - PCI: visconti: Add Toshiba Visconti PCIe host controller driver (Myron Stowe) [2045254] - PCI: rockchip-dwc: Add Rockchip RK356X host controller driver (Myron Stowe) [2045254] - PCI: dwc: Remove surplus break statement after return (Myron Stowe) [2045254] - PCI: artpec6: Remove local code block from switch statement (Myron Stowe) [2045254] - PCI: artpec6: Remove surplus break statement after return (Myron Stowe) [2045254] - x86/PCI: sta2x11: switch from 'pci_' to 'dma_' API (Myron Stowe) [2045254] - PCI: Sync __pci_register_driver() stub for CONFIG_PCI=n (Myron Stowe) [2045254] - PCI: Add schedule point in proc_bus_pci_read() (Myron Stowe) [2045254] - PCI: Correct the pci_iomap.h header guard #endif comment (Myron Stowe) [2045254] - PCI/PTM: Remove error message at boot (Myron Stowe) [2045254] - PCI: Fix pci_dev_str_match_path() alloc while atomic bug (Myron Stowe) [2045254] - x86/PCI: Add pci_numachip_init() declaration (Myron Stowe) [2045254] - PCI/ACS: Enforce pci=noats with Transaction Blocking (Myron Stowe) [2045254] - PCI: Add ACS quirks for Cavium multi-function devices (Myron Stowe) [2045254] - PCI: Add ACS quirks for NXP LX2xx0 and LX2xx2 platforms (Myron Stowe) [2045254] - PCI: Optimize pci_resource_len() to reduce kernel size (Myron Stowe) [2045254] - PCI: Refactor pci_ioremap_bar() and pci_ioremap_wc_bar() (Myron Stowe) [2045254] - PCI/portdrv: Enable Bandwidth Notification only if port supports it (Myron Stowe) [2045254] - PCI: Bulk conversion to generic_handle_domain_irq() (Myron Stowe) [2045254] - PCI: Set dma-can-stall for HiSilicon chips (Myron Stowe) [2045254] - PCI: ibmphp: Fix double unmap of io_mem (Myron Stowe) [2045254] - PCI: Return int from pciconfig_read() syscall (Myron Stowe) [2045254] - PCI: Return ~0 data on pciconfig_read() CAP_SYS_ADMIN failure (Myron Stowe) [2045254] - PCI: Restrict ASMedia ASM1062 SATA Max Payload Size Supported (Myron Stowe) [2045254] - PCI: Call Max Payload Size-related fixup quirks early (Myron Stowe) [2045254] - platform-msi: Add ABI to show msi_irqs of platform devices (Myron Stowe) [2045254] - genirq/msi: Move MSI sysfs handling from PCI to MSI core (Myron Stowe) [2045254] - genirq/timings: Fix error return code in irq_timings_test_irqs() (Myron Stowe) [2045254] - PCI/MSI: Use new mask/unmask functions (Myron Stowe) [2045254] - PCI/MSI: Provide a new set of mask and unmask functions (Myron Stowe) [2045254] - PCI/MSI: Cleanup msi_mask() (Myron Stowe) [2045254] - PCI/MSI: Deobfuscate virtual MSI-X (Myron Stowe) [2045254] - PCI/MSI: Consolidate error handling in msi_capability_init() (Myron Stowe) [2045254] - PCI/MSI: Rename msi_desc::masked (Myron Stowe) [2045254] - PCI/MSI: Simplify msi_verify_entries() (Myron Stowe) [2045254] - s390/pci: Do not mask MSI[-X] entries on teardown (Myron Stowe) [2045254] - genirq: Improve "hwirq" output in /proc and /sys/ (Myron Stowe) [2045254] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2066225] - ibmvnic: Allow queueing resets during probe (Diego Domingos) [2058835] - ibmvnic: clear fop when retrying probe (Diego Domingos) [2058835] - ibmvnic: init init_done_rc earlier (Diego Domingos) [2058835] - ibmvnic: register netdev after init of adapter (Diego Domingos) [2058835] - ibmvnic: complete init_done on transport events (Diego Domingos) [2058835] - ibmvnic: define flush_reset_queue helper (Diego Domingos) [2058835] - ibmvnic: initialize rc before completing wait (Diego Domingos) [2058835] - ibmvnic: free reset-work-item when flushing (Diego Domingos) [2058835] - powerpc/smp: Update cpu_core_map on all PowerPc systems (Diego Domingos) [2063682] - nvmet: revert "nvmet: make discovery NQN configurable" (Gopal Tiwari) [2066146] - nvme-tcp: send H2CData PDUs based on MAXH2CDATA (Gopal Tiwari) [2066146] - nvme: also mark passthrough-only namespaces ready in nvme_update_ns_info (Gopal Tiwari) [2066146] - nvme: don't return an error from nvme_configure_metadata (Gopal Tiwari) [2066146] - block: fix surprise removal for drivers calling blk_set_queue_dying (Gopal Tiwari) [2066146] - nvme-tcp: fix bogus request completion when failing to send AER (Gopal Tiwari) [2066146] - nvme: add nvme_complete_req tracepoint for batched completion (Gopal Tiwari) [2066146] - nvme-fabrics: remove the unneeded ret variable in nvmf_dev_show (Gopal Tiwari) [2066146] - nvme-pci: add the IGNORE_DEV_SUBNQN quirk for Intel P4500/P4600 SSDs (Gopal Tiwari) [2066146] - block: introduce rq_list_for_each_safe macro (Gopal Tiwari) [2066146] - block: move rq_list macros to blk-mq.h (Gopal Tiwari) [2066146] - nvme: add 'iopolicy' module parameter (Gopal Tiwari) [2066146] - nvme-fabrics: print out valid arguments when reading from /dev/nvme-fabrics (Gopal Tiwari) [2066146] - nvme: separate command prep and issue (Gopal Tiwari) [2066146] - nvme: split command copy into a helper (Gopal Tiwari) [2066146] - nvmet-tcp: fix possible list corruption for unexpected command failure (Gopal Tiwari) [2066146] - nvme: fix use after free when disconnecting a reconnecting ctrl (Gopal Tiwari) [2066146] - nvme-multipath: set ana_log_size to 0 after free ana_log_buf (Gopal Tiwari) [2066146] - nvme: disable namespace access for unsupported metadata (Gopal Tiwari) [2066146] - nvme: report write pointer for a full zone as zone start + zone len (Gopal Tiwari) [2066146] - nvme: show subsys nqn for duplicate cntlids (Gopal Tiwari) [2066146] - nvme-pci: add NO APST quirk for Kioxia device (Gopal Tiwari) [2066146] - nvme: fix write zeroes pi (Gopal Tiwari) [2066146] - nvmet: use flex_array_size and struct_size (Gopal Tiwari) [2066146] - nvmet: use struct_size over open coded arithmetic (Gopal Tiwari) [2066146] - nvme-pci: clear shadow doorbell memory on resets (Gopal Tiwari) [2066146] - nvmet: use macro definitions for setting cmic value (Gopal Tiwari) [2066146] - nvme-multipath: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme-rdma: fix error code in nvme_rdma_setup_ctrl (Gopal Tiwari) [2066146] - nvmet: use macro definition for setting nmic value (Gopal Tiwari) [2066146] - nvmet-rdma: implement get_max_queue_size controller op (Gopal Tiwari) [2066146] - nvmet: add get_max_queue_size op for controllers (Gopal Tiwari) [2066146] - nvme-rdma: limit the maximal queue size for RDMA controllers (Gopal Tiwari) [2066146] - nvme: generate uevent once a multipath namespace is operational again (Gopal Tiwari) [2066146] - nvme-fc: add support for ->map_queues (Gopal Tiwari) [2066146] - nvme: loop: clear NVME_CTRL_ADMIN_Q_STOPPED after admin queue is reallocated (Gopal Tiwari) [2066146] - nvme: don't memset() the normal read/write command (Gopal Tiwari) [2066146] - nvme: move command clear into the various setup helpers (Gopal Tiwari) [2066146] - nvme: wire up completion batching for the IRQ path (Gopal Tiwari) [2066146] - nvme: add support for batched completion of polled IO (Gopal Tiwari) [2066146] - nvme-multipath: enable polled I/O (Gopal Tiwari) [2066146] - nvme: remove the call to nvme_update_disk_info in nvme_ns_remove (Gopal Tiwari) [2066146] - nvme-rdma: destroy cm id before destroy qp to avoid use after free (Gopal Tiwari) [2066146] - nvme: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme: only call synchronize_srcu when clearing current path (Gopal Tiwari) [2066146] - nvme-multipath: revalidate paths during rescan (Gopal Tiwari) [2066146] - nvme-multipath: set QUEUE_FLAG_NOWAIT (Gopal Tiwari) [2066146] - nvme: remove the unused NVME_NS_* enum (Gopal Tiwari) [2066146] - nvme: remove nvm_ndev from ns (Gopal Tiwari) [2066146] - nvme: Have NVME_FABRICS select NVME_CORE instead of transport drivers (Gopal Tiwari) [2066146] - nvme-pci: disable hmb on idle suspend (Gopal Tiwari) [2066146] - nvme: allow user toggling hmb usage (Gopal Tiwari) [2066146] - nvme-fabrics: remove superfluous nvmf_host_put in nvmf_parse_options (Gopal Tiwari) [2066146] - nvme: add set feature tracing support (Gopal Tiwari) [2066146] - nvmet: add set feature tracing support (Gopal Tiwari) [2066146] - nvme-pci: cmb sysfs: one file, one value (Gopal Tiwari) [2066146] - nvme-pci: use attribute group for cmb sysfs (Gopal Tiwari) [2066146] - remove the lightnvm subsystem (Gopal Tiwari) [2066146] - compiler.h: Introduce absolute_pointer macro (Gopal Tiwari) [2066146] - mm/usercopy: return 1 from hardened_usercopy __setup() handler (Rafael Aquini) [2064990] - mm: madvise: return correct bytes advised with process_madvise (Rafael Aquini) [2064990] - mm/thp: refix __split_huge_pmd_locked() for migration PMD (Rafael Aquini) [2064990] - mm/mlock: fix potential imbalanced rlimit ucounts adjustment (Rafael Aquini) [2064990] - mm/hwpoison: fix error page recovered but reported "not recovered" (Rafael Aquini) [2064990] - mm/page_alloc: check high-order pages for corruption during PCP operations (Rafael Aquini) [2064990] - mm/page_alloc: do not prefetch buddies during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: limit number of high-order pages on PCP during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: free pages in a single pass during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: drain the requested list first during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: simplify how many pages are selected per pcp list during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: track range of active PCP lists during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: fetch the correct pcp buddy during bulk free (Rafael Aquini) [2064990] - mm/pages_alloc.c: don't create ZONE_MOVABLE beyond the end of a node (Rafael Aquini) [2064990] - mm/page_alloc: mark pagesets as __maybe_unused (Rafael Aquini) [2064990] - mm: Consider __GFP_NOWARN flag for oversized kvmalloc() calls (Rafael Aquini) [2064990] - kasan: test: prevent cache merging in kmem_cache_double_destroy (Rafael Aquini) [2064990] - mm: don't try to NUMA-migrate COW pages that have other uses (Rafael Aquini) [2064990] - mm/debug_vm_pgtable: remove pte entry from the page table (Rafael Aquini) [2064990] - Revert "mm/gup: small refactoring: simplify try_grab_page()" (Rafael Aquini) [2064990] - kasan: fix quarantine conflicting with init_on_free (Rafael Aquini) [2064990] - kasan: test: add test case for double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: add ability to detect double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: test: add globals left-out-of-bounds test (Rafael Aquini) [2064990] - mm/slab_common: use WARN() if cache still has objects on destroy (Rafael Aquini) [2064990] - mm: mempolicy: fix THP allocations escaping mempolicy restrictions (Rafael Aquini) [2064990] - kfence: fix memory leak when cat kfence objects (Rafael Aquini) [2064990] - mm/memcg: relocate mod_objcg_mlstate(), get_obj_stock() and put_obj_stock() (Rafael Aquini) [2064990] - mm/slub: fix endianness bug for alloc/free_traces attributes (Rafael Aquini) [2064990] - hugetlb, userfaultfd: fix reservation restore on userfaultfd error (Rafael Aquini) [2064990] - mm/zsmalloc.c: close race window between zs_pool_dec_isolated() and zs_unregister_migration() (Rafael Aquini) [2064990] - hugetlb: remove unnecessary set_page_count in prep_compound_gigantic_page (Rafael Aquini) [2064990] - mm: move fold_vm_numa_events() to fix NUMA without SMP (Rafael Aquini) [2064990] - mm/page_alloc.c: fix obsolete comment in free_pcppages_bulk() (Rafael Aquini) [2064990] - mm/large system hash: avoid possible NULL deref in alloc_large_system_hash (Rafael Aquini) [2064990] - lib/test_vmalloc.c: use swap() to make code cleaner (Rafael Aquini) [2064990] - mm/vmalloc: be more explicit about supported gfp flags (Rafael Aquini) [2064990] - vmalloc: choose a better start address in vm_area_register_early() (Rafael Aquini) [2064990] - vmalloc: back off when the current task is OOM-killed (Rafael Aquini) [2064990] - mm/vmalloc: check various alignments when debugging (Rafael Aquini) [2064990] - mm/vmalloc: make sure to dump unpurged areas in /proc/vmallocinfo (Rafael Aquini) [2064990] - mm/vmalloc: make show_numa_info() aware of hugepage mappings (Rafael Aquini) [2064990] - mm/vmalloc: don't allow VM_NO_GUARD on vmap() (Rafael Aquini) [2064990] - mm/vmalloc: repair warn_alloc()s in __vmalloc_area_node() (Rafael Aquini) [2064990] - mm/mremap: don't account pages in vma_to_resize() (Rafael Aquini) [2064990] - mm/filemap.c: remove bogus VM_BUG_ON (Rafael Aquini) [2064990] - kasan: fix tag for large allocations when using CONFIG_SLAB (Rafael Aquini) [2064990] - mm: don't include <linux/dax.h> in <linux/mempolicy.h> (Rafael Aquini) [2064990] - mm: disable NUMA_BALANCING_DEFAULT_ENABLED and TRANSPARENT_HUGEPAGE on PREEMPT_RT (Rafael Aquini) [2064990] - mm, slub: use prefetchw instead of prefetch (Rafael Aquini) [2064990] - mm/slub: increase default cpu partial list sizes (Rafael Aquini) [2064990] - mm, slub: change percpu partial accounting from objects to pages (Rafael Aquini) [2064990] - slub: add back check for free nonslab objects (Rafael Aquini) [2064990] - mm/slab.c: remove useless lines in enable_cpucache() (Rafael Aquini) [2064990] - mm: move kvmalloc-related functions to slab.h (Rafael Aquini) [2064990] - selftests: kvm: add amx_test to .gitignore (Paul Lai) [1924149] - kvm: Move KVM_GET_XSAVE2 IOCTL definition at the end of kvm.h (Paul Lai) [1924149] - kvm: selftests: sync uapi/linux/kvm.h with Linux header (Paul Lai) [1924149] - kvm: selftests: conditionally build vm_xsave_req_perm() (Paul Lai) [1924149] - KVM: x86/cpuid: Exclude unpermitted xfeatures sizes at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - KVM: x86/cpuid: Clear XFD for component i if the base feature is missing (Paul Lai) [1924149] - selftest: kvm: Add amx selftest (Paul Lai) [1924149] - selftest: kvm: Move struct kvm_x86_state to header (Paul Lai) [1924149] - selftest: kvm: Reorder vcpu_load_state steps for AMX (Paul Lai) [1924149] - kvm: x86: Disable interception for IA32_XFD on demand (Paul Lai) [1924149] - x86/fpu: Provide fpu_sync_guest_vmexit_xfd_state() (Paul Lai) [1924149] - kvm: selftests: Add support for KVM_CAP_XSAVE2 (Paul Lai) [1924149] - kvm: x86: Add support for getting/setting expanded xstate buffer (Paul Lai) [1924149] - x86/fpu: Add uabi_size to guest_fpu (Paul Lai) [1924149] - kvm: x86: Add CPUID support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Add XCR0 support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Disable RDMSR interception of IA32_XFD_ERR (Paul Lai) [1924149] - kvm: x86: Intercept #NM for saving IA32_XFD_ERR (Paul Lai) [1924149] - x86/fpu: Make XFD initialization in __fpstate_reset() a function argument (Paul Lai) [1924149] - kvm: x86: Exclude unpermitted xfeatures at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - kvm: x86: Fix xstate_required_size() to follow XSTATE alignment rule (Paul Lai) [1924149] - kvm: selftests: move ucall declarations into ucall_common.h (Paul Lai) [1924149] - kvm: selftests: move base kvm_util.h declarations to kvm_util_base.h (Paul Lai) [1924149] - rename c9s pipeline from centos-stream-9 to c9s (Bruno Goncalves) - genirq: Provide new interfaces for affinity hints (Phil Auld) [2055300] - um: vector: adjust to coalesce API changes (Ivan Vecera) [2047636] - igb: fix deadlock caused by taking RTNL in RPM resume path (Ivan Vecera) [2047636] - ethtool: Fix link extended state for big endian (Ivan Vecera) [2047636] - ethtool: use phydev variable (Ivan Vecera) [2047636] - ethtool: Remove redundant ret assignments (Ivan Vecera) [2047636] - ethtool: do not perform operations on net devices being unregistered (Ivan Vecera) [2047636] - ethtool: netlink: Slightly simplify 'ethnl_features_to_bitmap()' (Ivan Vecera) [2047636] - ethtool: ioctl: fix potential NULL deref in ethtool_set_coalesce() (Ivan Vecera) [2047636] - net: ethtool: set a default driver name (Ivan Vecera) [2047636] - ethtool: extend ringparam setting/getting API with rx_buf_len (Ivan Vecera) [2047636] - ethtool: add support to set/get rx buf len via ethtool (Ivan Vecera) [2047636] - ethtool: add support to set/get tx copybreak buf size via ethtool (Ivan Vecera) [2047636] - ethtool: fix ethtool msg len calculation for pause stats (Ivan Vecera) [2047636] - ethtool: don't drop the rtnl_lock half way thru the ioctl (Ivan Vecera) [2047636] - devlink: expose get/put functions (Ivan Vecera) [2047636] - ethtool: handle info/flash data copying outside rtnl_lock (Ivan Vecera) [2047636] - ethtool: push the rtnl_lock into dev_ethtool() (Ivan Vecera) [2047636] - ethtool: Add transceiver module extended state (Ivan Vecera) [2047636] - ethtool: Add ability to control transceiver modules' power mode (Ivan Vecera) [2047636] - ethtool: ioctl: Use array_size() helper in copy_{from,to}_user() (Ivan Vecera) [2047636] - ethtool: prevent endless loop if eeprom size is smaller than announced (Ivan Vecera) [2047636] - ethtool: extend coalesce setting uAPI with CQE mode (Ivan Vecera) [2047636] - ethtool: add two coalesce attributes for CQE mode (Ivan Vecera) [2047636] - ethtool: add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - docs: ethtool: Add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - ethtool: return error from ethnl_ops_begin if dev is NULL (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent in ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move netif_device_present check from ethnl_parse_header_dev_get to ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move implementation of ethnl_ops_begin/complete to netlink.c (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent before ethtool ioctl ops (Ivan Vecera) [2047636] Resolves: rhbz# 2047636, rhbz#2055300, rhbz#1924149, rhbz#2064990, rhbz#2066146, rhbz#2063682, rhbz#2058835, rhbz#2066225, rhbz#2045254, rhbz#2046537, rhbz#2037486, rhbz#1873827, rhbz#2062513, rhbz#2047836, rhbz#2070369, rhbz#070624, rhbz#2062762 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-07 11:17:18 +00:00
CONFIG_CRYPTO_USER=y
CONFIG_CRYPTO_VMAC=m
CONFIG_CRYPTO_WP512=m
CONFIG_CRYPTO_XCBC=m
CONFIG_CRYPTO_XTS=y
CONFIG_CRYPTO_XXHASH=m
CONFIG_CRYPTO=y
kernel-5.14.0-44.el9 * Mon Jan 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-44.el9] - dm btree remove: fix use after free in rebalance_children() (Benjamin Marzinski) [2031198] - dm table: log table creation error code (Benjamin Marzinski) [2031198] - dm: make workqueue names device-specific (Benjamin Marzinski) [2031198] - dm writecache: Make use of the helper macro kthread_run() (Benjamin Marzinski) [2031198] - dm crypt: Make use of the helper macro kthread_run() (Benjamin Marzinski) [2031198] - dm: Remove redundant flush_workqueue() calls (Benjamin Marzinski) [2031198] - dm crypt: log aead integrity violations to audit subsystem (Benjamin Marzinski) [2031198] - dm integrity: log audit events for dm-integrity target (Benjamin Marzinski) [2031198] - dm: introduce audit event module for device mapper (Benjamin Marzinski) [2031198] - dm: fix mempool NULL pointer race when completing IO (Benjamin Marzinski) [2031198] - dm rq: don't queue request to blk-mq during DM suspend (Benjamin Marzinski) [2031198] - dm clone: make array 'descs' static (Benjamin Marzinski) [2031198] - dm verity: skip redundant verity_handle_err() on I/O errors (Benjamin Marzinski) [2031198] - dm crypt: use in_hardirq() instead of deprecated in_irq() (Benjamin Marzinski) [2031198] - dm ima: update dm documentation for ima measurement support (Benjamin Marzinski) [2031198] - dm ima: update dm target attributes for ima measurements (Benjamin Marzinski) [2031198] - dm ima: add a warning in dm_init if duplicate ima events are not measured (Benjamin Marzinski) [2031198] - dm ima: prefix ima event name related to device mapper with dm_ (Benjamin Marzinski) [2031198] - dm ima: add version info to dm related events in ima log (Benjamin Marzinski) [2031198] - dm ima: prefix dm table hashes in ima log with hash algorithm (Benjamin Marzinski) [2031198] - dm crypt: Avoid percpu_counter spinlock contention in crypt_page_alloc() (Benjamin Marzinski) [2031198] - dm: add documentation for IMA measurement support (Benjamin Marzinski) [2031198] - dm: update target status functions to support IMA measurement (Benjamin Marzinski) [2031198] - dm ima: measure data on device rename (Benjamin Marzinski) [2031198] - dm ima: measure data on table clear (Benjamin Marzinski) [2031198] - dm ima: measure data on device remove (Benjamin Marzinski) [2031198] - dm ima: measure data on device resume (Benjamin Marzinski) [2031198] - dm ima: measure data on table load (Benjamin Marzinski) [2031198] - dm writecache: add event counters (Benjamin Marzinski) [2031198] - dm writecache: report invalid return from writecache_map helpers (Benjamin Marzinski) [2031198] - dm writecache: further writecache_map() cleanup (Benjamin Marzinski) [2031198] - dm writecache: factor out writecache_map_remap_origin() (Benjamin Marzinski) [2031198] - dm writecache: split up writecache_map() to improve code readability (Benjamin Marzinski) [2031198] - redhat: Pull in openssl-devel as a build dependency correctly (Neal Gompa) [2034670] - redhat/configs: Enable ThinkLMI support (Mark Pearson) [2030770] - platform/x86: think-lmi: Abort probe on analyze failure (Mark Pearson) [2030770] - platform/x86: think-lmi: add debug_cmd (Mark Pearson) [2030770] - include/linux/timer.h: Pad timer_list struct for KABI (Prarit Bhargava) [2034452] - kernel: Include RHEL Ecosystem message (Prarit Bhargava) [2033650] - include/linux/ioport.h: Pad resource struct for KABI (Prarit Bhargava) [2033475] - include/linux/hrtimer.h: Pad hrtimer struct for KABI (Prarit Bhargava) [2033473] - redhat/configs: Add explicit values for ZRAM_DEF_COMP_LZ4* configs (Neal Gompa) [2032758] - redhat/configs: Enable CONFIG_CRYPTO_ZSTD (Neal Gompa) [2032758] - redhat/configs: Migrate defaults for ZRAM from pending-common to common (Neal Gompa) [2032758] - Enable iSER on s390x (Stefan Schulze Frielinghaus) [1965279] Resolves: rhbz#1965279, rhbz#2030770, rhbz#2031198, rhbz#2032758, rhbz#2033473, rhbz#2033475, rhbz#2033650, rhbz#2034452, rhbz#2034670 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-17 16:13:26 +00:00
CONFIG_CRYPTO_ZSTD=m
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_CSD_LOCK_WAIT_DEBUG=y
CONFIG_CUSE=m
# CONFIG_CXD2880_SPI_DRV is not set
# CONFIG_CX_ECAT is not set
kernel-5.14.0-292.el9 * Mon Mar 27 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-292.el9] - x86/nmi: Make register_nmi_handler() more robust (Ani Sinha) [2181172] - selftests/bpf: Fix sk_assign on s390x (Felix Maurer) [2166911] - selftests/net: fix missing xdp_dummy (Felix Maurer) [2166911] - lsm: make security_socket_getpeersec_stream() sockptr_t safe (Artem Savkov) [2166911] - selftests/bpf: Test skops->skb_hwtstamp (Felix Maurer) [2166911] - bpf: Add hwtstamp field for the sockops prog (Felix Maurer) [2166911] - selftests/bpf: Add test case for element reuse in htab map (Artem Savkov) [2166911] - selftests/bpf: Add test for cgroup iterator on a dead cgroup (Artem Savkov) [2166911] - selftests/bpf: Add cgroup helper remove_cgroup() (Artem Savkov) [2166911] - bpf: Zeroing allocated object from slab in bpf memory allocator (Artem Savkov) [2166911] - bpf: Invoke cgroup/connect{4,6} programs for unprivileged ICMP ping (Artem Savkov) [2166911] - bpf: Prevent bpf program recursion for raw tracepoint probes (Artem Savkov) [2166911] - bpf, sock_map: Move cancel_work_sync() out of sock lock (Felix Maurer) [2166911] - net: If sock is dead don't access sock's sk_wq in sk_stream_wait_memory (Felix Maurer) [2166911] - selftests/bpf: Fix build errors if CONFIG_NF_CONNTRACK=m (Felix Maurer) [2166911] - selftests/bpf: Fix incorrect ASSERT in the tcp_hdr_options test (Felix Maurer) [2166911] - netfilter: nf_nat: Fix possible memory leak in nf_nat_init() (Felix Maurer) [2166911] - net: netfilter: move bpf_ct_set_nat_info kfunc in nf_nat_bpf.c (Felix Maurer) [2166911] - selftests/xsk: Fix double free (Felix Maurer) [2166911] - libbpf: Fix overrun in netlink attribute iteration (Felix Maurer) [2166911] - samples/bpf: Fix typo in xdp_router_ipv4 sample (Felix Maurer) [2166911] - xsk: Expose min chunk size to drivers (Felix Maurer) [2166911] - selftests/bpf: Check -EBUSY for the recurred bpf_setsockopt(TCP_CONGESTION) (Felix Maurer) [2166911] - bpf: tcp: Stop bpf_setsockopt(TCP_CONGESTION) in init ops to recur itself (Felix Maurer) [2166911] - bpf: Refactor bpf_setsockopt(TCP_CONGESTION) handling into another function (Felix Maurer) [2166911] - bpf: Move the "cdg" tcp-cc check to the common sol_tcp_sockopt() (Felix Maurer) [2166911] - bpf: Add __bpf_prog_{enter,exit}_struct_ops for struct_ops trampoline (Felix Maurer) [2166911] - selftests/bpf: Convert udp_limit test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert tcpbpf_user test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert tcp_rtt test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert tcp_hdr_options test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert tcp_estats test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert sockopt_sk test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert sockopt_multi test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert sockopt_inherit test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert sockopt test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert sockmap_ktls test to ASSERT_* macros (Felix Maurer) [2166911] - selftests/bpf: Convert sockmap_basic test to ASSERT_* macros (Felix Maurer) [2166911] - xdp: Adjust xdp_frame layout to avoid using bitfields (Felix Maurer) [2166911] - xdp: improve page_pool xdp_return performance (Felix Maurer) [2166911] - selftests/bpf: Add wait send memory test for sockmap redirect (Felix Maurer) [2166911] - xsk: Inherit need_wakeup flag for shared sockets (Felix Maurer) [2166911] - selftests/bpf: add tests for bpf_ct_set_nat_info kfunc (Felix Maurer) [2166911] - net: netfilter: add bpf_ct_set_nat_info kfunc helper (Felix Maurer) [2166911] - selftests/bpf: Add tests for writing to nf_conn:mark (Felix Maurer) [2166911] - selftests/xsk: Add support for zero copy testing (Felix Maurer) [2166911] - selftests/xsk: Make sure single threaded test terminates (Felix Maurer) [2166911] - selftests/xsk: Add support for executing tests on physical device (Felix Maurer) [2166911] - selftests/xsk: Increase chars for interface name to 16 (Felix Maurer) [2166911] - selftests/xsk: Introduce default Rx pkt stream (Felix Maurer) [2166911] - selftests/xsk: Query for native XDP support (Felix Maurer) [2166911] - selftests/bpf: Amend test_tunnel to exercise BPF_F_TUNINFO_FLAGS (Felix Maurer) [2166911] - bpf: Support getting tunnel flags (Felix Maurer) [2166911] - selftests/xsk: Add missing close() on netns fd (Felix Maurer) [2166911] - xsk: Fix backpressure mechanism on Tx (Felix Maurer) [2166911] - bpf, selftests: Test BPF_FLOW_DISSECTOR_CONTINUE (Felix Maurer) [2166911] - bpf, test_run: Propagate bpf_flow_dissect's retval to user's bpf_attr.test.retval (Felix Maurer) [2166911] - bpf, flow_dissector: Introduce BPF_FLOW_DISSECTOR_CONTINUE retcode for bpf progs (Felix Maurer) [2166911] - flow_dissector: Make 'bpf_flow_dissect' return the bpf program retcode (Felix Maurer) [2166911] - selftest/bpf: Add test for bpf_getsockopt() (Felix Maurer) [2166911] - bpf: Change bpf_getsockopt(SOL_IPV6) to reuse do_ipv6_getsockopt() (Felix Maurer) [2166911] - bpf: Change bpf_getsockopt(SOL_IP) to reuse do_ip_getsockopt() (Felix Maurer) [2166911] - bpf: Change bpf_getsockopt(SOL_TCP) to reuse do_tcp_getsockopt() (Felix Maurer) [2166911] - bpf: Change bpf_getsockopt(SOL_SOCKET) to reuse sk_getsockopt() (Felix Maurer) [2166911] - bpf: Embed kernel CONFIG check into the if statement in bpf_getsockopt (Felix Maurer) [2166911] - bpf: net: Avoid do_ipv6_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911] - bpf: net: Change do_ipv6_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911] - net: Add a len argument to compat_ipv6_get_msfilter() (Felix Maurer) [2166911] - net: Remove unused flags argument from do_ipv6_getsockopt (Felix Maurer) [2166911] - bpf: net: Avoid do_ip_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911] - bpf: net: Change do_ip_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911] - bpf: net: Avoid do_tcp_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911] - bpf: net: Change do_tcp_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911] - bpf: net: Avoid sk_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911] - bpf: net: Change sk_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911] - net: Change sock_getsockopt() to take the sk ptr instead of the sock ptr (Felix Maurer) [2166911] - selftest/bpf: Ensure no module loading in bpf_setsockopt(TCP_CONGESTION) (Felix Maurer) [2166911] - bpf, net: Avoid loading module when calling bpf_setsockopt(TCP_CONGESTION) (Felix Maurer) [2166911] - selftests/bpf: Fix wrong size passed to bpf_setsockopt() (Felix Maurer) [2166911] - selftests/bpf: bpf_setsockopt tests (Felix Maurer) [2166911] - bpf: Add a few optnames to bpf_setsockopt (Felix Maurer) [2166911] - bpf: Change bpf_setsockopt(SOL_IPV6) to reuse do_ipv6_setsockopt() (Felix Maurer) [2166911] - bpf: Change bpf_setsockopt(SOL_IP) to reuse do_ip_setsockopt() (Felix Maurer) [2166911] - bpf: Change bpf_setsockopt(SOL_TCP) to reuse do_tcp_setsockopt() (Felix Maurer) [2166911] - bpf: Refactor bpf specific tcp optnames to a new function (Felix Maurer) [2166911] - bpf: Change bpf_setsockopt(SOL_SOCKET) to reuse sk_setsockopt() (Felix Maurer) [2166911] - bpf: Embed kernel CONFIG check into the if statement in bpf_setsockopt (Felix Maurer) [2166911] - bpf: Initialize the bpf_run_ctx in bpf_iter_run_prog() (Felix Maurer) [2166911] - bpf: net: Change do_ipv6_setsockopt() to use the sockopt's lock_sock() and capable() (Felix Maurer) [2166911] - bpf: net: Change do_ip_setsockopt() to use the sockopt's lock_sock() and capable() (Felix Maurer) [2166911] - bpf: net: Change do_tcp_setsockopt() to use the sockopt's lock_sock() and capable() (Felix Maurer) [2166911] - bpf: net: Consider has_current_bpf_ctx() when testing capable() in sk_setsockopt() (Felix Maurer) [2166911] - bpf: net: Avoid sk_setsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911] - selftests/bpf: Few fixes for selftests/bpf built in release mode (Felix Maurer) [2166911] - selftests/bpf: Add connmark read test (Felix Maurer) [2166911] - selftests/bpf: Add existing connection bpf_*_ct_lookup() test (Felix Maurer) [2166911] - selftests/xsk: Update poll test cases (Felix Maurer) [2166911] - spec: add keyutils to selftest-internal subpackage requirements (Artem Savkov) [2166911] - maccess: Fix writing offset in case of fault in strncpy_from_kernel_nofault() (Artem Savkov) [2166911] - cgroup: add cgroup_v1v2_get_from_[fd/file]() (Artem Savkov) [2166911] - timekeeping: Introduce fast accessor to clock tai (Artem Savkov) [2166911] - treewide: use get_random_u32() when possible (Artem Savkov) [2166911] - treewide: use prandom_u32_max() when possible, part 1 (Artem Savkov) [2166911] - bpf: Allow bpf_user_ringbuf_drain() callbacks to return 1 (Artem Savkov) [2166911] - perf stat: Support old kernels for bperf cgroup counting (Artem Savkov) [2166911] - selftests/bpf: Add tests for dynamic pointers parameters in kfuncs (Artem Savkov) [2166911] - selftests/bpf: Add test for bpf_verify_pkcs7_signature() kfunc (Artem Savkov) [2166911] - bpf: Add bpf_user_ringbuf_drain() helper (Artem Savkov) [2166911] - selftests/bpf: Make bpf_user_ringbuf_drain() selftest callback return 1 (Artem Savkov) [2166911] - selftests/bpf: Add missing bpf_iter_vma_offset__destroy call (Artem Savkov) [2166911] - selftests/bpf: Test parameterized task BPF iterators. (Artem Savkov) [2166911] - selftests/bpf: Simplify cgroup_hierarchical_stats selftest (Artem Savkov) [2166911] - selftests/bpf: Add additional tests for bpf_lookup_*_key() (Artem Savkov) [2166911] - selftests/bpf: Add selftests validating the user ringbuf (Artem Savkov) [2166911] - selftests/bpf: Add tracing_struct test in DENYLIST.s390x (Artem Savkov) [2166911] - bpf: Remove useless else if (Artem Savkov) [2166911] - selftests/bpf: Add test cases for htab update (Artem Savkov) [2166911] - bpf: Add CGROUP prefix to cgroup_iter_order (Artem Savkov) [2166911] - selftests/bpf: add a selftest for cgroup hierarchical stats collection (Artem Savkov) [2166911] - selftests/bpf: Add cb_refs test to s390x deny list (Artem Savkov) [2166911] - selftest/bpf: Add setget_sockopt to DENYLIST.s390x (Artem Savkov) [2166911] - bpf: Move bpf_loop and bpf_for_each_map_elem under CAP_BPF (Artem Savkov) [2166911] - bpf: expose bpf_strtol and bpf_strtoul to all program types (Artem Savkov) [2166911] - bpf: Fix off-by-one error in bpf_mem_cache_idx() (Artem Savkov) [2166911] - bpf: Add dummy type reference to nf_conn___init to fix type deduplication (Artem Savkov) [2166911] - bpf: Prevent decl_tag from being referenced in func_proto arg (Artem Savkov) [2166911] - selftests/bpf: Make test_bench_attach serial (Artem Savkov) [2166911] - selftests/bpf: Filter out default_idle from kprobe_multi bench (Artem Savkov) [2166911] - bpf: Set and check spin lock value in sk_storage_map_test (Artem Savkov) [2166911] - bpf: Do not copy spin lock field from user in bpf_selem_alloc (Artem Savkov) [2166911] - bpf: Pin the start cgroup in cgroup_iter_seq_init() (Artem Savkov) [2166911] - libbpf: Check the validity of size in user_ring_buffer__reserve() (Artem Savkov) [2166911] - libbpf: Handle size overflow for user ringbuf mmap (Artem Savkov) [2166911] - libbpf: Handle size overflow for ringbuf mmap (Artem Savkov) [2166911] - libbpf: Use page size as max_entries when probing ring buffer map (Artem Savkov) [2166911] - bpf, perf: Use subprog name when reporting subprog ksymbol (Artem Savkov) [2166911] - libbpf: Use correct return pointer in attach_raw_tp (Artem Savkov) [2166911] - bpf: Initialize same number of free nodes for each pcpu_freelist (Artem Savkov) [2166911] - selftests: bpf: Add a test when bpf_probe_read_kernel_str() returns EFAULT (Artem Savkov) [2166911] - selftests/bpf: Fix test_progs compilation failure in 32-bit arch (Artem Savkov) [2166911] - bpf: Fix memory leaks in __check_func_call (Artem Savkov) [2166911] - bpf: Add explicit cast to 'void *' for __BPF_DISPATCHER_UPDATE() (Artem Savkov) [2166911] - bpf: Convert BPF_DISPATCHER to use static_call() (not ftrace) (Artem Savkov) [2166911] - bpf: Revert ("Fix dispatcher patchable function entry to 5 bytes nop") (Artem Savkov) [2166911] - bpf, test_run: Fix alignment problem in bpf_prog_test_run_skb() (Artem Savkov) [2166911] - selftests/bpf: Add verifier test for release_reference() (Artem Savkov) [2166911] - bpf: Fix wrong reg type conversion in release_reference() (Artem Savkov) [2166911] - tools/headers: Pull in stddef.h to uapi to fix BPF selftests build in CI (Artem Savkov) [2166911] - net/ipv4: Fix linux/in.h header dependencies (Felix Maurer) [2166911] - bpftool: Fix NULL pointer dereference when pin {PROG, MAP, LINK} without FILE (Artem Savkov) [2166911] - bpf, verifier: Fix memory leak in array reallocation for stack state (Artem Savkov) [2166911] - bpf: Use __llist_del_all() whenever possbile during memory draining (Artem Savkov) [2166911] - bpf: Wait for busy refill_work when destroying bpf memory allocator (Artem Savkov) [2166911] - selftests/bpf: fix missing BPF object files (Artem Savkov) [2166911] - bpf: Fix dispatcher patchable function entry to 5 bytes nop (Artem Savkov) [2166911] - bpf: prevent decl_tag from being referenced in func_proto (Artem Savkov) [2166911] - selftests/bpf: Add reproducer for decl_tag in func_proto return type (Artem Savkov) [2166911] - bpf: cgroup_iter: support cgroup1 using cgroup fd (Artem Savkov) [2166911] - bpf: kmsan: initialize BPF registers with zeroes (Artem Savkov) [2166911] - Documentation: bpf: Add implementation notes documentations to table of contents (Artem Savkov) [2166911] - bpf, docs: Delete misformatted table. (Artem Savkov) [2166911] - bpftool: Fix error message of strerror (Artem Savkov) [2166911] - selftests/bpf: Fix spelling mistake "unpriviledged" -> "unprivileged" (Artem Savkov) [2166911] - bpftool: Remove unused struct event_ring_info (Artem Savkov) [2166911] - bpftool: Remove unused struct btf_attach_point (Artem Savkov) [2166911] - bpf, docs: Add TOC and fix formatting. (Artem Savkov) [2166911] - bpf, docs: Add Clang note about BPF_ALU (Artem Savkov) [2166911] - bpf, docs: Move Clang notes to a separate file (Artem Savkov) [2166911] - bpf, docs: Linux byteswap note (Artem Savkov) [2166911] - bpf, docs: Move legacy packet instructions to a separate file (Artem Savkov) [2166911] - bpftool: Show parameters of BPF task iterators. (Artem Savkov) [2166911] - bpf: Handle show_fdinfo for the parameterized task BPF iterators (Artem Savkov) [2166911] - bpf: Handle bpf_link_info for the parameterized task BPF iterators. (Artem Savkov) [2166911] - bpf: Parameterize task iterators. (Artem Savkov) [2166911] - libbpf: Fix the case of running as non-root with capabilities (Artem Savkov) [2166911] - bpf: Enforce W^X for bpf trampoline (Artem Savkov) [2166911] - bpf: use bpf_prog_pack for bpf_dispatcher (Artem Savkov) [2166911] - selftests/bpf: Fix get_func_ip offset test for CONFIG_X86_KERNEL_IBT (Artem Savkov) [2166911] - bpf: Return value in kprobe get_func_ip only for entry address (Artem Savkov) [2166911] - bpf: Adjust kprobe_multi entry_ip for CONFIG_X86_KERNEL_IBT (Artem Savkov) [2166911] - bpf: Use given function address for trampoline ip arg (Artem Savkov) [2166911] - ftrace: Keep the resolved addr in kallsyms_callback (Artem Savkov) [2166911] - kprobes: Add new KPROBE_FLAG_ON_FUNC_ENTRY kprobe flag (Artem Savkov) [2166911] - bpf: remove VMA linked list (Artem Savkov) [2166911] - selftests/bpf: allow to adjust BPF verifier log level in veristat (Artem Savkov) [2166911] - selftests/bpf: emit processing progress and add quiet mode to veristat (Artem Savkov) [2166911] - selftests/bpf: make veristat skip non-BPF and failing-to-open BPF objects (Artem Savkov) [2166911] - selftests/bpf: make veristat's verifier log parsing faster and more robust (Artem Savkov) [2166911] - selftests/bpf: add sign-file to .gitignore (Artem Savkov) [2166911] - libbpf: Add pathname_concat() helper (Artem Savkov) [2166911] - selftests/bpf: Free the allocated resources after test case succeeds (Artem Savkov) [2166911] - selftests/bpf: Destroy the skeleton when CONFIG_PREEMPT is off (Artem Savkov) [2166911] - selftests/bpf: add ability to filter programs in veristat (Artem Savkov) [2166911] - selftests/bpf: add comparison mode to veristat (Artem Savkov) [2166911] - selftests/bpf: add CSV output mode for veristat (Artem Savkov) [2166911] - selftests/bpf: fix double bpf_object__close() in veristate (Artem Savkov) [2166911] - bpf: Tweak definition of KF_TRUSTED_ARGS (Artem Savkov) [2166911] - bpf: Always use raw spinlock for hash bucket lock (Artem Savkov) [2166911] - selftests/bpf: Add verifier tests for bpf_lookup_*_key() and bpf_key_put() (Artem Savkov) [2166911] - selftests/bpf: Compile kernel with everything as built-in (Artem Savkov) [2166911] - bpf: Add bpf_verify_pkcs7_signature() kfunc (Artem Savkov) [2166911] - bpf: Add bpf_lookup_*_key() and bpf_key_put() kfuncs (Artem Savkov) [2166911] - KEYS: Move KEY_LOOKUP_ to include/linux/key.h and define KEY_LOOKUP_ALL (Artem Savkov) [2166911] - bpf: Export bpf_dynptr_get_size() (Artem Savkov) [2166911] - btf: Allow dynamic pointer parameters in kfuncs (Artem Savkov) [2166911] - bpf: Move dynptr type check to is_dynptr_type_expected() (Artem Savkov) [2166911] - bpf: Allow kfuncs to be used in LSM programs (Artem Savkov) [2166911] - libbpf: Support raw BTF placed in the default search path (Artem Savkov) [2166911] - libbpf: Improve BPF_PROG2 macro code quality and description (Artem Savkov) [2166911] - bpf: Add libbpf logic for user-space ring buffer (Artem Savkov) [2166911] - bpf: Define new BPF_MAP_TYPE_USER_RINGBUF map type (Artem Savkov) [2166911] - bpf: simplify code in btf_parse_hdr (Artem Savkov) [2166911] - libbpf: Fix NULL pointer exception in API btf_dump__dump_type_data (Artem Savkov) [2166911] - samples/bpf: Replace blk_account_io_done() with __blk_account_io_done() (Artem Savkov) [2166911] - bpf: Move nf_conn extern declarations to filter.h (Artem Savkov) [2166911] - bpf: Rename nfct_bsa to nfct_btf_struct_access (Artem Savkov) [2166911] - bpf: Remove unused btf_struct_access stub (Artem Savkov) [2166911] - bpf: Check whether or not node is NULL before free it in free_bulk (Artem Savkov) [2166911] - selftests/bpf: Add test result messages for test_task_storage_map_stress_lookup (Artem Savkov) [2166911] - bpf/btf: Use btf_type_str() whenever possible (Artem Savkov) [2166911] - bpf: use kvmemdup_bpfptr helper (Artem Savkov) [2166911] - libbpf: Clean up legacy bpf maps declaration in bpf_helpers (Artem Savkov) [2166911] - selftests/bpf: Add veristat tool for mass-verifying BPF object files (Artem Savkov) [2166911] - libbpf: Fix crash if SEC("freplace") programs don't have attach_prog_fd set (Artem Savkov) [2166911] - selftests/bpf: Fix test_verif_scale{1,3} SEC() annotations (Artem Savkov) [2166911] - bpf: Move bpf_dispatcher function out of ftrace locations (Artem Savkov) [2166911] - ftrace: Add HAVE_DYNAMIC_FTRACE_NO_PATCHABLE (Artem Savkov) [2166911] - bpf: Ensure correct locking around vulnerable function find_vpid() (Artem Savkov) [2166911] - bpf: Add verifier support for custom callback return range (Artem Savkov) [2166911] - bpf: btf: fix truncated last_member_type_id in btf_struct_resolve (Artem Savkov) [2166911] - bpf: Add support for writing to nf_conn:mark (Artem Savkov) [2166911] - bpf: Export btf_type_by_id() and bpf_log() (Artem Savkov) [2166911] - bpf: Use 0 instead of NOT_INIT for btf_struct_access() writes (Artem Savkov) [2166911] - bpf: Add stub for btf_struct_access() (Artem Savkov) [2166911] - bpf: Remove duplicate PTR_TO_BTF_ID RO check (Artem Savkov) [2166911] - bpf: Simplify code by using for_each_cpu_wrap() (Artem Savkov) [2166911] - bpf: add missing percpu_counter_destroy() in htab_map_alloc() (Artem Savkov) [2166911] - selftests/bpf: Ensure cgroup/connect{4,6} programs can bind unpriv ICMP ping (Artem Savkov) [2166911] - selftests/bpf: Deduplicate write_sysctl() to test_progs.c (Artem Savkov) [2166911] - libbpf: Remove gcc support for bpf_tail_call_static for now (Artem Savkov) [2166911] - bpf: Add helper macro bpf_for_each_reg_in_vstate (Artem Savkov) [2166911] - bpf: Support kptrs in percpu arraymap (Artem Savkov) [2166911] - selftests/bpf: Add tests for kfunc returning a memory pointer (Artem Savkov) [2166911] - bpf/verifier: allow kfunc to return an allocated mem (Artem Savkov) [2166911] - bpf/btf: bump BTF_KFUNC_SET_MAX_CNT (Artem Savkov) [2166911] - selftests/bpf: add test for accessing ctx from syscall program type (Artem Savkov) [2166911] - bpf/verifier: allow all functions to read user provided context (Artem Savkov) [2166911] - bpf: split btf_check_subprog_arg_match in two (Artem Savkov) [2166911] - selftests/bpf: regroup and declare similar kfuncs selftests in an array (Artem Savkov) [2166911] - selftests/bpf: Use BPF_PROG2 for some fentry programs without struct arguments (Artem Savkov) [2166911] - selftests/bpf: Add struct argument tests with fentry/fexit programs. (Artem Savkov) [2166911] - libbpf: Add new BPF_PROG2 macro (Artem Savkov) [2166911] - bpf: arm64: No support of struct argument in trampoline programs (Artem Savkov) [2166911] - bpf: Update descriptions for helpers bpf_get_func_arg[_cnt]() (Artem Savkov) [2166911] - bpf: x86: Support in-register struct arguments in trampoline programs (Artem Savkov) [2166911] - bpf: Allow struct argument in trampoline based programs (Artem Savkov) [2166911] - bpf: Replace __ksize with ksize. (Artem Savkov) [2166911] - bpf: Optimize rcu_barrier usage between hash map and bpf_mem_alloc. (Artem Savkov) [2166911] - bpf: Remove usage of kmem_cache from bpf_mem_cache. (Artem Savkov) [2166911] - bpf: Remove prealloc-only restriction for sleepable bpf programs. (Artem Savkov) [2166911] - bpf: Prepare bpf_mem_alloc to be used by sleepable bpf programs. (Artem Savkov) [2166911] - bpf: Remove tracing program restriction on map types (Artem Savkov) [2166911] - bpf: Convert percpu hash map to per-cpu bpf_mem_alloc. (Artem Savkov) [2166911] - bpf: Add percpu allocation support to bpf_mem_alloc. (Artem Savkov) [2166911] - bpf: Batch call_rcu callbacks instead of SLAB_TYPESAFE_BY_RCU. (Artem Savkov) [2166911] - bpf: Adjust low/high watermarks in bpf_mem_cache (Artem Savkov) [2166911] - bpf: Optimize call_rcu in non-preallocated hash map. (Artem Savkov) [2166911] - bpf: Optimize element count in non-preallocated hash map. (Artem Savkov) [2166911] - bpf: Relax the requirement to use preallocated hash maps in tracing progs. (Artem Savkov) [2166911] - samples/bpf: Reduce syscall overhead in map_perf_test. (Artem Savkov) [2166911] - selftests/bpf: Improve test coverage of test_maps (Artem Savkov) [2166911] - bpf: Convert hash map to bpf_mem_alloc. (Artem Savkov) [2166911] - bpf: Introduce any context BPF specific memory allocator. (Artem Savkov) [2166911] - selftests/bpf: Store BPF object files with .bpf.o extension (Artem Savkov) [2166911] - selftests/bpf: Test concurrent updates on bpf_task_storage_busy (Artem Savkov) [2166911] - selftests/bpf: Move sys_pidfd_open() into task_local_storage_helpers.h (Artem Savkov) [2166911] - bpf: Use this_cpu_{inc_return|dec} for prog->active (Artem Savkov) [2166911] - bpf: Use this_cpu_{inc|dec|inc_return} for bpf_task_storage_busy (Artem Savkov) [2166911] - bpf: Propagate error from htab_lock_bucket() to userspace (Artem Savkov) [2166911] - bpf: Disable preemption when increasing per-cpu map_locked (Artem Savkov) [2166911] - libbpf: Add GCC support for bpf_tail_call_static (Artem Savkov) [2166911] - bpftool: Add support for querying cgroup_iter link (Artem Savkov) [2166911] - selftests/bpf: Fix connect4_prog tcp/socket header type conflict (Artem Savkov) [2166911] - selftests/bpf: Fix bind{4,6} tcp/socket header type conflict (Artem Savkov) [2166911] - bpf: Fix a few typos in BPF helpers documentation (Artem Savkov) [2166911] - selftests/bpf: Declare subprog_noise as static in tailcall_bpf2bpf4 (Artem Savkov) [2166911] - selftests/bpf: fix type conflict in test_tc_dtime (Artem Savkov) [2166911] - libbpf: add map_get_fd_by_id and map_delete_elem in light skeleton (Artem Savkov) [2166911] - bpf: prepare for more bpf syscall to be used from kernel and user space. (Artem Savkov) [2166911] - bpf/scripts: Assert helper enum value is aligned with comment order (Artem Savkov) [2166911] - bpftool: Fix a wrong type cast in btf_dumper_int (Artem Savkov) [2166911] - selftests/bpf: extend cgroup helpers (Artem Savkov) [2166911] - cgroup: bpf: enable bpf programs to integrate with rstat (Artem Savkov) [2166911] - selftests/bpf: Test cgroup_iter. (Artem Savkov) [2166911] - bpf: Introduce cgroup iter (Artem Savkov) [2166911] - selftests/bpf: Add tests for reference state fixes for callbacks (Artem Savkov) [2166911] - bpf: Fix reference state management for synchronous callbacks (Artem Savkov) [2166911] - selftests/bpf: Make sure bpf_{g,s}et_retval is exposed everywhere (Artem Savkov) [2166911] - bpf: update bpf_{g,s}et_retval documentation (Artem Savkov) [2166911] - bpf: Use cgroup_{common,current}_func_proto in more hooks (Artem Savkov) [2166911] - bpf: Introduce cgroup_{common,current}_func_proto (Artem Savkov) [2166911] - scripts/bpf: Set date attribute for bpf-helpers(7) man page (Artem Savkov) [2166911] - scripts/bpf: Set version attribute for bpf-helpers(7) man page (Artem Savkov) [2166911] - selftests/bpf: Fix spelling mistake. (Artem Savkov) [2166911] - selftests/bpf: Tests libbpf autoattach APIs (Artem Savkov) [2166911] - libbpf: Allows disabling auto attach (Artem Savkov) [2166911] - libbpf: Clean up deprecated and legacy aliases (Artem Savkov) [2166911] - libbpf: Streamline bpf_attr and perf_event_attr initialization (Artem Savkov) [2166911] - libbpf: Fix potential NULL dereference when parsing ELF (Artem Savkov) [2166911] - selftests/bpf: Fix attach point for non-x86 arches in test_progs/lsm (Artem Savkov) [2166911] - libbpf: Making bpf_prog_load() ignore name if kernel doesn't support (Artem Savkov) [2166911] - selftests/bpf: Update CI kconfig (Artem Savkov) [2166911] - bpftool: Clear errno after libcap's checks (Artem Savkov) [2166911] - bpf: Clear up confusion in bpf_skb_adjust_room()'s documentation (Artem Savkov) [2166911] - bpftool: Fix a typo in a comment (Artem Savkov) [2166911] - libbpf: Add names for auxiliary maps (Artem Savkov) [2166911] - bpf: Use bpf_map_area_alloc consistently on bpf map creation (Artem Savkov) [2166911] - bpf: Make __GFP_NOWARN consistent in bpf map creation (Artem Savkov) [2166911] - bpf: Use bpf_map_area_free instread of kvfree (Artem Savkov) [2166911] - bpf: Remove unneeded memset in queue_stack_map creation (Artem Savkov) [2166911] - libbpf: preserve errno across pr_warn/pr_info/pr_debug (Artem Savkov) [2166911] - selftests/bpf: add destructive kfunc test (Artem Savkov) [2166911] - bpf: export crash_kexec() as destructive kfunc (Artem Savkov) [2166911] - bpf: add destructive kfunc flag (Artem Savkov) [2166911] - selftests/bpf: add extra test for using dynptr data slice after release (Artem Savkov) [2166911] - bpf: Always return corresponding btf_type in __get_type_size() (Artem Savkov) [2166911] - selftests/bpf: Add BPF-helper test for CLOCK_TAI access (Artem Savkov) [2166911] - bpf: Add BPF-helper for accessing CLOCK_TAI (Artem Savkov) [2166911] - bpf, iter: Fix the condition on p when calling stop. (Artem Savkov) [2166911] - btf: Add a new kfunc flag which allows to mark a function to be sleepable (Artem Savkov) [2166911] - selftests/bpf: Fix vmtest.sh getopts optstring (Artem Savkov) [2166911] - selftests/bpf: Fix vmtest.sh -h to not require root (Artem Savkov) [2166911] - bpf: Improve docstring for BPF_F_USER_BUILD_ID flag (Artem Savkov) [2166911] - libbpf: Do not require executable permission for shared libraries (Artem Savkov) [2166911] - bpf: Verifier cleanups (Artem Savkov) [2166911] - libbpf: Reject legacy 'maps' ELF section (Artem Savkov) [2166911] - selftests/bpf: Clean up sys_nanosleep uses (Artem Savkov) [2166911] - libbpf: Ensure functions with always_inline attribute are inline (Artem Savkov) [2166911] - bpftool: Remove BPF_OBJ_NAME_LEN restriction when looking up bpf program by name (Artem Savkov) [2166911] - libbpf: Skip empty sections in bpf_object__init_global_data_maps (Artem Savkov) [2166911] - RHEL-only: Build CXL code as modules (Jeff Moyer) [2135998] - x86/i8259: Mark legacy PIC interrupts with IRQ_LEVEL (Baoquan He) [2116317] - Revert "PCI/ASPM: Refactor L1 PM Substates Control Register programming" (Myron Stowe) [2166398] - Revert "PCI/ASPM: Save L1 PM Substates Capability for suspend/resume" (Myron Stowe) [2166398] - x86/pci: Treat EfiMemoryMappedIO as reservation of ECAM space (Myron Stowe) [2166398] - x86/pci: Simplify is_mmconf_reserved() messages (Myron Stowe) [2166398] - PCI: Drop of_match_ptr() to avoid unused variables (Myron Stowe) [2166398] - PCI: Remove unnecessary <linux/of_irq.h> includes (Myron Stowe) [2166398] - PCI: xgene-msi: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398] - PCI: mvebu: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398] - PCI: microchip: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398] - PCI: altera-msi: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398] - PCI: xilinx-nwl: Fix coding style violations (Myron Stowe) [2166398] - PCI: mvebu: Switch to using gpiod API (Myron Stowe) [2166398] - PCI: aardvark: Switch to using devm_gpiod_get_optional() (Myron Stowe) [2166398] - PCI: pci-epf-test: Register notifier if only core_init_notifier is enabled (Myron Stowe) [2166398] - PCI: mt7621: Add sentinel to quirks table (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: Fix sparse ntb->reg build warning (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: Fix sparse build warning for epf_db (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: Replace hardcoded 4 with sizeof(u32) (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: Remove unused epf_db_phy struct member (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: Fix call pci_epc_mem_free_addr() in error path (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: Fix struct epf_ntb_ctrl indentation (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: Clean up kernel_doc warning (Myron Stowe) [2166398] - PCI: endpoint: Fix WARN() when an endpoint driver is removed (Myron Stowe) [2166398] - PCI: dwc: Add Baikal-T1 PCIe controller support (Myron Stowe) [2166398] - PCI: dwc: Introduce generic platform clocks and resets (Myron Stowe) [2166398] - PCI: dwc: Combine iATU detection procedures (Myron Stowe) [2166398] - PCI: dwc: Introduce generic resources getter (Myron Stowe) [2166398] - PCI: dwc: Introduce generic controller capabilities interface (Myron Stowe) [2166398] - PCI: dwc: Introduce dma-ranges property support for RC-host (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add Baikal-T1 PCIe Root Port bindings (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Apply common schema to Rockchip DW PCIe nodes (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add dma-coherent property (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add clocks/resets common properties (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add reg/reg-names common properties (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add interrupts/interrupt-names common properties (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add max-functions EP property (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Apply generic schema for generic device only (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add max-link-speed common property (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Add phys/phy-names common properties (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Remove bus node from the examples (Myron Stowe) [2166398] - dt-bindings: PCI: dwc: Detach common RP/EP DT bindings (Myron Stowe) [2166398] - dt-bindings: visconti-pcie: Fix interrupts array max constraints (Myron Stowe) [2166398] - dt-bindings: imx6q-pcie: Fix clock names for imx6sx and imx8mq (Myron Stowe) [2166398] - PCI: histb: Switch to using gpiod API (Myron Stowe) [2166398] - PCI: imx6: Initialize PHY before deasserting core reset (Myron Stowe) [2166398] - PCI: dwc: Use dev_info for PCIe link down event logging (Myron Stowe) [2166398] - PCI: designware-ep: Disable PTM capabilities for EP mode (Myron Stowe) [2166398] - PCI: Add PCI_PTM_CAP_RES macro (Myron Stowe) [2166398] - PCI: dwc: Fix n_fts[] array overrun (Myron Stowe) [2166398] - PCI: brcmstb: Set RCB_{MPS,64B}_MODE bits (Myron Stowe) [2166398] - PCI: brcmstb: Drop needless 'inline' annotations (Myron Stowe) [2166398] - PCI: brcmstb: Replace status loops with read_poll_timeout_atomic() (Myron Stowe) [2166398] - PCI: brcmstb: Wait for 100ms following PERST# deassert (Myron Stowe) [2166398] - PCI: brcmstb: Enable Multi-MSI (Myron Stowe) [2166398] - dt-bindings: PCI: mediatek-gen3: add support for mt7986 (Myron Stowe) [2166398] - dt-bindings: PCI: mediatek-gen3: add SoC based clock config (Myron Stowe) [2166398] - dt-bindings: PCI: Add host mode device-id for j721s2 platform (Myron Stowe) [2166398] - dt-bindings: PCI: mediatek-gen3: Support mt8195 (Myron Stowe) [2166398] - dt-bindings: PCI: ti,j721e-pci-*: Add missing interrupt properties (Myron Stowe) [2166398] - dt-bindings: PCI: ti,j721e-pci-host: add interrupt controller definition (Myron Stowe) [2166398] - PCI/sysfs: Fix double free in error path (Myron Stowe) [2166398] - x86/PCI: Use pr_info() when possible (Myron Stowe) [2166398] - x86/PCI: Fix log message typo (Myron Stowe) [2166398] - x86/PCI: Tidy E820 removal messages (Myron Stowe) [2166398] - PCI: Skip allocate_resource() if too little space available (Myron Stowe) [2166398] - efi/x86: Remove EfiMemoryMappedIO from E820 map (Myron Stowe) [2166398] - PCI/portdrv: Allow AER service only for Root Ports & RCECs (Myron Stowe) [2166398] - PCI/portdrv: Unexport pcie_port_service_register(), pcie_port_service_unregister() (Myron Stowe) [2166398] - PCI/portdrv: Move private things to portdrv.c (Myron Stowe) [2166398] - PCI/portdrv: Squash into portdrv.c (Myron Stowe) [2166398] - agp/via: Update to DEFINE_SIMPLE_DEV_PM_OPS() (Myron Stowe) [2166398] - agp/sis: Update to DEFINE_SIMPLE_DEV_PM_OPS() (Myron Stowe) [2166398] - agp/amd64: Update to DEFINE_SIMPLE_DEV_PM_OPS() (Myron Stowe) [2166398] - agp/nvidia: Convert to generic power management (Myron Stowe) [2166398] - agp/ati: Convert to generic power management (Myron Stowe) [2166398] - agp/amd-k7: Convert to generic power management (Myron Stowe) [2166398] - agp/intel: Convert to generic power management (Myron Stowe) [2166398] - agp/efficeon: Convert to generic power management (Myron Stowe) [2166398] - PCI/PM: Remove unused 'state' parameter to pci_legacy_suspend_late() (Myron Stowe) [2166398] - PCI/ACPI: Use METHOD_NAME__UID instead of plain string (Myron Stowe) [2166398] - PCI: pciehp: Enable Command Completed Interrupt only if supported (Myron Stowe) [2166398] - PCI: shpchp: Remove unused get_mode1_ECC_cap callback (Myron Stowe) [2166398] - PCI: acpiphp: Avoid setting is_hotplug_bridge for PCIe Upstream Ports (Myron Stowe) [2166398] - PCI/portdrv: Set PCIE_PORT_SERVICE_HP for Root and Downstream Ports only (Myron Stowe) [2166398] - PCI: pciehp: Enable by default if USB4 enabled (Myron Stowe) [2166398] - PCI: Check for alloc failure in pci_request_irq() (Myron Stowe) [2166398] - PCI: Fix pci_device_is_present() for VFs by checking PF (Myron Stowe) [2166398] - PCI: Assign PCI domain IDs by ida_alloc() (Myron Stowe) [2166398] - Revert "PCI: Clear PCI_STATUS when setting up device" (Myron Stowe) [2166398] - PCI: Access Link 2 registers only for devices with Links (Myron Stowe) [2166398] - PCI/DOE: Fix maximum data object length miscalculation (Myron Stowe) [2166398] - PCI: Allow drivers to request exclusive config regions (Myron Stowe) [2166398] - NTB: EPF: Tidy up some bounds checks (Myron Stowe) [2166398] - NTB: EPF: Fix error code in epf_ntb_bind() (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: reduce several globals to statics (Myron Stowe) [2166398] - PCI: endpoint: pci-epf-vntb: fix error handle in epf_ntb_mw_bar_init() (Myron Stowe) [2166398] - PCI: endpoint: Fix Kconfig dependency (Myron Stowe) [2166398] - NTB: EPF: set pointer addr to null using NULL rather than 0 (Myron Stowe) [2166398] - Documentation: PCI: Add specification for the PCI vNTB function device (Myron Stowe) [2166398] - PCI: endpoint: Support NTB transfer between RC and EP (Myron Stowe) [2166398] - NTB: epf: Allow more flexibility in the memory BAR map method (Myron Stowe) [2166398] - PCI: designware-ep: Allow pci_epc_set_bar() update inbound map address (Myron Stowe) [2166398] - dt-bindings: PCI: fsl,imx6q-pcie: Add missing type for 'reset-gpio-active-high' (Myron Stowe) [2166398] - PCI/DOE: Add DOE mailbox support functions (Myron Stowe) [2166398] - PCI: Use driver_set_override() instead of open-coding (Myron Stowe) [2166398] - dt-bindings: imx6q-pcie: Add iMX8MP PCIe compatible string (Myron Stowe) [2166398] - dt-bindings: imx6q-pcie: Add iMX8MM PCIe compatible string (Myron Stowe) [2166398] - dt-bindings: PCI: snps,dw-pcie-ep: Drop conflicting 'max-functions' schema (Myron Stowe) [2166398] - dt-bindings: imx6q-pcie: Add PHY phandles and name properties (Myron Stowe) [2166398] - PCI/sysfs: use NUMA_NO_NODE macro (Myron Stowe) [2166398] - dt-bindings: Drop more redundant 'maxItems/minItems' (Myron Stowe) [2166398] - dt-bindings: PCI: imx6: convert the imx pcie controller to dtschema (Myron Stowe) [2166398] - sysfs: Rename struct bin_attribute member to f_mapping (Myron Stowe) [2166398] - sysfs: Invoke iomem_get_mapping() from the sysfs open callback (Myron Stowe) [2166398] - powerpc/eeh: Set channel state after notifying the drivers (Steve Best) [2174364] Resolves: rhbz#2116317, rhbz#2135998, rhbz#2166398, rhbz#2166911, rhbz#2174364, rhbz#2181172 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-27 12:28:32 +00:00
CONFIG_CXL_ACPI=m
CONFIG_CXL_BUS=m
CONFIG_CXLFLASH=m
CONFIG_CXL=m
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_CXL_MEM=m
# CONFIG_CXL_MEM_RAW_COMMANDS is not set
kernel-5.14.0-204.el9 * Wed Nov 30 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-204.el9] - Documentation/admin-guide: Document nomodeset kernel parameter (Karol Herbst) [2143841] - drm: Move nomodeset kernel parameter to the DRM subsystem (Karol Herbst) [2143841] - Add rtla subpackage (John Kacur) [2075216] - dt-bindings: mmc: PL18x stop relying on order of dma-names (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add i.MX8DXL compatible string (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Update compatible fallbacks (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add imx93 compatible string (Steve Best) [2137969] - dt-bindings: mmc: Add missing properties used in examples (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: add i.MXRT compatible string (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add imx8ulp compatible string (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: change the pinctrl-names rule (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: add a new compatible string (Steve Best) [2137969] - MAINTAINERS: Update Kishon's email address in PCI endpoint subsystem (Myron Stowe) [2135902] - MAINTAINERS: Add Vignesh Raghavendra as maintainer of TI DRA7XX/J721E PCI driver (Myron Stowe) [2135902] - MAINTAINERS: Add Mahesh J Salgaonkar as EEH maintainer (Myron Stowe) [2135902] - MAINTAINERS: Add Manivannan Sadhasivam as PCI Endpoint reviewer (Myron Stowe) [2135902] - PCI/P2PDMA: Use for_each_pci_dev() helper (Myron Stowe) [2135902] - PCI: mt7621: Use PCI_CONF1_EXT_ADDRESS() macro (Myron Stowe) [2135902] - PCI: ftpci100: Use PCI_CONF1_ADDRESS() macro (Myron Stowe) [2135902] - PCI: Add standard PCI Config Address macros (Myron Stowe) [2135902] - PCI: qcom-ep: Check platform_get_resource_byname() return value (Myron Stowe) [2135902] - PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Define clocks per platform (Myron Stowe) [2135902] - PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902] - PCI: qcom-ep: Disable Master AXI Clock when there is no PCIe traffic (Myron Stowe) [2135902] - PCI: qcom-ep: Gate Master AXI clock to MHI bus during L1SS (Myron Stowe) [2135902] - PCI: qcom-ep: Expose link transition counts via debugfs (Myron Stowe) [2135902] - PCI: qcom-ep: Disable IRQs during driver remove (Myron Stowe) [2135902] - PCI: qcom-ep: Make use of the cached dev pointer (Myron Stowe) [2135902] - PCI: qcom-ep: Rely on the clocks supplied by devicetree (Myron Stowe) [2135902] - PCI: qcom-ep: Add kernel-doc for qcom_pcie_ep structure (Myron Stowe) [2135902] - PCI: qcom: Rename host-init error label (Myron Stowe) [2135902] - PCI: qcom: Drop unused post_deinit callback (Myron Stowe) [2135902] - PCI: qcom-ep: Add MODULE_DEVICE_TABLE (Myron Stowe) [2135902] - PCI: qcom: Sort device-id table (Myron Stowe) [2135902] - PCI: qcom: Clean up IP configurations (Myron Stowe) [2135902] - PCI: qcom: Make all optional clocks optional (Myron Stowe) [2135902] - PCI: qcom: Add support for SA8540P (Myron Stowe) [2135902] - PCI: qcom: Add support for SC8280XP (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Add SA8540P to binding (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Add SC8280XP to binding (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Enumerate platforms with single msi interrupt (Myron Stowe) [2135902] - PCI: mvebu: Fix endianness when accessing PCI emul bridge members (Myron Stowe) [2135902] - PCI: mediatek-gen3: Change driver name to mtk-pcie-gen3 (Myron Stowe) [2135902] - phy: freescale: imx8m-pcie: Fix the wrong order of phy_init() and phy_power_on() (Myron Stowe) [2135902] - PCI: imx6: Add i.MX8MP PCIe support (Myron Stowe) [2135902] - PCI: dwc: Replace of_gpio_named_count() by gpiod_count() (Myron Stowe) [2135902] - PCI: dwc: Drop dependency on ZONE_DMA32 (Myron Stowe) [2135902] - dt-bindings: pci: QCOM Add missing sc7280 aggre0, aggre1 clocks (Myron Stowe) [2135902] - dt-bindings: PCI: microchip,pcie-host: fix missing dma-ranges (Myron Stowe) [2135902] - dt-bindings: PCI: microchip,pcie-host: fix missing clocks properties (Myron Stowe) [2135902] - dt-bindings: PCI: mediatek-gen3: Add support for MT8188 and MT8195 (Myron Stowe) [2135902] - PCI: pci-bridge-emul: Set position of PCI capabilities to real HW value (Myron Stowe) [2135902] - PCI: aardvark: Add support for PCI Bridge Subsystem Vendor ID on emulated bridge (Myron Stowe) [2135902] - PCI: Sanitise firmware BAR assignments behind a PCI-PCI bridge (Myron Stowe) [2135902] - PCI: Fix typo in pci_scan_child_bus_extend() (Myron Stowe) [2135902] - PCI: Fix whitespace and indentation (Myron Stowe) [2135902] - PCI: Move pci_assign_unassigned_root_bus_resources() (Myron Stowe) [2135902] - PCI: Pass available buses even if the bridge is already configured (Myron Stowe) [2135902] - PCI: Fix used_buses calculation in pci_scan_child_bus_extend() (Myron Stowe) [2135902] - PCI: Expose PCIe Resizable BAR support via sysfs (Myron Stowe) [2135902] - PCI/PM: Reduce D3hot delay with usleep_range() (Myron Stowe) [2135902] - PCI/PM: Simplify pci_pm_suspend_noirq() (Myron Stowe) [2135902] - PCI/PM: Always disable PTM for all devices during suspend (Myron Stowe) [2135902] - PCI/PTM: Consolidate PTM interface declarations (Myron Stowe) [2135902] - PCI/PTM: Reorder functions in logical order (Myron Stowe) [2135902] - PCI/PTM: Preserve RsvdP bits in PTM Control register (Myron Stowe) [2135902] - PCI/PTM: Move pci_ptm_info() body into its only caller (Myron Stowe) [2135902] - PCI/PTM: Add pci_suspend_ptm() and pci_resume_ptm() (Myron Stowe) [2135902] - PCI/PTM: Separate configuration and enable (Myron Stowe) [2135902] - PCI/PTM: Add pci_upstream_ptm() helper (Myron Stowe) [2135902] - PCI/PTM: Cache PTM Capability offset (Myron Stowe) [2135902] - PCI/DPC: Quirk PIO log size for certain Intel Root Ports (Myron Stowe) [2135902] - PCI/ASPM: Correct LTR_L1.2_THRESHOLD computation (Myron Stowe) [2135902] - PCI/ASPM: Ignore L1 PM Substates if device lacks capability (Myron Stowe) [2135902] - PCI/ASPM: Factor out L1 PM Substates configuration (Myron Stowe) [2135902] - PCI/ASPM: Save L1 PM Substates Capability for suspend/resume (Myron Stowe) [2135902] - PCI/ASPM: Refactor L1 PM Substates Control Register programming (Myron Stowe) [2135902] - drm: Implement DRM aperture helpers under video/ (Myron Stowe) [2135902] - MAINTAINERS: Broaden scope of simpledrm entry (Myron Stowe) [2135902] - MAINTAINERS: Add simpledrm driver co-maintainer (Myron Stowe) [2135902] - PCI: qcom: Remove ddrss_sf_tbu clock from SC8180X (Myron Stowe) [2135902] - ARM: 9100/1: MAINTAINERS: mark all linux-arm-kernel@infradead list as moderated (Myron Stowe) [2135902] - PM: core: Remove static qualifier in DEFINE_SIMPLE_DEV_PM_OPS macro (Íñigo Huguet) [2147541] - x86/ftrace: remove return_to_handler SYM_FUNC_END macro (Joe Lawrence) [2144901] - dt-bindings: pinctrl: imx93: Add pinctrl binding (Steve Best) [2137921] - pinctrl: imx93: Add MODULE_DEVICE_TABLE() (Steve Best) [2137921] - ACPI: NUMA: Add CXL CFMWS 'nodes' to the possible nodes set (John W. Linville) [2107254] - tools/testing/cxl: Fix decoder default state (John W. Linville) [2107254] - cxl/mbox: Use __le32 in get,set_lsa mailbox structures (John W. Linville) [2107254] - cxl: Fix cleanup of port devices on failure to probe driver. (John W. Linville) [2107254] - cxl/mem: Drop mem_enabled check from wait_for_media() (John W. Linville) [2107254] - cxl/pci: Make cxl_dvsec_ranges() failure not fatal to cxl_pci (John W. Linville) [2107254] - rehdat/configs: set missing options relevant to CXL update (John W. Linville) [2107254] - cxl/pci: Drop shadowed variable (John W. Linville) [2107254] - cxl/core/port: Fix NULL but dereferenced coccicheck error (John W. Linville) [2107254] - cxl/port: Hold port reference until decoder release (John W. Linville) [2107254] - cxl/port: Fix endpoint refcount leak (John W. Linville) [2107254] - cxl/core: Fix cxl_device_lock() class detection (John W. Linville) [2107254] - cxl/core/port: Fix unregister_port() lock assertion (John W. Linville) [2107254] - cxl/regs: Fix size of CXL Capability Header Register (John W. Linville) [2107254] - cxl/core/port: Handle invalid decoders (John W. Linville) [2107254] - cxl/core/port: Fix / relax decoder target enumeration (John W. Linville) [2107254] - tools/testing/cxl: Add a physical_node link (John W. Linville) [2107254] - tools/testing/cxl: Enumerate mock decoders (John W. Linville) [2107254] - tools/testing/cxl: Mock one level of switches (John W. Linville) [2107254] - tools/testing/cxl: Fix root port to host bridge assignment (John W. Linville) [2107254] - tools/testing/cxl: Mock dvsec_ranges() (John W. Linville) [2107254] - cxl/core/port: Add endpoint decoders (John W. Linville) [2107254] - cxl/core: Move target_list out of base decoder attributes (John W. Linville) [2107254] - cxl/mem: Add the cxl_mem driver (John W. Linville) [2107254] - cxl/core/port: Add switch port enumeration (John W. Linville) [2107254] - cxl/memdev: Add numa_node attribute (John W. Linville) [2107254] - cxl/pci: Emit device serial number (John W. Linville) [2107254] - cxl/pci: Implement wait for media active (John W. Linville) [2107254] - cxl/pci: Retrieve CXL DVSEC memory info (John W. Linville) [2107254] - cxl/pci: Cache device DVSEC offset (John W. Linville) [2107254] - cxl/pci: Store component register base in cxlds (John W. Linville) [2107254] - cxl/core/port: Remove @host argument for dport + decoder enumeration (John W. Linville) [2107254] - cxl/port: Add a driver for 'struct cxl_port' objects (John W. Linville) [2107254] - cxl/core: Emit modalias for CXL devices (John W. Linville) [2107254] - cxl/core/hdm: Add CXL standard decoder enumeration to the core (John W. Linville) [2107254] - cxl/core: Generalize dport enumeration in the core (John W. Linville) [2107254] - cxl/pci: Rename pci.h to cxlpci.h (John W. Linville) [2107254] - cxl/port: Up-level cxl_add_dport() locking requirements to the caller (John W. Linville) [2107254] - cxl/pmem: Introduce a find_cxl_root() helper (John W. Linville) [2107254] - cxl/port: Introduce cxl_port_to_pci_bus() (John W. Linville) [2107254] - cxl/core/port: Use dedicated lock for decoder target list (John W. Linville) [2107254] - cxl: Prove CXL locking (John W. Linville) [2107254] - cxl/core: Track port depth (John W. Linville) [2107254] - cxl/core/port: Make passthrough decoder init implicit (John W. Linville) [2107254] - cxl/core: Fix cxl_probe_component_regs() error message (John W. Linville) [2107254] - cxl/core/port: Clarify decoder creation (John W. Linville) [2107254] - cxl/core: Convert decoder range to resource (John W. Linville) [2107254] - cxl/decoder: Hide physical address information from non-root (John W. Linville) [2107254] - cxl/core/port: Rename bus.c to port.c (John W. Linville) [2107254] - cxl: Introduce module_cxl_driver (John W. Linville) [2107254] - cxl/acpi: Map component registers for Root Ports (John W. Linville) [2107254] - cxl/pci: Add new DVSEC definitions (John W. Linville) [2107254] - cxl: Flesh out register names (John W. Linville) [2107254] - cxl/pci: Defer mailbox status checks to command timeouts (John W. Linville) [2107254] - cxl/pci: Implement Interface Ready Timeout (John W. Linville) [2107254] - cxl: Rename CXL_MEM to CXL_PCI (John W. Linville) [2107254] - ACPI: NUMA: Add a node and memblk for each CFMWS not in SRAT (John W. Linville) [2107254] - cxl/test: Mock acpi_table_parse_cedt() (John W. Linville) [2107254] - cxl/acpi: Convert CFMWS parsing to ACPI sub-table helpers (John W. Linville) [2107254] - cxl/pci: Use pci core's DVSEC functionality (John W. Linville) [2107254] - cxl/core: Replace unions with struct_group() (John W. Linville) [2107254] - PCI: Add pci_find_dvsec_capability to find designated VSEC (John W. Linville) [2107254] - net: remove noblock parameter from skb_recv_datagram() (Íñigo Huguet) [2143360] - net: ethernet: move from strlcpy with unused retval to strscpy (Ken Cox) [2104465] - e1000e: convert .adjfreq to .adjfine (Ken Cox) [2104465] - e1000e: remove unnecessary range check in e1000e_phc_adjfreq (Ken Cox) [2104465] - Revert "e1000e: Fix possible HW unit hang after an s0ix exit" (Ken Cox) [2104465] - e1000e: Enable GPT clock before sending message to CSME (Ken Cox) [2104465] - intel/e1000e:fix repeated words in comments (Ken Cox) [2104465] - intel: remove unused macros (Ken Cox) [2104465] - e1000e: Remove useless DMA-32 fallback configuration (Ken Cox) [2104465] Resolves: rhbz#2143841, rhbz#2075216, rhbz#2137969, rhbz#2135902, rhbz#2147541, rhbz#2144901, rhbz#2137921, rhbz#2107254, rhbz#2143360, rhbz#2104465 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-30 13:16:06 +00:00
CONFIG_CXL_PCI=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
CONFIG_CXL_PMEM=m
kernel-5.14.0-308.el9 * Thu May 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-308.el9] - e1000e: Remove redundant pci_enable_pcie_error_reporting() (Ken Cox) [2153331] - e1000e: Enable Link Partner Advertised Support (Ken Cox) [2153331] - e1000e: Fix TX dispatch condition (Ken Cox) [2153331] - e1000e: Remove unnecessary use of kmap_atomic() (Ken Cox) [2153331] - e1000e: Add e1000e trace module (Ken Cox) [2153331] - e1000e: Add support for the next LOM generation (Ken Cox) [2153331] - e1000e: Separate MTP board type from ADP (Ken Cox) [2153331] - ptp: introduce helpers to adjust by scaled parts per million (Ken Cox) [2153331] - drivers/net/ethernet/e1000e: check return value of e1e_rphy() (Ken Cox) [2153331] - scsi: scsi_dh_alua: Fix memleak for 'qdata' in alua_activate() (Ewan D. Milne) [2171093] - scsi: core: Add BLIST_SKIP_VPD_PAGES for SKhynix H28U74301AMR (Ewan D. Milne) [2171093] - scsi: core: Add BLIST_NO_VPD_SIZE for some VDASD (Ewan D. Milne) [2171093] - scsi: sd: Fix wrong zone_write_granularity value during revalidate (Ewan D. Milne) [2171093] - scsi: core: Extend struct scsi_exec_args (Ewan D. Milne) [2171093] - scsi: core: Fix a source code comment (Ewan D. Milne) [2171093] - scsi: Revert "scsi: core: map PQ=1, PDT=other values to SCSI_SCAN_TARGET_PRESENT" (Ewan D. Milne) [2171093] - scsi: cxlflash: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: target: target_core_pscsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: virtio_scsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: sr: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: ses: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: zbc: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: sd: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: spi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: core: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: scsi_dh: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: ch: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: hwmon: drivetemp: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: ata: libata-scsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093] - scsi: core: Add struct for args to execution functions (Ewan D. Milne) [2171093] - scsi: scsi_debug: Delete unreachable code in inquiry_vpd_b0() (Ewan D. Milne) [2171093] - scsi: core: scsi_error: Do not queue pointless abort workqueue functions (Ewan D. Milne) [2171093] - scsi: sd: sd_zbc: Trace zone append emulation (Ewan D. Milne) [2171093] - scsi: sg: Fix get_user() in call sg_scsi_ioctl() (Ewan D. Milne) [2171093] - scsi: core: Use SCSI_SCAN_INITIAL in do_scsi_scan_host() (Ewan D. Milne) [2171093] - scsi: core: Use SCSI_SCAN_RESCAN in __scsi_add_device() (Ewan D. Milne) [2171093] - scsi: sd: Use 16-byte SYNCHRONIZE CACHE on ZBC devices (Ewan D. Milne) [2171093] - scsi: scsi_debug: Fix possible name leak in sdebug_add_host_helper() (Ewan D. Milne) [2171093] - scsi: scsi_debug: Fix a warning in resp_report_zones() (Ewan D. Milne) [2171093] - scsi: scsi_debug: Fix a warning in resp_verify() (Ewan D. Milne) [2171093] - scsi: core: Do not increase scsi_device's iorequest_cnt if dispatch failed (Ewan D. Milne) [2171093] - scsi: core: Increase scsi_device's iodone_cnt in scsi_timeout() (Ewan D. Milne) [2171093] - scsi: scsi_debug: Fix a warning in resp_write_scat() (Ewan D. Milne) [2171093] - scsi: iscsi: Fix possible memory leak when device_register() failed (Ewan D. Milne) [2171093] - scsi: scsi_debug: Fix possible UAF in sdebug_add_host_helper() (Ewan D. Milne) [2171093] - scsi: scsi_debug: Make the READ CAPACITY response compliant with ZBC (Ewan D. Milne) [2171093] - scsi: scsi_transport_sas: Fix error handling in sas_phy_add() (Ewan D. Milne) [2171093] - scsi: core: Support failing requests while recovering (Ewan D. Milne) [2171093] - scsi: core: Change the return type of .eh_timed_out() (Ewan D. Milne) [2171093] - scsi: core: Fix a race between scsi_done() and scsi_timeout() (Ewan D. Milne) [2171093] - scsi: core: Restrict legal sdev_state transitions via sysfs (Ewan D. Milne) [2171093] - scsi: Use blk_rq_map_user_io helper (Ewan D. Milne) [2171093] - scsi: core: Add I/O timeout count for SCSI device (Ewan D. Milne) [2171093] - scsi: scsi_transport_fc: Adjust struct fc_nl_event flex array usage (Ewan D. Milne) [2171093] - scsi: core: Remove useless host error codes (Ewan D. Milne) [2171093] - scsi: core: Convert scsi_decide_disposition() to use SCSIML_STAT (Ewan D. Milne) [2171093] - scsi: core: Add error codes for internal SCSI midlayer use (Ewan D. Milne) [2171093] - scsi: cxlflash: Drop DID_ALLOC_FAILURE use (Ewan D. Milne) [2171093] - scsi: virtio_scsi: Drop DID_NEXUS_FAILURE use (Ewan D. Milne) [2171093] - scsi: virtio_scsi: Drop DID_TARGET_FAILURE use (Ewan D. Milne) [2171093] - scsi: uas: Drop DID_TARGET_FAILURE use (Ewan D. Milne) [2171093] - scsi: xen: Drop use of internal host codes (Ewan D. Milne) [2171093] - xen/scsiback: use new command result macros (Ewan D. Milne) [2171093] - xen/scsifront: use new command result macros (Ewan D. Milne) [2171093] - scsi: sd: Add a comment about limiting max_sectors to shost optimal limit (Ewan D. Milne) [2171093] - scsi: scsi_transport_sas: cap shost opt_sectors according to DMA optimal limit (Ewan D. Milne) [2171093] - scsi: sd: allow max_sectors be capped at DMA optimal size limit (Ewan D. Milne) [2171093] - scsi: core: cap shost max_sectors according to DMA limits only once (Ewan D. Milne) [2171093] - sfc: Fix use-after-free due to selftest_work (Íñigo Huguet) [2159241] - sfc: fix builds without CONFIG_RTC_LIB (Íñigo Huguet) [2159241] - sfc: clean up some inconsistent indentings (Íñigo Huguet) [2159241] - sfc: use IS_ENABLED() checks for CONFIG_SFC_SRIOV (Íñigo Huguet) [2159241] - sfc: Fix spelling mistake "creationg" -> "creating" (Íñigo Huguet) [2159241] - sfc: add support for devlink port_function_hw_addr_set in ef100 (Íñigo Huguet) [2159241] - sfc: add support for devlink port_function_hw_addr_get in ef100 (Íñigo Huguet) [2159241] - sfc: obtain device mac address based on firmware handle for ef100 (Íñigo Huguet) [2159241] - sfc: add devlink port support for ef100 (Íñigo Huguet) [2159241] - sfc: add mport lookup based on driver's mport data (Íñigo Huguet) [2159241] - sfc: enumerate mports in ef100 (Íñigo Huguet) [2159241] - sfc: add devlink info support for ef100 (Íñigo Huguet) [2159241] - sfc: add devlink support for ef100 (Íñigo Huguet) [2159241] - sfc: use sysfs_emit() to instead of scnprintf() (Íñigo Huguet) [2159241] - sfc: Use kmap_local_page() instead of kmap_atomic() (Íñigo Huguet) [2159241] - sfc: ensure type is valid before updating seen_gen (Íñigo Huguet) [2159241] - sfc: fix potential memleak in __ef100_hard_start_xmit() (Íñigo Huguet) [2159241] - sfc: implement counters readout to TC stats (Íñigo Huguet) [2159241] - sfc: validate MAE action order (Íñigo Huguet) [2159241] - sfc: attach an MAE counter to TC actions that need it (Íñigo Huguet) [2159241] - sfc: accumulate MAE counter values from update packets (Íñigo Huguet) [2159241] - sfc: add functions to allocate/free MAE counters (Íñigo Huguet) [2159241] - sfc: add hashtables for MAE counters and counter ID mappings (Íñigo Huguet) [2159241] - sfc: add extra RX channel to receive MAE counter updates on ef100 (Íñigo Huguet) [2159241] - sfc: add ef100 MAE counter support functions (Íñigo Huguet) [2159241] - sfc: add ability for extra channels to receive raw RX buffers (Íñigo Huguet) [2159241] - sfc: add start and stop methods to channels (Íñigo Huguet) [2159241] - sfc: add ability for an RXQ to grant credits on refill (Íñigo Huguet) [2159241] - sfc: fix ef100 RX prefix macro (Íñigo Huguet) [2159241] - ptp: convert remaining drivers to adjfine interface (Íñigo Huguet) [2159241] - sfc: add Layer 4 matches to ef100 TC offload (Íñigo Huguet) [2159241] - sfc: add Layer 3 flag matches to ef100 TC offload (Íñigo Huguet) [2159241] - sfc: add Layer 3 matches to ef100 TC offload (Íñigo Huguet) [2159241] - sfc: add Layer 2 matches to ef100 TC offload (Íñigo Huguet) [2159241] - sfc: check recirc_id match caps before MAE offload (Íñigo Huguet) [2159241] - sfc (gcc13): synchronize ef100_enqueue_skb()'s return type (Íñigo Huguet) [2159241] - sfc: remove 'log-tc-errors' ethtool private flag (Íñigo Huguet) [2159241] - sfc: use formatted extacks instead of efx_tc_err() (Íñigo Huguet) [2159241] - sfc: include vport_id in filter spec hash and equal() (Íñigo Huguet) [2159241] - sfc: Change VF mac via PF as first preference if available. (Íñigo Huguet) [2159241] - sfc: bare bones TC offload on EF100 (Íñigo Huguet) [2159241] - sfc: interrogate MAE capabilities at probe time (Íñigo Huguet) [2159241] - sfc: add a hashtable for offloaded TC rules (Íñigo Huguet) [2159241] - sfc: optional logging of TC offload errors (Íñigo Huguet) [2159241] - sfc: bind indirect blocks for TC offload on EF100 (Íñigo Huguet) [2159241] - sfc: bind blocks for TC offload on EF100 (Íñigo Huguet) [2159241] - sfc: introduce shutdown entry point in efx pci driver (Íñigo Huguet) [2159241] - ata: pata_octeon_cf: drop kernel-doc notation (Tomas Henzl) [2162218] - ata: libata-core: Disable READ LOG DMA EXT for Samsung MZ7LH (Tomas Henzl) [2162218] - ata: libata: Fix sata_down_spd_limit() when no link speed is reported (Tomas Henzl) [2162218] - ata: remove palmld pata driver (Tomas Henzl) [2162218] - pata: remove samsung_cf driver (Tomas Henzl) [2162218] - ata: pata_cs5535: Don't build on UML (Tomas Henzl) [2162218] - ata: libata: move NCQ related ATA_DFLAGs (Tomas Henzl) [2162218] - ata: libata: exclude FUA support for known buggy drives (Tomas Henzl) [2162218] - ata: libata: Fix FUA handling in ata_build_rw_tf() (Tomas Henzl) [2162218] - ata: libata: cleanup fua support detection (Tomas Henzl) [2162218] - doc: admin-guide: Update libata kernel parameters (Tomas Henzl) [2162218] - ata: libata: Rename and cleanup ata_rwcmd_protocol() (Tomas Henzl) [2162218] - ata: libata-scsi: improve ata_scsiop_maint_in() (Tomas Henzl) [2162218] - ata: libata-scsi: do not overwrite SCSI ML and status bytes (Tomas Henzl) [2162218] - ata: libata: respect successfully completed commands during errors (Tomas Henzl) [2162218] - ata: libata: read the shared status for successful NCQ commands once (Tomas Henzl) [2162218] - ata: libata: simplify qc_fill_rtf port operation interface (Tomas Henzl) [2162218] - ata: scsi: rename flag ATA_QCFLAG_FAILED to ATA_QCFLAG_EH (Tomas Henzl) [2162218] - ata: libata-eh: Cleanup ata_scsi_cmd_error_handler() (Tomas Henzl) [2162218] - ata: octeon: Drop empty platform remove function (Tomas Henzl) [2162218] - ata: ahci: Fix PCS quirk application for suspend (Tomas Henzl) [2162218] - ata: libahci_platform: ahci_platform_find_clk: oops, NULL pointer (Tomas Henzl) [2162218] - ata: ahci: fix enum constants for gcc-13 (Tomas Henzl) [2162218] - ata: ahci: Rename `AHCI_HFLAG_IS_MOBILE` (Tomas Henzl) [2162218] - ata: libata: fix commands incorrectly not getting retried during NCQ error (Tomas Henzl) [2162218] - ata: ahci: Remove linux/msi.h include (Tomas Henzl) [2162218] - ata: sata_dwc_460ex: Check !irq instead of irq == NO_IRQ (Tomas Henzl) [2162218] - ata: pata_ep93xx: use devm_platform_get_and_ioremap_resource() (Tomas Henzl) [2162218] - ata: libata-core: do not issue non-internal commands once EH is pending (Tomas Henzl) [2162218] - ata: libata-transport: fix error handling in ata_tdev_add() (Tomas Henzl) [2162218] - ata: libata-transport: fix error handling in ata_tlink_add() (Tomas Henzl) [2162218] - ata: libata-transport: fix error handling in ata_tport_add() (Tomas Henzl) [2162218] - ata: libata-transport: fix double ata_host_put() in ata_tport_add() (Tomas Henzl) [2162218] - ata: libata-sff: kill unused ata_sff_busy_sleep() (Tomas Henzl) [2162218] - ata: libata-scsi: fix SYNCHRONIZE CACHE (16) command failure (Tomas Henzl) [2162218] - ata: pata_legacy: fix pdc20230_set_piomode() (Tomas Henzl) [2162218] - ata: sata_dwc_460ex: remove variable num_processed (Tomas Henzl) [2162218] - ata: remove palmchip pata_bk3710 driver (Tomas Henzl) [2162218] - ata: libata-core: do not retry reading the log on timeout (Tomas Henzl) [2162218] - ata: make use of ata_port_is_frozen() helper (Tomas Henzl) [2162218] - ata: pata_ftide010: Remove build dependency on OF (Tomas Henzl) [2162218] - ata: sata_gemini: Remove dependency on OF for compile tests (Tomas Henzl) [2162218] - ata: ahci_qoriq: Fix compilation warning (Tomas Henzl) [2162218] - ata: ahci_imx: Fix compilation warning (Tomas Henzl) [2162218] - ata: ahci_xgene: Fix compilation warning (Tomas Henzl) [2162218] - ata: ahci_brcm: Fix compilation warning (Tomas Henzl) [2162218] - ata: sata_rcar: Fix compilation warning (Tomas Henzl) [2162218] - ata: ahci_st: Fix compilation warning (Tomas Henzl) [2162218] - ata: ahci: Match EM_MAX_SLOTS with SATA_PMP_MAX_PORTS (Tomas Henzl) [2162218] - ata: ahci-imx: Fix MODULE_ALIAS (Tomas Henzl) [2162218] - ata: pata_mpc52xx: Replace NO_IRQ with 0 (Tomas Henzl) [2162218] - ata: libahci: read correct status and error field for NCQ commands (Tomas Henzl) [2162218] - ata: libata: fetch sense data for ATA devices supporting sense reporting (Tomas Henzl) [2162218] - ata: libata: only set sense valid flag if sense data is valid (Tomas Henzl) [2162218] - ata: libata: clarify when ata_eh_request_sense() will be called (Tomas Henzl) [2162218] - ata: libata: fix NCQ autosense logic (Tomas Henzl) [2162218] - ata: libata-sata: Fix device queue depth control (Tomas Henzl) [2162218] - ata: libata-scsi: Fix initialization of device queue depth (Tomas Henzl) [2162218] - libata: add ATA_HORKAGE_NOLPM for Pioneer BDR-207M and BDR-205 (Tomas Henzl) [2162218] - ata: libata-eh: avoid needless hard reset when revalidating link (Tomas Henzl) [2162218] - ata: libata: drop superfluous ata_eh_analyze_tf() parameter (Tomas Henzl) [2162218] - ata: libata: drop superfluous ata_eh_request_sense() parameter (Tomas Henzl) [2162218] - ata: libata-eh: Remove the unneeded result variable (Tomas Henzl) [2162218] - ata: ahci_st: Enable compile test (Tomas Henzl) [2162218] - ata: ahci_st: Fix compilation warning (Tomas Henzl) [2162218] - ata: libahci_platform: Add function returning a clock-handle by id (Tomas Henzl) [2162218] - ata: ahci: Introduce firmware-specific caps initialization (Tomas Henzl) [2162218] - ata: ahci: Convert __ahci_port_base to accepting hpriv as arguments (Tomas Henzl) [2162218] - ata: libahci: Don't read AHCI version twice in the save-config method (Tomas Henzl) [2162218] - ata: libahci: Discard redundant force_port_map parameter (Tomas Henzl) [2162218] - ata: libahci: Extend port-cmd flags set with port capabilities (Tomas Henzl) [2162218] - ata: libahci_platform: Introduce reset assertion/deassertion methods (Tomas Henzl) [2162218] - ata: libahci_platform: Parse ports-implemented property in resources getter (Tomas Henzl) [2162218] - ata: libahci_platform: Sanity check the DT child nodes number (Tomas Henzl) [2162218] - ata: libahci_platform: Convert to using devm bulk clocks API (Tomas Henzl) [2162218] - ata: libahci_platform: Convert to using platform devm-ioremap methods (Tomas Henzl) [2162218] - ata: libata-core: Check errors in sata_print_link_status() (Tomas Henzl) [2162218] - ata: libata-sff: Fix double word in comments (Tomas Henzl) [2162218] - ata: pata_macio: Remove unneeded word in comments (Tomas Henzl) [2162218] - ata: libata-core: Simplify ata_dev_set_xfermode() (Tomas Henzl) [2162218] - ata: libata-core: Simplify ata_build_rw_tf() (Tomas Henzl) [2162218] - ata: libata: Rename ATA_DFLAG_NCQ_PRIO_ENABLE (Tomas Henzl) [2162218] - ata: libata-core: remove redundant err_mask variable (Tomas Henzl) [2162218] - ata: ahci: Do not check ACPI_FADT_LOW_POWER_S0 (Tomas Henzl) [2162218] - ata: libata-core: improve parameter names for ata_dev_set_feature() (Tomas Henzl) [2162218] - ata: libata-eh: Add missing command name (Tomas Henzl) [2162218] - ata: sata_mv: Fixes expected number of resources now IRQs are gone (Tomas Henzl) [2162218] - ata: libata-scsi: cap ata_device->max_sectors according to shost->max_sectors (Tomas Henzl) [2162218] - ata: ahci_brcm: bcmbca: Replace ARCH_BCM_63XX with ARCH_BCMBCA (Tomas Henzl) [2162218] - ata: pata_cs5535: Fix W=1 warnings (Tomas Henzl) [2162218] - ata: libata-scsi: fix result type of ata_ioc32() (Tomas Henzl) [2162218] - ata: pata_macio: Fix compilation warning (Tomas Henzl) [2162218] - ata: libata-eh: fix sloppy result type of ata_internal_cmd_timeout() (Tomas Henzl) [2162218] - ata: libata-eh: fix sloppy result type of ata_eh_nr_in_flight() (Tomas Henzl) [2162218] - ata: make transfer mode masks *unsigned int* (Tomas Henzl) [2162218] - ata: libata-core: get rid of *else* branches in ata_id_n_sectors() (Tomas Henzl) [2162218] - ata: libata-core: fix sloppy typing in ata_id_n_sectors() (Tomas Henzl) [2162218] - ata: pata_hpt3x2n: pass base DPLL frequency to hpt3x2n_pci_clock() (Tomas Henzl) [2162218] - ata: pata_hpt37x: merge hpt374_read_freq() to hpt37x_pci_clock() (Tomas Henzl) [2162218] - ata: pata_hpt37x: factor out hpt37x_pci_clock() (Tomas Henzl) [2162218] - ata: pata_hpt37x: move claculating PCI clock from hpt37x_clock_slot() (Tomas Henzl) [2162218] - ata: libata: Fix syntax errors in comments (Tomas Henzl) [2162218] - ata: libata-transport: fix {dma|pio|xfer}_mode sysfs files (Tomas Henzl) [2162218] - libata: fix translation of concurrent positioning ranges (Tomas Henzl) [2162218] - libata: fix reading concurrent positioning ranges log (Tomas Henzl) [2162218] - ata: pata_octeon_cf: Fix refcount leak in octeon_cf_probe (Tomas Henzl) [2162218] - ata: libata-core: fix NULL pointer deref in ata_host_alloc_pinfo() (Tomas Henzl) [2162218] - ata: pata_ftide010: Remove unneeded ERROR check before clk_disable_unprepare (Tomas Henzl) [2162218] - ata: libata-core: Allow forcing most horkage flags (Tomas Henzl) [2162218] - ata: libata-core: Improve link flags forced settings (Tomas Henzl) [2162218] - ata: libata-core: Refactor force_tbl definition (Tomas Henzl) [2162218] - ata: libata-core: cleanup ata_device_blacklist (Tomas Henzl) [2162218] - ata: simplify the return expression of brcm_ahci_remove (Tomas Henzl) [2162218] - ata: pata_marvell: Check the 'bmdma_addr' beforing reading (Tomas Henzl) [2162218] - ata: Make use of the helper function devm_platform_ioremap_resource() (Tomas Henzl) [2162218] - ata: libata-core: replace "its" with "it is" (Tomas Henzl) [2162218] - ata: pata_via: fix sloppy typing in via_do_set_mode() (Tomas Henzl) [2162218] - ata: pata_sil680: fix result type of sil680_sel{dev|reg}() (Tomas Henzl) [2162218] - ata: libata-core: fix parameter type in ata_xfer_mode2shift() (Tomas Henzl) [2162218] - ata: libata: drop 'sas_last_tag' (Tomas Henzl) [2162218] - libata: Improve ATA queued command allocation (Tomas Henzl) [2162218] - ata: pata_mpc52xx: Prepare cleanup of powerpc's asm/prom.h (Tomas Henzl) [2162218] - ata: libata-core: Disable READ LOG DMA EXT for Samsung 840 EVOs (Tomas Henzl) [2162218] - ata: sata_dwc_460ex: Fix crash due to OOB write (Tomas Henzl) [2162218] - ata: libata-sff: Fix compilation warning in ata_sff_lost_interrupt() (Tomas Henzl) [2162218] - ata: add ata_port_is_frozen() helper (Tomas Henzl) [2162218] - ata: make ata_port::fastdrain_cnt *unsigned int* (Tomas Henzl) [2162218] - ata: libata: Set __ATA_BASE_SHT max_sectors (Tomas Henzl) [2162218] - ata: libata: Introduce ata_ncq_supported() (Tomas Henzl) [2162218] - scsi: Define the COMPLETED sense key (Tomas Henzl) [2162218] - cxl/hdm: Fail upon detecting 0-sized decoders (John W. Linville) [2171891] - cxl/pci: Handle excessive CDAT length (John W. Linville) [2171891] - cxl/pci: Handle truncated CDAT entries (John W. Linville) [2171891] - cxl/pci: Handle truncated CDAT header (John W. Linville) [2171891] - cxl/pci: Fix CDAT retrieval on big endian (John W. Linville) [2171891] - Documentation: cxl: remove dangling kernel-doc reference (John W. Linville) [2171891] - cxl/region: Cleanup target list on attach error (John W. Linville) [2171891] - cxl/memdev: Fix endpoint port removal (John W. Linville) [2171891] - cxl/mbox: Fix Payload Length check for Get Log command (John W. Linville) [2171891] - cxl/region: Fix passthrough-decoder detection (John W. Linville) [2171891] - cxl/region: Fix null pointer dereference for resetting decoder (John W. Linville) [2171891] - cxl/pmem: Fix nvdimm unregistration when cxl_pmem driver is absent (John W. Linville) [2171891] - cxl: fix cxl_report_and_clear() RAS UE addr mis-assignment (John W. Linville) [2171891] - cxl/region: Fix memdev reuse check (John W. Linville) [2171891] - cxl/pci: Remove endian confusion (John W. Linville) [2171891] - cxl/pci: Add some type-safety to the AER trace points (John W. Linville) [2171891] - cxl/security: Drop security command ioctl uapi (John W. Linville) [2171891] - cxl/mbox: Add variable output size validation for internal commands (John W. Linville) [2171891] - cxl/mbox: Enable cxl_mbox_send_cmd() users to validate output size (John W. Linville) [2171891] - cxl/security: Fix Get Security State output payload endian handling (John W. Linville) [2171891] - cxl: update names for interleave ways conversion macros (John W. Linville) [2171891] - cxl: update names for interleave granularity conversion macros (John W. Linville) [2171891] - cxl/acpi: Warn about an invalid CHBCR in an existing CHBS entry (John W. Linville) [2171891] - tools/testing/cxl: Require cache invalidation bypass (John W. Linville) [2171891] - cxl/acpi: Fail decoder add if CXIMS for HBIG is missing (John W. Linville) [2171891] - cxl/region: Fix spelling mistake "memergion" -> "memregion" (John W. Linville) [2171891] - cxl/regs: Fix sparse warning (John W. Linville) [2171891] - tools/testing/cxl: Add an RCH topology (John W. Linville) [2171891] - cxl/port: Add RCD endpoint port enumeration (John W. Linville) [2171891] - cxl/mem: Move devm_cxl_add_endpoint() from cxl_core to cxl_mem (John W. Linville) [2171891] - tools/testing/cxl: Add XOR Math support to cxl_test (John W. Linville) [2171891] - ACPICA: Add CXL 3.0 structures (CXIMS & RDPAS) to the CEDT table (John W. Linville) [2171891] - cxl/acpi: Support CXL XOR Interleave Math (CXIMS) (John W. Linville) [2171891] - cxl/pci: Add callback to log AER correctable error (John W. Linville) [2171891] - cxl/pci: Add (hopeful) error handling support (John W. Linville) [2171891] - cxl/pci: add tracepoint events for CXL RAS (John W. Linville) [2171891] - cxl/pci: Find and map the RAS Capability Structure (John W. Linville) [2171891] - cxl/pci: Prepare for mapping RAS Capability Structure (John W. Linville) [2171891] - cxl/port: Limit the port driver to just the HDM Decoder Capability (John W. Linville) [2171891] - cxl/core/regs: Make cxl_map_{component, device}_regs() device generic (John W. Linville) [2171891] - cxl/pci: Kill cxl_map_regs() (John W. Linville) [2171891] - cxl/pci: Cleanup cxl_map_device_regs() (John W. Linville) [2171891] - cxl/pci: Cleanup repeated code in cxl_probe_regs() helpers (John W. Linville) [2171891] - cxl/acpi: Extract component registers of restricted hosts from RCRB (John W. Linville) [2171891] - redhat: config option for CXL_REGION_INVALIDATION_TEST (John W. Linville) [2171891] - cxl/region: Manage CPU caches relative to DPA invalidation events (John W. Linville) [2171891] - cxl/pmem: Enforce keyctl ABI for PMEM security (John W. Linville) [2171891] - cxl/region: Fix missing probe failure (John W. Linville) [2171891] - cxl/ACPI: Register CXL host ports by bridge device (John W. Linville) [2171891] - tools/testing/cxl: Make mock CEDT parsing more robust (John W. Linville) [2171891] - cxl/acpi: Move rescan to the workqueue (John W. Linville) [2171891] - cxl/pmem: Remove the cxl_pmem_wq and related infrastructure (John W. Linville) [2171891] - cxl: add dimm_id support for __nvdimm_create() (John W. Linville) [2171891] - cxl/pmem: Refactor nvdimm device registration, delete the workqueue (John W. Linville) [2171891] - cxl/region: Drop redundant pmem region release handling (John W. Linville) [2171891] - cxl/acpi: Simplify cxl_nvdimm_bridge probing (John W. Linville) [2171891] - cxl/pmem: add provider name to cxl pmem dimm attribute group (John W. Linville) [2171891] - memregion: Add cpu_cache_invalidate_memregion() interface (John W. Linville) [2171891] - tools/testing/cxl: add mechanism to lock mem device for testing (John W. Linville) [2171891] - cxl/pmem: add id attribute to CXL based nvdimm (John W. Linville) [2171891] - nvdimm/cxl/pmem: Add support for master passphrase disable security command (John W. Linville) [2171891] - tools/testing/cxl: Add "passphrase secure erase" opcode support (John W. Linville) [2171891] - cxl/pmem: Add "Passphrase Secure Erase" security command support (John W. Linville) [2171891] - tools/testing/cxl: Add "Unlock" security opcode support (John W. Linville) [2171891] - cxl/pmem: Add "Unlock" security command support (John W. Linville) [2171891] - tools/testing/cxl: Add "Freeze Security State" security opcode support (John W. Linville) [2171891] - cxl/pmem: Add "Freeze Security State" security command support (John W. Linville) [2171891] - tools/testing/cxl: Add "Disable" security opcode support (John W. Linville) [2171891] - cxl/pmem: Add Disable Passphrase security command support (John W. Linville) [2171891] - tools/testing/cxl: Add "Set Passphrase" opcode support (John W. Linville) [2171891] - cxl/pmem: Add "Set Passphrase" security command support (John W. Linville) [2171891] - tools/testing/cxl: Add "Get Security State" opcode support (John W. Linville) [2171891] - cxl/pmem: Introduce nvdimm_security_ops with ->get_flags() operation (John W. Linville) [2171891] - cxl: Replace HDM decoder granularity magic numbers (John W. Linville) [2171891] - cxl/acpi: Improve debug messages in cxl_acpi_probe() (John W. Linville) [2171891] - tools/testing/cxl: Add bridge mocking support (John W. Linville) [2171891] - cxl: Unify debug messages when calling devm_cxl_add_dport() (John W. Linville) [2171891] - cxl: Unify debug messages when calling devm_cxl_add_port() (John W. Linville) [2171891] - cxl/core: Check physical address before mapping it in devm_cxl_iomap_block() (John W. Linville) [2171891] - cxl/core: Remove duplicate declaration of devm_cxl_iomap_block() (John W. Linville) [2171891] - cxl/doe: Request exclusive DOE access (John W. Linville) [2171891] - cxl/region: Recycle region ids (John W. Linville) [2171891] - cxl/region: Fix 'distance' calculation with passthrough ports (John W. Linville) [2171891] - tools/testing/cxl: Add a single-port host-bridge regression config (John W. Linville) [2171891] - tools/testing/cxl: Fix some error exits (John W. Linville) [2171891] - cxl/pmem: Fix cxl_pmem_region and cxl_memdev leak (John W. Linville) [2171891] - cxl/region: Fix cxl_region leak, cleanup targets at region delete (John W. Linville) [2171891] - cxl/region: Fix region HPA ordering validation (John W. Linville) [2171891] - cxl/pmem: Use size_add() against integer overflow (John W. Linville) [2171891] - cxl/region: Fix decoder allocation crash (John W. Linville) [2171891] - cxl/pmem: Fix failure to account for 8 byte header for writes to the device LSA. (John W. Linville) [2171891] - cxl/region: Fix null pointer dereference due to pass through decoder commit (John W. Linville) [2171891] - cxl/mbox: Add a check on input payload size (John W. Linville) [2171891] - cxl/hdm: Fix skip allocations vs multiple pmem allocations (John W. Linville) [2171891] - cxl/region: Disallow region granularity != window granularity (John W. Linville) [2171891] - cxl/region: Fix x1 interleave to greater than x1 interleave routing (John W. Linville) [2171891] - cxl/region: Move HPA setup to cxl_region_attach() (John W. Linville) [2171891] - cxl/region: Fix decoder interleave programming (John W. Linville) [2171891] - cxl/region: describe targets and nr_targets members of cxl_region_params (John W. Linville) [2171891] - cxl/regions: add padding for cxl_rr_ep_add nested lists (John W. Linville) [2171891] - cxl/region: Fix IS_ERR() vs NULL check (John W. Linville) [2171891] - cxl/region: Fix region reference target accounting (John W. Linville) [2171891] - cxl/region: Fix region commit uninitialized variable warning (John W. Linville) [2171891] - cxl/region: Fix port setup uninitialized variable warnings (John W. Linville) [2171891] - cxl/region: Stop initializing interleave granularity (John W. Linville) [2171891] - cxl/hdm: Fix DPA reservation vs cxl_endpoint_decoder lifetime (John W. Linville) [2171891] - cxl/acpi: Minimize granularity for x1 interleaves (John W. Linville) [2171891] - cxl/region: Delete 'region' attribute from root decoders (John W. Linville) [2171891] - cxl/acpi: Autoload driver for 'cxl_acpi' test devices (John W. Linville) [2171891] - cxl/region: decrement ->nr_targets on error in cxl_region_attach() (John W. Linville) [2171891] - cxl/region: prevent underflow in ways_to_cxl() (John W. Linville) [2171891] - cxl/region: uninitialized variable in alloc_hpa() (John W. Linville) [2171891] - cxl/region: Introduce cxl_pmem_region objects (John W. Linville) [2171891] - cxl/pmem: Fix offline_nvdimm_bus() to offline by bridge (John W. Linville) [2171891] - cxl/region: Add region driver boiler plate (John W. Linville) [2171891] - cxl/hdm: Commit decoder state to hardware (John W. Linville) [2171891] - cxl/region: Program target lists (John W. Linville) [2171891] - cxl/region: Attach endpoint decoders (John W. Linville) [2171891] - cxl/acpi: Add a host-bridge index lookup mechanism (John W. Linville) [2171891] - cxl/region: Enable the assignment of endpoint decoders to regions (John W. Linville) [2171891] - cxl/region: Allocate HPA capacity to regions (John W. Linville) [2171891] - cxl/region: Add interleave geometry attributes (John W. Linville) [2171891] - cxl/region: Add a 'uuid' attribute (John W. Linville) [2171891] - cxl/region: Add region creation support (John W. Linville) [2171891] - cxl/mem: Enumerate port targets before adding endpoints (John W. Linville) [2171891] - cxl/hdm: Add sysfs attributes for interleave ways + granularity (John W. Linville) [2171891] - cxl/port: Move dport tracking to an xarray (John W. Linville) [2171891] - cxl/port: Move 'cxl_ep' references to an xarray per port (John W. Linville) [2171891] - cxl/port: Record parent dport when adding ports (John W. Linville) [2171891] - cxl/port: Record dport in endpoint references (John W. Linville) [2171891] - cxl/hdm: Add support for allocating DPA to an endpoint decoder (John W. Linville) [2171891] - cxl/hdm: Track next decoder to allocate (John W. Linville) [2171891] - cxl/hdm: Add 'mode' attribute to decoder objects (John W. Linville) [2171891] - cxl/hdm: Enumerate allocated DPA (John W. Linville) [2171891] - cxl/core: Define a 'struct cxl_endpoint_decoder' (John W. Linville) [2171891] - cxl/core: Define a 'struct cxl_root_decoder' (John W. Linville) [2171891] - cxl/acpi: Track CXL resources in iomem_resource (John W. Linville) [2171891] - cxl/core: Define a 'struct cxl_switch_decoder' (John W. Linville) [2171891] - cxl/port: Read CDAT table (John W. Linville) [2171891] - cxl/pci: Create PCI DOE mailbox's for memory devices (John W. Linville) [2171891] - cxl/pmem: Delete unused nvdimm attribute (John W. Linville) [2171891] - cxl/hdm: Initialize decoder type for memory expander devices (John W. Linville) [2171891] - cxl/port: Cache CXL host bridge data (John W. Linville) [2171891] - tools/testing/cxl: Add partition support (John W. Linville) [2171891] - tools/testing/cxl: Expand CFMWS windows (John W. Linville) [2171891] - tools/testing/cxl: Move cxl_test resources to the top of memory (John W. Linville) [2171891] - cxl/mem: Add a debugfs version of 'iomem' for DPA, 'dpamem' (John W. Linville) [2171891] - cxl/debug: Move debugfs init to cxl_core_init() (John W. Linville) [2171891] - cxl/hdm: Require all decoders to be enumerated (John W. Linville) [2171891] - cxl/mem: Convert partition-info to resources (John W. Linville) [2171891] - cxl: Introduce cxl_to_{ways,granularity} (John W. Linville) [2171891] - cxl/core: Drop is_cxl_decoder() (John W. Linville) [2171891] - cxl/core: Drop ->platform_res attribute for root decoders (John W. Linville) [2171891] - cxl/core: Rename ->decoder_range ->hpa_range (John W. Linville) [2171891] - cxl/hdm: Use local hdm variable (John W. Linville) [2171891] - cxl/port: Keep port->uport valid for the entire life of a port (John W. Linville) [2171891] - tools/testing/cxl: Fix cxl_hdm_decode_init() calling convention (John W. Linville) [2171891] - cxl/mbox: Fix missing variable payload checks in cmd size validation (John W. Linville) [2171891] - cxl/core: Use is_endpoint_decoder (John W. Linville) [2171891] - cxl/port: Enable HDM Capability after validating DVSEC Ranges (John W. Linville) [2171891] - cxl/port: Reuse 'struct cxl_hdm' context for hdm init (John W. Linville) [2171891] - cxl/port: Move endpoint HDM Decoder Capability init to port driver (John W. Linville) [2171891] - cxl/pci: Drop @info argument to cxl_hdm_decode_init() (John W. Linville) [2171891] - cxl/mem: Merge cxl_dvsec_ranges() and cxl_hdm_decode_init() (John W. Linville) [2171891] - cxl/mem: Skip range enumeration if mem_enable clear (John W. Linville) [2171891] - cxl/mem: Consolidate CXL DVSEC Range enumeration in the core (John W. Linville) [2171891] - cxl/pci: Move cxl_await_media_ready() to the core (John W. Linville) [2171891] - cxl/mem: Validate port connectivity before dvsec ranges (John W. Linville) [2171891] - cxl/mem: Fix cxl_mem_probe() error exit (John W. Linville) [2171891] - cxl/pci: Drop wait_for_valid() from cxl_await_media_ready() (John W. Linville) [2171891] - cxl/pci: Consolidate wait_for_media() and wait_for_media_ready() (John W. Linville) [2171891] - cxl/mbox: fix logical vs bitwise typo (John W. Linville) [2171891] - cxl/mbox: Replace NULL check with IS_ERR() after vmemdup_user() (John W. Linville) [2171891] - cxl/mbox: Use type __u32 for mailbox payload sizes (John W. Linville) [2171891] - PM: CXL: Disable suspend (John W. Linville) [2171891] - cxl/mem: Replace redundant debug message with a comment (John W. Linville) [2171891] - cxl/mem: Rename cxl_dvsec_decode_init() to cxl_hdm_decode_init() (John W. Linville) [2171891] - cxl/mem: Make cxl_dvsec_range() init failure fatal (John W. Linville) [2171891] - cxl/pci: Add debug for DVSEC range init failures (John W. Linville) [2171891] - cxl/mem: Drop DVSEC vs EFI Memory Map sanity check (John W. Linville) [2171891] - cxl/mbox: Use new return_code handling (John W. Linville) [2171891] - cxl/mbox: Improve handling of mbox_cmd hw return codes (John W. Linville) [2171891] - cxl/pci: Use CXL_MBOX_SUCCESS to check against mbox_cmd return code (John W. Linville) [2171891] - cxl/mbox: Drop mbox_mutex comment (John W. Linville) [2171891] - cxl/pmem: Remove CXL SET_PARTITION_INFO from exclusive_cmds list (John W. Linville) [2171891] - cxl/mbox: Block immediate mode in SET_PARTITION_INFO command (John W. Linville) [2171891] - cxl/mbox: Move cxl_mem_command param to a local variable (John W. Linville) [2171891] - cxl/mbox: Make handle_mailbox_cmd_from_user() use a mbox param (John W. Linville) [2171891] - cxl/mbox: Remove dependency on cxl_mem_command for a debug msg (John W. Linville) [2171891] - cxl/mbox: Construct a users cxl_mbox_cmd in the validation path (John W. Linville) [2171891] - cxl/mbox: Move build of user mailbox cmd to a helper functions (John W. Linville) [2171891] - cxl/mbox: Move raw command warning to raw command validation (John W. Linville) [2171891] - cxl/mbox: Move cxl_mem_command construction to helper funcs (John W. Linville) [2171891] - resource: Introduce alloc_free_mem_region() (John W. Linville) [2171891] - driver-core: Introduce BIN_ATTR_ADMIN_{RO,RW} (John W. Linville) [2171891] - tools/testing/cxl: add mock output for the GET_HEALTH_INFO command (John W. Linville) [2171891] - dmaengine: idma64: Make idma64_remove() return void (Jerry Snitselaar) [2181355] - dmaengine: idma64: Update bytes_transferred field (Jerry Snitselaar) [2181355] - dmaengine: imx-sdma: Set DMA channel to be private (Jerry Snitselaar) [2181355] - dmaengine: dw: Move check for paused channel to dwc_get_residue() (Jerry Snitselaar) [2181355] - dmaengine: ptdma: check for null desc before calling pt_cmd_callback (Jerry Snitselaar) [2181355] - dmaengine: idxd: Fix default allowed read buffers value in group (Jerry Snitselaar) [2181355] - dmaengine: Simplify dmaenginem_async_device_register() function (Jerry Snitselaar) [2181355] - dmaengine: use sysfs_emit() to instead of scnprintf() (Jerry Snitselaar) [2181355] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Jerry Snitselaar) [2181355] - dmaengine: Make an order in struct dma_device definition (Jerry Snitselaar) [2181355] - dmaengine: imx-sdma: support hdmi in sdma (Jerry Snitselaar) [2181355] - dmaengine: idxd: Set traffic class values in GRPCFG on DSA 2.0 (Jerry Snitselaar) [2181355] - dmaengine: idxd: Remove the unused function set_completion_address() (Jerry Snitselaar) [2181355] - dmaengine: Fix dma_slave_config.dst_addr description (Jerry Snitselaar) [2181355] - ptdma: pt_core_execute_cmd() should use spinlock (Jerry Snitselaar) [2181355] - dmaengine: imx-sdma: Fix a possible memory leak in sdma_transfer_init (Jerry Snitselaar) [2181355] - dmaengine: idxd: Remove linux/msi.h include (Jerry Snitselaar) [2181355] - dmaengine: ioat: Fix spelling mistake "idel" -> "idle" (Jerry Snitselaar) [2181355] - dmaengine: ioat: remove unused declarations in dma.h (Jerry Snitselaar) [2181355] - dmaengine: ioat: stop mod_timer from resurrecting deleted timer in __cleanup() (Jerry Snitselaar) [2153930] - dmaengine: idxd: Remove unused struct idxd_fault (Jerry Snitselaar) [2181355] - dmaengine: mxs: use platform_driver_register (Jerry Snitselaar) [2181355] - dmaengine: hsu: Include headers we are direct user of (Jerry Snitselaar) [2181355] - dmaengine: hsu: Use GENMASK() consistently (Jerry Snitselaar) [2181355] - dmaengine: remove DMA_MEMCPY_SG once again (Jerry Snitselaar) [2181355] - redhat: configs: fix CONFIG_WERROR replace in build_configs (Jan Stancek) Resolves: rhbz#2153331, rhbz#2153930, rhbz#2159241, rhbz#2162218, rhbz#2171093, rhbz#2171891, rhbz#2181355 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-04 19:01:35 +00:00
# CONFIG_CXL_REGION_INVALIDATION_TEST is not set
kernel-5.14.0-370.el9 * Wed Sep 27 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-370.el9] - nfsd: Set technology preview if inter SSC offload is enabled (Dave Wysochanski) [RHEL-2300] - iw_cxgb4: Fix potential NULL dereference in c4iw_fill_res_cm_id_entry() (Kamal Heib) [RHEL-1733] - RDMA/cxgb4: Fix potential null-ptr-deref in pass_establish() (Kamal Heib) [RHEL-1733] - RDMA/cxgb4: add null-ptr-check after ip_dev_find() (Kamal Heib) [RHEL-1733] - RDMA/cxgb4: Replace 0-length arrays with flexible arrays (Kamal Heib) [RHEL-1733] - RDMA/cxgb4: remove unnecessary NULL check in __c4iw_poll_cq_one() (Kamal Heib) [RHEL-1733] - RDMA/rxe: Fix redundant break statement in switch-case. (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix incomplete state save in rxe_requester (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix rxe_modify_srq (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix unsafe drain work queue code (Kamal Heib) [RHEL-1958] - RDMA/rxe: Move work queue code to subroutines (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix an error handling path in rxe_bind_mw() (Kamal Heib) [RHEL-1958] - RDMA/rxe: Simplify cq->notify code (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fixes mr access supported list (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix rxe_cq_post (Kamal Heib) [RHEL-1958] - RDMA/rxe: Send last wqe reached event on qp cleanup (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix the use-before-initialization error of resp_pkts (Kamal Heib) [RHEL-1958] - RDMA/rxe: Implement rereg_user_mr (Kamal Heib) [RHEL-1958] - RDMA/rxe: Let rkey == lkey for local access (Kamal Heib) [RHEL-1958] - RDMA/rxe: Introduce rxe access supported flags (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix access checks in rxe_check_bind_mw (Kamal Heib) [RHEL-1958] - RDMA//rxe: Optimize send path in rxe_resp.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Rename IB_ACCESS_REMOTE (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix ref count error in check_rkey() (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix packet length checks (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove dangling declaration of rxe_cq_disable() (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix comments about removed tasklets (Kamal Heib) [RHEL-1958] - RDMA/rxe: Add workqueue support for rxe tasks (Kamal Heib) [RHEL-1958] - RDMA/rxe: Convert spin_{lock_bh,unlock_bh} to spin_{lock_irqsave,unlock_irqrestore} (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix double unlock in rxe_qp.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix spinlock recursion deadlock on requester (Kamal Heib) [RHEL-1958] - RDMA/rxe: Protect QP state with qp->state_lock (Kamal Heib) [RHEL-1958] - RDMA/rxe: Move code to check if drained to subroutine (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove qp->req.state (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove qp->comp.state (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove qp->resp.state (Kamal Heib) [RHEL-1958] - RDMA: Add ib_virt_dma_to_page() (Kamal Heib) [RHEL-1958] - RDMA/siw: Fix pointer cast warning (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix the error "trying to register non-static key in rxe_cleanup_task" (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix incorrect TASKLET_STATE_SCHED check in rxe_task.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Clean kzalloc failure paths (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove tasklet call from rxe_cq.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Rewrite rxe_task.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Make tasks schedule each other (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove __rxe_do_task() (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove qp reference counting in tasks (Kamal Heib) [RHEL-1958] - RDMA/rxe: Cleanup error state handling in rxe_comp.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Cleanup reset state handling in rxe_resp.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Convert tasklet args to queue pairs (Kamal Heib) [RHEL-1958] - RDMA/rxe: Add error messages (Kamal Heib) [RHEL-1958] - RDMA/rxe: Extend dbg log messages to err and info (Kamal Heib) [RHEL-1958] - RDMA/rxe: Change rxe_dbg to rxe_dbg_dev (Kamal Heib) [RHEL-1958] - RDMA/rxe: Replace exists by rxe in rxe.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Fix missing memory barriers in rxe_queue.h (Kamal Heib) [RHEL-1958] - RDMA/rxe: Remove rxe_alloc() (Kamal Heib) [RHEL-1958] - Subject: RDMA/rxe: Handle zero length rdma (Kamal Heib) [RHEL-1958] - RDMA/rxe: Replace rxe_map and rxe_phys_buf by xarray (Kamal Heib) [RHEL-1958] - RDMA/rxe: Cleanup page variables in rxe_mr.c (Kamal Heib) [RHEL-1958] - RDMA-rxe: Isolate mr code from atomic_write_reply() (Kamal Heib) [RHEL-1958] - RDMA-rxe: Isolate mr code from atomic_reply() (Kamal Heib) [RHEL-1958] - RDMA/rxe: Move rxe_map_mr_sg to rxe_mr.c (Kamal Heib) [RHEL-1958] - RDMA/rxe: Cleanup mr_check_range (Kamal Heib) [RHEL-1958] - netfs: Only call folio_start_fscache() one time for each folio (Dave Wysochanski) [RHEL-7959] - refscale: Fix uninitalized use of wait_queue_head_t (Waiman Long) [2189183] - thermal/drivers/imx: Remove redundant msg in imx8mm_tmu_probe() and imx_sc_thermal_probe() (Steve Best) [2230684] - redhat: Use SB cert from system-sb-certs for signing UKI (Vitaly Kuznetsov) - watchdog: imx2_wdg: Declare local symbols static (Steve Best) [2230688] - s390/pkey: fix PKEY_TYPE_EP11_AES handling for sysfs attributes (Tobias Huschle) [2225519] - s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_VERIFYKEY2 IOCTL (Tobias Huschle) [2225519] - s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_KBLOB2PROTK[23] (Tobias Huschle) [2225519] - s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_CLR2SECK2 IOCTL (Tobias Huschle) [2225519] - s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_GENSECK2 IOCTL (Tobias Huschle) [2225519] - s390/pkey: fix/harmonize internal keyblob headers (Tobias Huschle) [2225519] - s390/pkey: add support for ecc clear key (Tobias Huschle) [2225519] - s390/pkey: do not use struct pkey_protkey (Tobias Huschle) [2225519] - s390/pkey: introduce reverse x-mas trees (Tobias Huschle) [2225519] - s390/zcrypt: fix reply buffer calculations for CCA replies (Tobias Huschle) [2227777] - trace: Add trace_ipi_send_cpu() (Jerome Marchand) [2192613] - sched, smp: Trace smp callback causing an IPI (Jerome Marchand) [2192613] - smp: reword smp call IPI comment (Jerome Marchand) [2192613] - treewide: Trace IPIs sent via smp_send_reschedule() (Jerome Marchand) [2192613] - irq_work: Trace self-IPIs sent via arch_irq_work_raise() (Jerome Marchand) [2192613] - smp: Trace IPIs sent via arch_send_call_function_ipi_mask() (Jerome Marchand) [2192613] - sched, smp: Trace IPIs sent via send_call_function_single_ipi() (Jerome Marchand) [2192613] - trace: Add trace_ipi_send_cpumask() (Jerome Marchand) [2192613] - fprobe: add unlock to match a succeeded ftrace_test_recursion_trylock (Viktor Malik) [RHEL-2373] - rethook, fprobe: do not trace rethook related functions (Viktor Malik) [RHEL-2373] - fprobe: add recursion detection in fprobe_exit_handler (Viktor Malik) [RHEL-2373] - fprobe: make fprobe_kprobe_handler recursion free (Viktor Malik) [RHEL-2373] - rethook: use preempt_{disable, enable}_notrace in rethook_trampoline_handler (Viktor Malik) [RHEL-2373] - bonding: reset bond's flags when down link is P2P device (Hangbin Liu) [2221438] - cxl/region: Move coherence tracking into cxl_region_attach() (John W. Linville) [2168268] - cxl/region: Fix region setup/teardown for RCDs (John W. Linville) [2168268] - cxl/port: Fix find_cxl_root() for RCDs and simplify it (John W. Linville) [2168268] - cxl: avoid returning uninitialized error code (John W. Linville) [2168268] - dax: Assign RAM regions to memory-hotplug by default (John W. Linville) [2168268] - dax/hmem: Convey the dax range via memregion_info() (John W. Linville) [2168268] - tools/testing/cxl: Define a fixed volatile configuration to parse (John W. Linville) [2168268] - cxl/region: Add region autodiscovery (John W. Linville) [2168268] - cxl/port: Split endpoint and switch port probe (John W. Linville) [2168268] - redhat/configs: Enable CONFIG_CXL_REGION (John W. Linville) [2168268] - cxl/region: Enable CONFIG_CXL_REGION to be toggled (John W. Linville) [2168268] - kernel/range: Uplevel the cxl subsystem's range_contains() helper (John W. Linville) [2168268] - cxl/region: Move region-position validation to a helper (John W. Linville) [2168268] - cxl/region: Refactor attach_target() for autodiscovery (John W. Linville) [2168268] - cxl/region: Add volatile region creation support (John W. Linville) [2168268] - cxl/region: Validate region mode vs decoder mode (John W. Linville) [2168268] - cxl/region: Support empty uuids for non-pmem regions (John W. Linville) [2168268] - cxl/region: Add a mode attribute for regions (John W. Linville) [2168268] - cxl/port: Link the 'parent_dport' in portX/ and endpointX/ sysfs (John W. Linville) [2168268] - cxl/region: Clarify when a cxld->commit() callback is mandatory (John W. Linville) [2168268] - tools/testing/cxl: require 64-bit (John W. Linville) [2168268] - cxl/pci: Show opcode in debug messages when sending a command (John W. Linville) [2168268] - tools/testing/cxl: Prevent cxl_test from confusing production modules (John W. Linville) [2168268] - cxl/region: Only warn about cpu_cache_invalidate_memregion() once (John W. Linville) [2168268] - cxl/pci: Move tracepoint definitions to drivers/cxl/core/ (John W. Linville) [2168268] - net: ethtool: Unify ETHTOOL_{G,S}RXFH rxnfc copy (Michal Schmidt) [RHEL-860] - ethtool: ioctl: account for sopass diff in set_wol (Michal Schmidt) [RHEL-860] - net: ethtool: don't require empty header nests (Michal Schmidt) [RHEL-860] - ethtool: ioctl: improve error checking for set_wol (Michal Schmidt) [RHEL-860] - ethtool: Fix uninitialized number of lanes (Michal Schmidt) [RHEL-860] - net: ethtool: coalesce: try to make user settings stick twice (Michal Schmidt) [RHEL-860] - net: ethtool: mm: sanitize some UAPI configurations (Michal Schmidt) [RHEL-860] - net: ethtool: create and export ethtool_dev_mm_supported() (Michal Schmidt) [RHEL-860] - ipv6: Remove in6addr_any alternatives. (Michal Schmidt) [RHEL-860] - ethtool: Add support for configuring tx_push_buf_len (Michal Schmidt) [RHEL-860] - netlink: Add a macro to set policy message with format string (Michal Schmidt) [RHEL-860] - IPv6: add extack info for IPv6 address add/delete (Hangbin Liu) [RHEL-3923] - tools/power/x86/intel-speed-select: v1.17 release (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Change mem-frequency display name (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Prevent CPU 0 offline (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Error on CPU count exceed in request (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Support more than 8 sockets. (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Fix CPU count display (David Arcari) [2177018] - tools/power/x86/intel-speed-select: v1.16 release (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Fix json formatting issue (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Adjust scope of core-power config (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Change TRL display for Emerald Rapids (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Display AMX base frequency (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Identify Emerald Rapids (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Update version (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Use cgroup v2 isolation (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Add missing free cpuset (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Fix clos-max display with TPMI I/F (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Add cpu id check (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Avoid setting duplicate tdp level (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Remove cpu mask display for non-cpu power domain (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Hide invalid TRL level (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Display fact info for non-cpu power domain (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Show level 0 name for new api_version (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Prevent cpu clos config for non-cpu power domain (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Allow display non-cpu power domain info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Display punit info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Display amx_p1 and cooling_type (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce TPMI interface support (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Get punit core mapping information (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce api_version helper (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Support large clos_min/max (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract adjust_uncore_freq (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Allow api_version based platform callbacks (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Move send_mbox_cmd to isst-core-mbox.c (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce is_debug_enabled() (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract read_pm_config (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract clos_associate (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract clos_get_assoc_status (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract set_clos (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract pm_get_clos (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract pm_qos_config (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_get_trls (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Enhance get_tdp_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_clos_information (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_uncore_p0_p1_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_fact_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract set_pbf_fact_status (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Remove isst_get_pbf_info_complete (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_pbf_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract set_tdp_level (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_trl_bucket_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_get_trl (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_coremask_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_tjmax_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Move code right before its caller (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_pwr_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_tdp_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_ctdp_control (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract get_config_levels (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Abstract is_punit_valid (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce isst-core-mbox.c (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Always invoke isst_fill_platform_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce isst_get_disp_freq_multiplier (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Move mbox functions to isst-core.c (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce support for multi-punit (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Improve isst_print_extended_platform_info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Rename for_each_online_package_in_set (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce isst_is_punit_valid() (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Follow TRL nameing for FACT info (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Introduce punit to isst_id (David Arcari) [2177018] - tools/power/x86/intel-speed-select: Unify TRL levels (David Arcari) [2177018] - Revert "tools/power/x86/intel-speed-select: Support more than 8 sockets." (David Arcari) [2177018] - x86/mce: Add support for Extended Physical Address MCA changes (Aristeu Rozanski) [2164637] - x86/mce: Define a function to extract ErrorAddr from MCA_ADDR (Aristeu Rozanski) [2164637] - x86/mce: Avoid unnecessary padding in struct mce_bank (Aristeu Rozanski) [2164637] - net/mlx5e: TC, Remove sample and ct limitation (Amir Tzin) [2229736] - net/mlx5e: TC, Remove mirror and ct limitation (Amir Tzin) [2229736] - net/mlx5e: TC, Remove tuple rewrite and ct limitation (Amir Tzin) [2229736] - net/mlx5e: TC, Remove multiple ct actions limitation (Amir Tzin) [2229736] - net/mlx5e: TC, Remove CT action reordering (Amir Tzin) [2229736] - net/mlx5e: CT: Use per action stats (Amir Tzin) [2229736] - net/mlx5e: TC, Move main flow attribute cleanup to helper func (Amir Tzin) [2229736] - net/mlx5e: TC, Remove unused vf_tun variable (Amir Tzin) [2229736] - net/mlx5e: Set default can_offload action (Amir Tzin) [2229736] Resolves: rhbz#2168268, rhbz#2177018, rhbz#2189183, rhbz#2192613, rhbz#2221438, rhbz#2225519, rhbz#2227777, rhbz#2230684, rhbz#2230688, RHEL-1733, RHEL-1958, RHEL-2300, RHEL-2373, RHEL-3923, RHEL-7959, RHEL-860 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-27 14:09:53 +00:00
CONFIG_CXL_REGION=y
# CONFIG_DA280 is not set
# CONFIG_DA311 is not set
kernel-5.14.0-87.el9 * Mon May 09 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-87.el9] - perf tools: Add external commands to list-cmds (Michael Petlan) [2065179] - perf docs: Add perf-iostat link to manpages (Michael Petlan) [2065179] - net: make use of helper netif_is_bridge_master() (Ivan Vecera) [2081260] - xfrm: enforce validity of offload input flags (Sabrina Dubroca) [2080384] - xfrm: Don't accidentally set RTO_ONLINK in decode_session4() (Sabrina Dubroca) [2080384] - net/xfrm: IPsec tunnel mode fix inner_ipproto setting in sec_path (Sabrina Dubroca) [2080384] - xfrm: fix a small bug in xfrm_sa_len() (Sabrina Dubroca) [2080384] - xfrm: fix policy lookup for ipv6 gre packets (Sabrina Dubroca) [2080384] - net/tls: fix slab-out-of-bounds bug in decrypt_internal (Sabrina Dubroca) [2080356] - tls: cap the output scatter list to something reasonable (Sabrina Dubroca) [2080356] - net/tls: Fix authentication failure in CCM mode (Sabrina Dubroca) [2080356] - tls: fix replacing proto_ops (Sabrina Dubroca) [2080356] - tls: splice_read: fix accessing pre-processed records (Sabrina Dubroca) [2080356] - tls: splice_read: fix record type check (Sabrina Dubroca) [2080356] - net: macsec: Verify that send_sci is on when setting Tx sci explicitly (Sabrina Dubroca) [2080352] - net: macsec: Fix offload support for NETDEV_UNREGISTER event (Sabrina Dubroca) [2080352] - sctp: check asoc strreset_chunk in sctp_generate_reconf_event (Xin Long) [2069957] - udf: Restore i_lenAlloc when inode expansion fails (Carlos Maiolino) [2054658] {CVE-2022-0617} - udf: Fix NULL ptr deref when converting from inline format (Carlos Maiolino) [2054658] {CVE-2022-0617} - cifs: destage any unwritten data to the server before calling copychunk_write (Ronnie Sahlberg) [1997373] - i40e: xsk: Move tmp desc array from driver to pool (Ivan Vecera) [2078894] - printk: use atomic updates for klogd work (Prarit Bhargava) [2078897] - genirq: Provide generic_handle_irq_safe() (Prarit Bhargava) [2078897] - printk: Remove printk.h inclusion in percpu.h (Prarit Bhargava) [2078897] - efi: Allow efi=runtime (Prarit Bhargava) [2078897] - efi: Disable runtime services on RT (Prarit Bhargava) [2078897] - x86/softirq: Disable softirq stacks on PREEMPT_RT (Prarit Bhargava) [2078897] - genirq: Disable irqfixup/poll on PREEMPT_RT. (Prarit Bhargava) [2078897] - genirq: Move prio assignment into the newly created thread (Prarit Bhargava) [2078897] - cpufreq: intel_pstate: Use firmware default EPP (Steve Best) [2072886] - cpufreq: intel_pstate: Update cpuinfo.max_freq on HWP_CAP changes (Steve Best) [2072886] - cpufreq: intel_pstate: Update EPP for AlderLake mobile (Steve Best) [2072886] - cpufreq: intel_pstate: Drop redundant intel_pstate_get_hwp_cap() call (Steve Best) [2072886] - cpufreq: intel_pstate: ITMT support for overclocked system (Steve Best) [2072886] - cpufreq: intel_pstate: Fix active mode offline/online EPP handling (Steve Best) [2072886] - cpufreq: intel_pstate: Add Ice Lake server to out-of-band IDs (Steve Best) [2072886] - drivers/base/memory: clarify adding and removing of memory blocks (David Hildenbrand) [2077436] - drivers/base/memory: determine and store zone for single-zone memory blocks (David Hildenbrand) [2077436] - drivers/base/node: rename link_mem_sections() to register_memory_block_under_node() (David Hildenbrand) [2077436] - drivers/base/node: consolidate node device subsystem initialization in node_dev_init() (David Hildenbrand) [2077436] - powerpc/kernel: Add __init attribute to eligible functions (David Hildenbrand) [2077436] - drivers/base/memory: add memory block to memory group after registration succeeded (David Hildenbrand) [2077436] - af_key: add __GFP_ZERO flag for compose_sadb_supported in function pfkey_register (Xin Long) [2075183] - proc/vmcore: fix vmcore_alloc_buf() kernel-doc comment (Baoquan He) [2051908] - proc/vmcore: fix possible deadlock on concurrent mmap and read (Baoquan He) [2051908] - proc/vmcore: don't fake reading zeroes on surprise vmcore_cb unregistration (Baoquan He) [2051908] - enable DAMON configs (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for schemes statistics (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/reclaim: document statistics parameters (Chris von Recklinghausen) [2004230] - mm/damon: hide kernel pointer from tracepoint event (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: hide kernel pointer from damon_va_three_regions() failure log (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: use pr_debug() for damon_va_three_regions() failure logging (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary variable (Chris von Recklinghausen) [2004230] - mm/damon: move the implementation of damon_insert_region to damon.h (Chris von Recklinghausen) [2004230] - mm/damon: add access checking for hugetlb pages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support all DAMOS stats (Chris von Recklinghausen) [2004230] - mm/damon/reclaim: provide reclamation statistics (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account how many times quota limit has exceeded (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account scheme actions that successfully applied (Chris von Recklinghausen) [2004230] - mm/damon: remove a mistakenly added comment for a future feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for kdamond_pid and (mk|rm)_contexts (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: mention tracepoint at the beginning (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: remove redundant information (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for scheme quotas and watermarks (Chris von Recklinghausen) [2004230] - mm/damon: convert macro functions to static inline functions (Chris von Recklinghausen) [2004230] - mm/damon: modify damon_rand() macro to static inline function (Chris von Recklinghausen) [2004230] - mm/damon: move damon_rand() definition into damon.h (Chris von Recklinghausen) [2004230] - mm/damon/schemes: add the validity judgment of thresholds (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove swap_ranges() and replace it with swap() (Chris von Recklinghausen) [2004230] - mm/damon: remove some unneeded function definitions in damon.h (Chris von Recklinghausen) [2004230] - mm/damon/core: use abs() instead of diff_of() (Chris von Recklinghausen) [2004230] - mm/damon: add 'age' of region tracepoint support (Chris von Recklinghausen) [2004230] - mm/damon: unified access_check function naming rules (Chris von Recklinghausen) [2004230] - docs/vm: add vmalloced-kernel-stacks document (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix 'struct pid' leaks in 'dbgfs_target_ids_write()' (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: protect targets destructions with kdamond_lock (Chris von Recklinghausen) [2004230] - selftests/damon: test debugfs file reads/writes with huge count (Chris von Recklinghausen) [2004230] - selftests/damon: test DAMON enabling with empty target_ids case (Chris von Recklinghausen) [2004230] - mm/damon/vaddr-test: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove an unnecessary warning message (Chris von Recklinghausen) [2004230] - mm/damon/core: remove unnecessary error messages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary error message (Chris von Recklinghausen) [2004230] - mm/damon/core: use better timer mechanisms selection threshold (Chris von Recklinghausen) [2004230] - mm/damon/core: fix fake load reports due to uninterruptible sleeps (Chris von Recklinghausen) [2004230] - timers: implement usleep_idle_range() (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix missed use of damon_dbgfs_lock (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: use '__GFP_NOWARN' for user-specified size buffer allocation (Chris von Recklinghausen) [2004230] - mm/damon: remove return value from before_terminate callback (Chris von Recklinghausen) [2004230] - mm/damon: fix a few spelling mistakes in comments and a pr_debug message (Chris von Recklinghausen) [2004230] - mm/damon: simplify stop mechanism (Chris von Recklinghausen) [2004230] - include/linux/delay.h: replace kernel.h with the necessary inclusions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/pagemap: wordsmith page flags descriptions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: simplify the content (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix a wrong link (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix wrong example commands (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: add adaptive_targets list check before enable monitor_on (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary variable initialization (Chris von Recklinghausen) [2004230] - Documentation/admin-guide/mm/damon: add a document for DAMON_RECLAIM (Chris von Recklinghausen) [2004230] - mm/damon: introduce DAMON-based Reclamation (DAMON_RECLAIM) (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support watermarks (Chris von Recklinghausen) [2004230] - mm/damon/schemes: activate schemes based on a watermarks mechanism (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support prioritization weights (Chris von Recklinghausen) [2004230] - mm/damon/vaddr,paddr: support pageout prioritization (Chris von Recklinghausen) [2004230] - mm/damon/schemes: prioritize regions within the quotas (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support quotas of schemes (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement time quota (Chris von Recklinghausen) [2004230] - mm/damon/schemes: skip already charged targets and regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement size quota for schemes application speed control (Chris von Recklinghausen) [2004230] - mm/damon/paddr: support the pageout scheme (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: constify static mm_walk_ops (Chris von Recklinghausen) [2004230] - Docs/DAMON: document physical memory monitoring support (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support physical memory monitoring (Chris von Recklinghausen) [2004230] - mm/damon: implement primitives for physical address space monitoring (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: separate commonly usable functions (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs-test: add a unit test case for 'init_regions' (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document 'init_regions' feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - docs/vm/damon: remove broken reference (Chris von Recklinghausen) [2004230] - Documentation/vm: move user guides to admin-guide/mm/ (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: allow users to set initial monitoring target regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement statistics feature (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/core: implement DAMON-based Operation Schemes (DAMOS) (Chris von Recklinghausen) [2004230] - mm/damon/core: account age of target regions (Chris von Recklinghausen) [2004230] - mm/damon/core: nullify pointer ctx->kdamond with a NULL (Chris von Recklinghausen) [2004230] - mm/damon: needn't hold kdamond_lock to print pid of kdamond (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary do_exit() from kdamond (Chris von Recklinghausen) [2004230] - mm/damon/core: print kdamond start log in debug mode only (Chris von Recklinghausen) [2004230] - mm/damon: grammar s/works/work/ (Chris von Recklinghausen) [2004230] - drm/msm: Fix missing include files in msm_gem_shrinker.c (Chris von Recklinghausen) [2004230] - NFSv4.2: Fix missing removal of SLAB_ACCOUNT on kmem_cache allocation (Waiman Long) [2013413] - slab: remove __alloc_size attribute from __kmalloc_track_caller (Waiman Long) [2013413] - mm: memcontrol: rename memcg_cache_id to memcg_kmem_id (Waiman Long) [2013413] - mm: list_lru: rename list_lru_per_memcg to list_lru_memcg (Waiman Long) [2013413] - mm: memcontrol: fix cannot alloc the maximum memcg ID (Waiman Long) [2013413] - mm: memcontrol: reuse memory cgroup ID for kmem ID (Waiman Long) [2013413] - mm: list_lru: replace linear array with xarray (Waiman Long) [2013413] - mm: list_lru: rename memcg_drain_all_list_lrus to memcg_reparent_list_lrus (Waiman Long) [2013413] - mm: list_lru: allocate list_lru_one only when needed (Waiman Long) [2013413] - mm: memcontrol: move memcg_online_kmem() to mem_cgroup_css_online() (Waiman Long) [2013413] - xarray: use kmem_cache_alloc_lru to allocate xa_node (Waiman Long) [2013413] - mm: dcache: use kmem_cache_alloc_lru() to allocate dentry (Waiman Long) [2013413] - fs: allocate inode by using alloc_inode_sb() (Waiman Long) [2013413] - fs: introduce alloc_inode_sb() to allocate filesystems specific inode (Waiman Long) [2013413] - mm: introduce kmem_cache_alloc_lru (Waiman Long) [2013413] - mm: list_lru: transpose the array of per-node per-memcg lru lists (Waiman Long) [2013413] - memcg: add per-memcg total kernel memory stat (Waiman Long) [2013413] - memcg: add per-memcg vmalloc stat (Waiman Long) [2013413] - mm: list_lru: only add memcg-aware lrus to the global lru list (Waiman Long) [2013413] - mm: list_lru: fix the return value of list_lru_count_one() (Waiman Long) [2013413] - mm: list_lru: remove holding lru lock (Waiman Long) [2013413] - memcg, kmem: further deprecate kmem.limit_in_bytes (Waiman Long) [2013413] - mm/list_lru.c: prefer struct_size over open coded arithmetic (Waiman Long) [2013413] - slab: add __alloc_size attributes for better bounds checking (Waiman Long) [2013413] - slab: clean up function prototypes (Waiman Long) [2013413] - Compiler Attributes: add __alloc_size() for better bounds checking (Waiman Long) [2013413] - Redhat: enable Kfence on production servers (Nico Pache) [2072662] - kfence, x86: only define helpers if !MODULE (Nico Pache) [2072662] - kfence: default to dynamic branch instead of static keys mode (Nico Pache) [2072662] - kfence: test: try to avoid test_gfpzero trigger rcu_stall (Nico Pache) [2072662] - kunit: fix UAF when run kfence test case test_gfpzero (Nico Pache) [2072662] - kfence: alloc kfence_pool after system startup (Nico Pache) [2072662] - kfence: allow re-enabling KFENCE after system startup (Nico Pache) [2072662] - mm/kfence: remove unnecessary CONFIG_KFENCE option (Nico Pache) [2072662] - kfence: make test case compatible with run time set sample interval (Nico Pache) [2072662] - kfence: always use static branches to guard kfence_alloc() (Nico Pache) [2072662] - kfence: shorten critical sections of alloc/free (Nico Pache) [2072662] - kfence: test: use kunit_skip() to skip tests (Nico Pache) [2072662] - kfence: limit currently covered allocations when pool nearly full (Nico Pache) [2072662] - kfence: move saving stack trace of allocations into __kfence_alloc() (Nico Pache) [2072662] - kfence: count unexpectedly skipped allocations (Nico Pache) [2072662] - kfence: add function to mask address bits (Nico Pache) [2072662] - cifs: verify that tcon is valid before dereference in cifs_kill_sb (Ronnie Sahlberg) [2048823] - cifs: release cached dentries only if mount is complete (Ronnie Sahlberg) [2048823] - cifs: we do not need a spinlock around the tree access during umount (Ronnie Sahlberg) [2048823] - cifs: fix handlecache and multiuser (Ronnie Sahlberg) [2048823] - cifs: fix workstation_name for multiuser mounts (Ronnie Sahlberg) [2048823] - cifs: free ntlmsspblob allocated in negotiate (Ronnie Sahlberg) [2048823] - cifs: fix ntlmssp auth when there is no key exchange (Ronnie Sahlberg) [2048823] - cifs: send workstation name during ntlmssp session setup (Ronnie Sahlberg) [2048823] - cifs: Fix crash on unload of cifs_arc4.ko (Ronnie Sahlberg) [2048823] - Documentation, arch: Remove leftovers from CIFS_WEAK_PW_HASH (Ronnie Sahlberg) [2048823] - cifs: fix the cifs_reconnect path for DFS (Ronnie Sahlberg) [2048823] - cifs: sanitize multiple delimiters in prepath (Ronnie Sahlberg) [2048823] - cifs: ignore resource_id while getting fscache super cookie (Ronnie Sahlberg) [2048823] - cifs: avoid use of dstaddr as key for fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: add server conn_id to fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: wait for tcon resource_id before getting fscache super (Ronnie Sahlberg) [2048823] - cifs: fix missed refcounting of ipc tcon (Ronnie Sahlberg) [2048823] - cifs: update internal version number (Ronnie Sahlberg) [2048823] - smb2: clarify rc initialization in smb2_reconnect (Ronnie Sahlberg) [2048823] - cifs: populate server_hostname for extra channels (Ronnie Sahlberg) [2048823] - cifs: nosharesock should be set on new server (Ronnie Sahlberg) [2048823] - cifs: introduce cifs_ses_mark_for_reconnect() helper (Ronnie Sahlberg) [2048823] - cifs: protect srv_count with cifs_tcp_ses_lock (Ronnie Sahlberg) [2048823] - cifs: move debug print out of spinlock (Ronnie Sahlberg) [2048823] - cifs: do not duplicate fscache cookie for secondary channels (Ronnie Sahlberg) [2048823] - cifs: connect individual channel servers to primary channel server (Ronnie Sahlberg) [2048823] - cifs: protect session channel fields with chan_lock (Ronnie Sahlberg) [2048823] - cifs: do not negotiate session if session already exists (Ronnie Sahlberg) [2048823] - smb3: do not setup the fscache_super_cookie until fsinfo initialized (Ronnie Sahlberg) [2048823] - cifs: fix potential use-after-free bugs (Ronnie Sahlberg) [2048823] - cifs: fix memory leak of smb3_fs_context_dup::server_hostname (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB311_posix_mkdir (Ronnie Sahlberg) [2048823] - cifs: release lock earlier in dequeue_mid error case (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_tcon (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_open (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_ioctl (Ronnie Sahlberg) [2048823] - smb3: remove trivial dfs compile warning (Ronnie Sahlberg) [2048823] - cifs: support nested dfs links over reconnect (Ronnie Sahlberg) [2048823] - smb3: do not error on fsync when readonly (Ronnie Sahlberg) [2048823] - cifs: for compound requests, use open handle if possible (Ronnie Sahlberg) [2048823] - cifs: set a minimum of 120s for next dns resolution (Ronnie Sahlberg) [2048823] - cifs: split out dfs code from cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: convert list_for_each to entry variant (Ronnie Sahlberg) [2048823] - cifs: introduce new helper for cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: fix print of hdr_flags in dfscache_proc_show() (Ronnie Sahlberg) [2048823] - cifs: nosharesock should not share socket with future sessions (Ronnie Sahlberg) [2048823] - smb3: add dynamic trace points for socket connection (Ronnie Sahlberg) [2048823] - cifs: Move SMB2_Create definitions to the shared area (Ronnie Sahlberg) [2048823] - cifs: Move more definitions into the shared area (Ronnie Sahlberg) [2048823] - cifs: move NEGOTIATE_PROTOCOL definitions out into the common area (Ronnie Sahlberg) [2048823] - cifs: Create a new shared file holding smb2 pdu definitions (Ronnie Sahlberg) [2048823] - cifs: add mount parameter tcpnodelay (Ronnie Sahlberg) [2048823] - cifs: To match file servers, make sure the server hostname matches (Ronnie Sahlberg) [2048823] - cifs: fix incorrect check for null pointer in header_assemble (Ronnie Sahlberg) [2048823] - smb3: correct server pointer dereferencing check to be more consistent (Ronnie Sahlberg) [2048823] - smb3: correct smb3 ACL security descriptor (Ronnie Sahlberg) [2048823] - cifs: Clear modified attribute bit from inode flags (Ronnie Sahlberg) [2048823] - cifs: Deal with some warnings from W=1 (Ronnie Sahlberg) [2048823] - cifs: fix a sign extension bug (Ronnie Sahlberg) [2048823] - cifs: Not to defer close on file when lock is set (Ronnie Sahlberg) [2048823] - cifs: Fix soft lockup during fsstress (Ronnie Sahlberg) [2048823] - cifs: Deferred close performance improvements (Ronnie Sahlberg) [2048823] - cifs: fix incorrect kernel doc comments (Ronnie Sahlberg) [2048823] - cifs: remove pathname for file from SPDX header (Ronnie Sahlberg) [2048823] - cifs: properly invalidate cached root handle when closing it (Ronnie Sahlberg) [2048823] - cifs: move SMB FSCTL definitions to common code (Ronnie Sahlberg) [2048823] - cifs: rename cifs_common to smbfs_common (Ronnie Sahlberg) [2048823] - cifs: cifs_md4 convert to SPDX identifier (Ronnie Sahlberg) [2048823] - cifs: create a MD4 module and switch cifs.ko to use it (Ronnie Sahlberg) [2048823] - cifs: fork arc4 and create a separate module for it for cifs and other users (Ronnie Sahlberg) [2048823] - cifs: remove support for NTLM and weaker authentication algorithms (Ronnie Sahlberg) [2048823] - cifs: update FSCTL definitions (Ronnie Sahlberg) [2048823] - cifs: Do not leak EDEADLK to dgetents64 for STATUS_USER_SESSION_DELETED (Ronnie Sahlberg) [2048823] - cifs: enable fscache usage even for files opened as rw (Ronnie Sahlberg) [2048823] - smb3: fix posix extensions mount option (Ronnie Sahlberg) [2048823] - cifs: fix wrong release in sess_alloc_buffer() failed path (Ronnie Sahlberg) [2048823] - CIFS: Fix a potencially linear read overflow (Ronnie Sahlberg) [2048823] Resolves: rhbz#2065179, rhbz#2081260, rhbz#2080384, rhbz#2080356, rhbz#2080352, rhbz#2069957, rhbz#2054658, rhbz#1997373, rhbz#2078894, rhbz#2078897, rhbz#2072886, rhbz#2077436, rhbz#2075183, rhbz#2051908, rhbz#2004230, rhbz#2013413, rhbz#2072662, rhbz#2048823 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-09 08:00:06 +00:00
CONFIG_DAMON_DBGFS=y
kernel-5.14.0-293.el9 * Wed Mar 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-293.el9] - redhat: fix trivial syntax error in 64k-debug modules signing (Herton R. Krzesinski) - redhat/configs: Revert "enable DAMON configs" (Vladis Dronov) - block: bio-integrity: Copy flags when bio_integrity_payload is cloned (Ming Lei) [2164903] - afs: remove afs_cache_netfs and afs_zap_permits() declarations (Marc Dionne) [2166628] - afs: remove variable nr_servers (Marc Dionne) [2166628] - afs: Fix lost servers_outstanding count (Marc Dionne) [2166628] - afs: Fix server->active leak in afs_put_server (Marc Dionne) [2166628] - afs: Fix fileserver probe RTT handling (Marc Dionne) [2166628] - afs: Return -EAGAIN, not -EREMOTEIO, when a file already locked (Marc Dionne) [2166628] - afs: Use the operation issue time instead of the reply time for callbacks (Marc Dionne) [2166628] - afs: Enable multipage folio support (Marc Dionne) [2166628] - afs: Fix access after dec in put functions (Marc Dionne) [2166628] - afs: Use refcount_t rather than atomic_t (Marc Dionne) [2166628] - afs: Fix dynamic root getattr (Marc Dionne) [2166628] - afs: Remove check of PageError (Marc Dionne) [2166628] - afs: Fix some checker issues (Marc Dionne) [2166628] - afs: Fix infinite loop found by xfstest generic/676 (Marc Dionne) [2166628] - afs: Adjust ACK interpretation to try and cope with NAT (Marc Dionne) [2166628] - afs: Prefer struct_size over open coded arithmetic (Marc Dionne) [2166628] - afs: Convert invalidatepage to invalidate_folio (Marc Dionne) [2166628] - afs: Convert directory aops to invalidate_folio (Marc Dionne) [2166628] - blk-mq: fix "bad unlock balance detected" on q->srcu in __blk_mq_run_dispatch_ops (Chris Leech) [2170101] - KVM: VMX: Execute IBPB on emulated VM-exit when guest has IBRS (Jon Maloy) [2160029] {CVE-2022-2196} - ice: fix lost multicast packets in promisc mode (Petr Oros) [2170694] - net: use indirect calls helpers for sk_exit_memory_pressure() (Florian Westphal) [2155182] - bpf: pull before calling skb_postpull_rcsum() (Felix Maurer) [2164806] - bpf, sockmap: fix race in sock_map_free() (Felix Maurer) [2164806] - bpf, sock_map: Move cancel_work_sync() out of sock lock (Felix Maurer) [2164806] - xsk: Inherit need_wakeup flag for shared sockets (Felix Maurer) [2164806] - xsk: Fix backpressure mechanism on Tx (Felix Maurer) [2164806] - redhat: Fix kernel-rt-kvm scripts (Juri Lelli) [2179874] - bpf/selftests: disable get_branch_snapshot test (Artem Savkov) [2161527] - scsi: qla2xxx: Perform lockless command completion in abort path (Nilesh Javali) [2093878] - platform/x86: ISST: PUNIT device mapping with Sub-NUMA clustering (Prarit Bhargava) [2139964] - net: openvswitch: add missing .resv_start_op (Ivan Vecera) [2175249] - genetlink: limit the use of validation workarounds to old ops (Ivan Vecera) [2175249] - genetlink: piggy back on resv_op to default to a reject policy (Ivan Vecera) [2175249] - genetlink: reject use of nlmsg_flags for new commands (Ivan Vecera) [2175249] - net: ieee802154: Fix compilation error when CONFIG_IEEE802154_NL802154_EXPERIMENTAL is disabled (Ivan Vecera) [2175249] - genetlink: start to validate reserved header bytes (Ivan Vecera) [2175249] - net: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175236] - net: ethernet: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175236] - Add CONFIG_SHRINKER_DEBUG to RHEL configs (Chris von Recklinghausen) [2160210] - Add CONFIG_DAMON_LRU_SORT to configs collection (Chris von Recklinghausen) [2160210] - arm64: Reset KASAN tag in copy_highpage with HW tags only (Chris von Recklinghausen) [2160210] - mm: shrinkers: fix deadlock in shrinker debugfs (Chris von Recklinghausen) [2160210] - dm: improve shrinker debug names (Chris von Recklinghausen) [2160210] - KVM: arm64: Pass the actual page address to mte_clear_page_tags() (Chris von Recklinghausen) [2160210] - mm/uffd: detect pgtable allocation failures (Chris von Recklinghausen) [2160210] - mm/mprotect: use long for page accountings and retval (Chris von Recklinghausen) [2160210] - mm/mprotect: drop pgprot_t parameter from change_protection() (Chris von Recklinghausen) [2160210] - mm/userfaultfd: rely on vma->vm_page_prot in uffd_wp_range() (Chris von Recklinghausen) [2160210] - mm/hugetlb: pre-allocate pgtable pages for uffd wr-protects (Chris von Recklinghausen) [2160210] - mm/userfaultfd: enable writenotify while userfaultfd-wp is enabled for a VMA (Chris von Recklinghausen) [2160210] - mm/hugetlb: fix uffd-wp handling for migration entries in hugetlb_change_protection() (Chris von Recklinghausen) [2160210] - mm/hugetlb: fix PTE marker handling in hugetlb_change_protection() (Chris von Recklinghausen) [2160210] - mm/gup: disallow FOLL_FORCE|FOLL_WRITE on hugetlb mappings (Chris von Recklinghausen) [2160210] - mm: remove unused savedwrite infrastructure (Chris von Recklinghausen) [2160210] - mm/autonuma: use can_change_(pte|pmd)_writable() to replace savedwrite (Chris von Recklinghausen) [2160210] - mm/mprotect: factor out check whether manual PTE write upgrades are required (Chris von Recklinghausen) [2160210] - mm/huge_memory: try avoiding write faults when changing PMD protection (Chris von Recklinghausen) [2160210] - mm/mprotect: minor can_change_pte_writable() cleanups (Chris von Recklinghausen) [2160210] - mm/mprotect: allow clean exclusive anon pages to be writable (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: fix wrong empty schemes assumption under online tuning in damon_sysfs_set_schemes() (Chris von Recklinghausen) [2160210] - arm64: mte: Lock a page for MTE tag initialisation (Chris von Recklinghausen) [2160210] - mm: Add PG_arch_3 page flag (Chris von Recklinghausen) [2160210] - arm64: mte: Fix/clarify the PG_mte_tagged semantics (Chris von Recklinghausen) [2160210] - mm: Do not enable PG_arch_2 for all 64-bit architectures (Chris von Recklinghausen) [2160210] - mm: fix unexpected changes to {failslab|fail_page_alloc}.attr (Chris von Recklinghausen) [2160210] - arch/x86/mm/hugetlbpage.c: pud_huge() returns 0 when using 2-level paging (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: include missing linux/moduleparam.h (Chris von Recklinghausen) [2160210] - mm/uffd: fix vma check on userfault for wp (Chris von Recklinghausen) [2160210] - mm/uffd: fix warning without PTE_MARKER_UFFD_WP compiled in (Chris von Recklinghausen) [2160210] - arm64: mte: Avoid setting PG_mte_tagged if no tags cleared or restored (Chris von Recklinghausen) [2160210] - mm/damon: introduce struct damos_access_pattern (Chris von Recklinghausen) [2160210] - iomap: iomap: fix memory corruption when recording errors during writeback (Chris von Recklinghausen) [2160210] - damon/sysfs: fix possible memleak on damon_sysfs_add_target (Chris von Recklinghausen) [2160210] - mm: fix BUG splat with kvmalloc + GFP_ATOMIC (Chris von Recklinghausen) [2160210] - powerpc/64s: Allow double call of kernel_[un]map_linear_page() (Chris von Recklinghausen) [2160210] - powerpc/64s: Remove unneeded #ifdef CONFIG_DEBUG_PAGEALLOC in hash_utils (Chris von Recklinghausen) [2160210] - powerpc/64s: Add DEBUG_PAGEALLOC for radix (Chris von Recklinghausen) [2160210] - x86/uaccess: avoid check_object_size() in copy_from_user_nmi() (Chris von Recklinghausen) [2160210] - mm/page_isolation: fix isolate_single_pageblock() isolation behavior (Chris von Recklinghausen) [2160210] - mm,hwpoison: check mm when killing accessing process (Chris von Recklinghausen) [2160210] - mm: bring back update_mmu_cache() to finish_fault() (Chris von Recklinghausen) [2160210] - um: Prevent KASAN splats in dump_stack() (Chris von Recklinghausen) [2160210] - kasan: call kasan_malloc() from __kmalloc_*track_caller() (Chris von Recklinghausen) [2160210] - mm/vmalloc: extend find_vmap_lowest_match_check with extra arguments (Chris von Recklinghausen) [2160210] - mm, hwpoison: fix extra put_page() in soft_offline_page() (Chris von Recklinghausen) [2160210] - memory tiering: hot page selection with hint page fault latency (Chris von Recklinghausen) [2160210] - vmscan: check folio_test_private(), not folio_get_private() (Chris von Recklinghausen) [2160210] - mm: fix VM_BUG_ON in __delete_from_swap_cache() (Chris von Recklinghausen) [2160210] - mm/damon/dbgfs: fix memory leak when using debugfs_lookup() (Chris von Recklinghausen) [2160210] - mm/damon/dbgfs: avoid duplicate context directory creation (Chris von Recklinghausen) [2160210] - mm/zsmalloc: do not attempt to free IS_ERR handle (Chris von Recklinghausen) [2160210] - mm: re-allow pinning of zero pfns (again) (Chris von Recklinghausen) [2160210] - shmem: update folio if shmem_replace_page() updates the page (Chris von Recklinghausen) [2160210] - mm/shmem: shmem_replace_page() remember NR_SHMEM (Chris von Recklinghausen) [2160210] - mm/shmem: tmpfs fallocate use file_modified() (Chris von Recklinghausen) [2160210] - mm/shmem: fix chattr fsflags support in tmpfs (Chris von Recklinghausen) [2160210] - mm/uffd: reset write protection when unregister with wp-mode (Chris von Recklinghausen) [2160210] - mm: add DEVICE_ZONE to FOR_ALL_ZONES (Chris von Recklinghausen) [2160210] - Revert "zram: remove double compression logic" (Chris von Recklinghausen) [2160210] - mm, hwpoison: enable memory error handling on 1GB hugepage (Chris von Recklinghausen) [2160210] - mm, hwpoison: skip raw hwpoison page in freeing 1GB hugepage (Chris von Recklinghausen) [2160210] - mm, hwpoison: make __page_handle_poison returns int (Chris von Recklinghausen) [2160210] - mm, hwpoison: make unpoison aware of raw error info in hwpoisoned hugepage (Chris von Recklinghausen) [2160210] - mm, hwpoison, hugetlb: support saving mechanism of raw error pages (Chris von Recklinghausen) [2160210] - mm/hugetlb: make pud_huge() and follow_huge_pud() aware of non-present pud entry (Chris von Recklinghausen) [2160210] - mm/hugetlb: check gigantic_page_runtime_supported() in return_unused_surplus_pages() (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: use PTRS_PER_PTE instead of PMD_SIZE / PAGE_SIZE (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: improve hugetlb_vmemmap code readability (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: replace early_param() with core_param() (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: move vmemmap code related to HugeTLB to hugetlb_vmemmap.c (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: introduce the name HVO (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: optimize vmemmap_optimize_mode handling (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: delete hugetlb_optimize_vmemmap_enabled() (Chris von Recklinghausen) [2160210] - tools/testing/selftests/vm/hmm-tests.c: fix build (Chris von Recklinghausen) [2160210] - ext4: fix reading leftover inlined symlinks (Chris von Recklinghausen) [2160210] - mm/folio-compat: Remove migration compatibility functions (Chris von Recklinghausen) [2160210] - fs: Remove aops->migratepage() (Chris von Recklinghausen) [2160210] - secretmem: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - hugetlb: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - aio: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - mm/migrate: Add filemap_migrate_folio() (Chris von Recklinghausen) [2160210] - mm/migrate: Convert migrate_page() to migrate_folio() (Chris von Recklinghausen) [2160210] - nfs: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - mm/migrate: Convert expected_page_refs() to folio_expected_refs() (Chris von Recklinghausen) [2160210] - mm/migrate: Convert buffer_migrate_page() to buffer_migrate_folio() (Chris von Recklinghausen) [2160210] - mm/migrate: Convert writeout() to take a folio (Chris von Recklinghausen) [2160210] - mm/migrate: Convert fallback_migrate_page() to fallback_migrate_folio() (Chris von Recklinghausen) [2160210] - fs: Add aops->migrate_folio (Chris von Recklinghausen) [2160210] - mm: Convert all PageMovable users to movable_operations (Chris von Recklinghausen) [2160210] - secretmem: Remove isolate_page (Chris von Recklinghausen) [2160210] - mm: Kconfig: fix typo (Chris von Recklinghausen) [2160210] - mm: memory-failure: convert to pr_fmt() (Chris von Recklinghausen) [2160210] - mm: use is_zone_movable_page() helper (Chris von Recklinghausen) [2160210] - mm/hmm: add a test for cross device private faults (Chris von Recklinghausen) [2160210] - mm/gup.c: fix formatting in check_and_migrate_movable_page() (Chris von Recklinghausen) [2160210] - mm/cma_debug.c: align the name buffer length as struct cma (Chris von Recklinghausen) [2160210] - mm/mempolicy: remove unneeded out label (Chris von Recklinghausen) [2160210] - mm/page_alloc: correct the wrong cpuset file path in comment (Chris von Recklinghausen) [2160210] - mm: remove unneeded PageAnon check in restore_exclusive_pte() (Chris von Recklinghausen) [2160210] - mm/shmem: support FS_IOC_[SG]ETFLAGS in tmpfs (Chris von Recklinghausen) [2160210] - mm: vmpressure: don't count proactive reclaim in vmpressure (Chris von Recklinghausen) [2160210] - zsmalloc: zs_malloc: return ERR_PTR on failure (Chris von Recklinghausen) [2160210] - memblock,arm64: expand the static memblock memory table (Chris von Recklinghausen) [2160210] - mm: remove obsolete comment in do_fault_around() (Chris von Recklinghausen) [2160210] - mm: compaction: include compound page count for scanning in pageblock isolation (Chris von Recklinghausen) [2160210] - mm: memcontrol: do not miss MEMCG_MAX events for enforced allocations (Chris von Recklinghausen) [2160210] - filemap: minor cleanup for filemap_write_and_wait_range (Chris von Recklinghausen) [2160210] - mm: shrinkers: fix double kfree on shrinker name (Chris von Recklinghausen) [2160210] - memblock tests: fix compilation errors (Chris von Recklinghausen) [2160210] - highmem: Make __kunmap_{local,atomic}() take const void pointer (Chris von Recklinghausen) [2160210] - mm: honor FGP_NOWAIT for page cache page allocation (Chris von Recklinghausen) [2160210] - mm: Add balance_dirty_pages_ratelimited_flags() function (Chris von Recklinghausen) [2160210] - mm: Move updates of dirty_exceeded into one place (Chris von Recklinghausen) [2160210] - mm: Move starting of background writeback into the main balancing loop (Chris von Recklinghausen) [2160210] - mm/slab_common: move generic bulk alloc/free functions to SLOB (Chris von Recklinghausen) [2160210] - mm/sl[au]b: use own bulk free function when bulk alloc failed (Chris von Recklinghausen) [2160210] - arm64: enable THP_SWAP for arm64 (Chris von Recklinghausen) [2160210] - hugetlb: fix memoryleak in hugetlb_mcopy_atomic_pte (Chris von Recklinghausen) [2160210] - secretmem: fix unhandled fault in truncate (Chris von Recklinghausen) [2160210] - tmpfs: fix the issue that the mount and remount results are inconsistent. (Chris von Recklinghausen) [2160210] - mm/mmap: fix obsolete comment of find_extend_vma (Chris von Recklinghausen) [2160210] - mm/page_vma_mapped.c: use helper function huge_pte_lock (Chris von Recklinghausen) [2160210] - mm/page_alloc: use try_cmpxchg in set_pfnblock_flags_mask (Chris von Recklinghausen) [2160210] - mm, hugetlb: skip irrelevant nodes in show_free_areas() (Chris von Recklinghausen) [2160210] - mm/mprotect: remove the redundant initialization for error (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper macro IS_ERR_OR_NULL in split_huge_pages_pid (Chris von Recklinghausen) [2160210] - mm/huge_memory: comment the subtly logic in __split_huge_pmd (Chris von Recklinghausen) [2160210] - mm/huge_memory: correct comment of prep_transhuge_page (Chris von Recklinghausen) [2160210] - mm/huge_memory: minor cleanup for split_huge_pages_all (Chris von Recklinghausen) [2160210] - mm/huge_memory: try to free subpage in swapcache when possible (Chris von Recklinghausen) [2160210] - mm/huge_memory: fix comment in zap_huge_pud (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper function vma_lookup in split_huge_pages_pid (Chris von Recklinghausen) [2160210] - mm/huge_memory: rename mmun_start to haddr in remove_migration_pmd (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper touch_pmd in huge_pmd_set_accessed (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper touch_pud in huge_pud_set_accessed (Chris von Recklinghausen) [2160210] - mm/huge_memory: fix comment of __pud_trans_huge_lock (Chris von Recklinghausen) [2160210] - mm/huge_memory: access vm_page_prot with READ_ONCE in remove_migration_pmd (Chris von Recklinghausen) [2160210] - mm/huge_memory: use flush_pmd_tlb_range in move_huge_pmd (Chris von Recklinghausen) [2160210] - mm/mmap: build protect protection_map[] with ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2160210] - x86/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210] - arm64/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210] - powerpc/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210] - mm/mmap: define DECLARE_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2160210] - mm/mmap: build protect protection_map[] with __P000 (Chris von Recklinghausen) [2160210] - mm: nommu: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm: gup: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm: kfence: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm/highmem: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm/memcontrol.c: replace cgroup_memory_nokmem with mem_cgroup_kmem_disabled() (Chris von Recklinghausen) [2160210] - mm/page_alloc: replace local_lock with normal spinlock (Chris von Recklinghausen) [2160210] - mm/page_alloc: remotely drain per-cpu lists (Chris von Recklinghausen) [2160210] - mm/page_alloc: protect PCP lists with a spinlock (Chris von Recklinghausen) [2160210] - mm/page_alloc: remove mistaken page == NULL check in rmqueue (Chris von Recklinghausen) [2160210] - mm/page_alloc: split out buddy removal code from rmqueue into separate helper (Chris von Recklinghausen) [2160210] - mm/page_alloc: use only one PCP list for THP-sized allocations (Chris von Recklinghausen) [2160210] - mm/page_alloc: add page->buddy_list and page->pcp_list (Chris von Recklinghausen) [2160210] - hugetlb: lazy page table copies in fork() (Chris von Recklinghausen) [2160210] - hugetlb: do not update address in huge_pmd_unshare (Chris von Recklinghausen) [2160210] - hugetlb: skip to end of PT page mapping when pte not present (Chris von Recklinghausen) [2160210] - kasan: separate double free case from invalid free (Chris von Recklinghausen) [2160210] - mm: khugepaged: reorg some khugepaged helpers (Chris von Recklinghausen) [2160210] - mm: thp: kill __transhuge_page_enabled() (Chris von Recklinghausen) [2160210] - mm: thp: kill transparent_hugepage_active() (Chris von Recklinghausen) [2160210] - mm: khugepaged: better comments for anon vma check in hugepage_vma_revalidate (Chris von Recklinghausen) [2160210] - mm: thp: consolidate vma size check to transhuge_vma_suitable (Chris von Recklinghausen) [2160210] - mm: khugepaged: check THP flag in hugepage_vma_check() (Chris von Recklinghausen) [2160210] - mm: factor helpers for memory_failure_dev_pagemap (Chris von Recklinghausen) [2160210] - tools: add selftests to hmm for COW in device memory (Chris von Recklinghausen) [2160210] - tools: add hmm gup tests for device coherent type (Chris von Recklinghausen) [2160210] - tools: update hmm-test to support device coherent type (Chris von Recklinghausen) [2160210] - lib: add support for device coherent type in test_hmm (Chris von Recklinghausen) [2160210] - lib: test_hmm add module param for zone device type (Chris von Recklinghausen) [2160210] - lib: test_hmm add ioctl to get zone device type (Chris von Recklinghausen) [2160210] - mm/gup: migrate device coherent pages when pinning instead of failing (Chris von Recklinghausen) [2160210] - mm: add device coherent vma selection for memory migration (Chris von Recklinghausen) [2160210] - mm: add zone device coherent type memory support (Chris von Recklinghausen) [2160210] - mm: move page zone helpers from mm.h to mmzone.h (Chris von Recklinghausen) [2160210] - mm: rename is_pinnable_page() to is_longterm_pinnable_page() (Chris von Recklinghausen) [2160210] - mm/damon/lru_sort: fix potential memory leak in damon_lru_sort_init() (Chris von Recklinghausen) [2160210] - UML: add support for KASAN under x86_64 (Chris von Recklinghausen) [2160210] - mm: Add PAGE_ALIGN_DOWN macro (Chris von Recklinghausen) [2160210] - docs: zh_CN/vm/zsmalloc.rst: fix a typo (Chris von Recklinghausen) [2160210] - docs: zh_CN/vm: fix a typo for page reporting ReST file (Chris von Recklinghausen) [2160210] - docs: zh_CN: page_frags.rst: fix a broken reference (Chris von Recklinghausen) [2160210] - arm64: kasan: Revert "arm64: mte: reset the page tag in page->flags" (Chris von Recklinghausen) [2160210] - mm: kasan: Skip page unpoisoning only if __GFP_SKIP_KASAN_UNPOISON (Chris von Recklinghausen) [2160210] - mm: kasan: Skip unpoisoning of user pages (Chris von Recklinghausen) [2160210] - mm: kasan: Ensure the tags are visible before the tag in page->flags (Chris von Recklinghausen) [2160210] - first_iovec_segment(): just return address (Chris von Recklinghausen) [2160210] - iov_iter: massage calling conventions for first_{iovec,bvec}_segment() (Chris von Recklinghausen) [2160210] - iov_iter: first_{iovec,bvec}_segment() - simplify a bit (Chris von Recklinghausen) [2160210] - iov_iter: lift dealing with maxpages out of first_{iovec,bvec}_segment() (Chris von Recklinghausen) [2160210] - mm: slab: optimize memcg_slab_free_hook() (Chris von Recklinghausen) [2160210] - mm/tracing: add 'accounted' entry into output of allocation tracepoints (Chris von Recklinghausen) [2160210] - mm/slub: Simplify __kmem_cache_alias() (Chris von Recklinghausen) [2160210] - mm, slab: fix bad alignments (Chris von Recklinghausen) [2160210] - mm/khugepaged: try to free transhuge swapcache when possible (Chris von Recklinghausen) [2160210] - mm/khugepaged: remove unneeded return value of khugepaged_add_pte_mapped_thp() (Chris von Recklinghausen) [2160210] - mm/khugepaged: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210] - mm/khugepaged: minor cleanup for collapse_file (Chris von Recklinghausen) [2160210] - mm/khugepaged: trivial typo and codestyle cleanup (Chris von Recklinghausen) [2160210] - mm/khugepaged: stop swapping in page when VM_FAULT_RETRY occurs (Chris von Recklinghausen) [2160210] - mm/khugepaged: remove unneeded shmem_huge_enabled() check (Chris von Recklinghausen) [2160210] - mm: sparsemem: drop unexpected word 'a' in comments (Chris von Recklinghausen) [2160210] - mm: hugetlb: kill set_huge_swap_pte_at() (Chris von Recklinghausen) [2160210] - mm/page_alloc: make the annotations of available memory more accurate (Chris von Recklinghausen) [2160210] - mm, docs: fix comments that mention mem_hotplug_end() (Chris von Recklinghausen) [2160210] - mm: rmap: simplify the hugetlb handling when unmapping or migration (Chris von Recklinghausen) [2160210] - mm/madvise: minor cleanup for swapin_walk_pmd_entry() (Chris von Recklinghausen) [2160210] - mm: hugetlb: remove minimum_order variable (Chris von Recklinghausen) [2160210] - mm: memory_hotplug: make hugetlb_optimize_vmemmap compatible with memmap_on_memory (Chris von Recklinghausen) [2160210] - mm: memory_hotplug: enumerate all supported section flags (Chris von Recklinghausen) [2160210] - mm/swap: convert __delete_from_swap_cache() to a folio (Chris von Recklinghausen) [2160210] - mm/swap: convert delete_from_swap_cache() to take a folio (Chris von Recklinghausen) [2160210] - mm: convert page_swap_flags to folio_swap_flags (Chris von Recklinghausen) [2160210] - mm: convert destroy_compound_page() to destroy_large_folio() (Chris von Recklinghausen) [2160210] - mm/swap: convert __page_cache_release() to use a folio (Chris von Recklinghausen) [2160210] - mm/swap: convert __put_compound_page() to __folio_put_large() (Chris von Recklinghausen) [2160210] - mm/swap: convert __put_single_page() to __folio_put_small() (Chris von Recklinghausen) [2160210] - mm/swap: convert __put_page() to __folio_put() (Chris von Recklinghausen) [2160210] - mm/swap: convert put_pages_list to use folios (Chris von Recklinghausen) [2160210] - mm/swap: convert release_pages to use a folio internally (Chris von Recklinghausen) [2160210] - mm/swap: convert try_to_free_swap to use a folio (Chris von Recklinghausen) [2160210] - mm/swap: optimise lru_add_drain_cpu() (Chris von Recklinghausen) [2160210] - mm/swap: pull the CPU conditional out of __lru_add_drain_all() (Chris von Recklinghausen) [2160210] - mm/swap: rename lru_pvecs to cpu_fbatches (Chris von Recklinghausen) [2160210] - mm/swap: convert activate_page to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_lazyfree to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_deactivate to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_deactivate_file to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_add to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: make __pagevec_lru_add static (Chris von Recklinghausen) [2160210] - mm/swap: add folio_batch_move_lru() (Chris von Recklinghausen) [2160210] - mm: add folios_put() (Chris von Recklinghausen) [2160210] - mm/vmscan: convert reclaim_pages() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert shrink_active_list() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert move_pages_to_lru() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert isolate_lru_pages() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert reclaim_clean_pages_from_list() to folios (Chris von Recklinghausen) [2160210] - mm/damon: introduce DAMON-based LRU-lists Sorting (Chris von Recklinghausen) [2160210] - mm/damon/schemes: add 'LRU_DEPRIO' action (Chris von Recklinghausen) [2160210] - mm/damon/schemes: add 'LRU_PRIO' DAMOS action (Chris von Recklinghausen) [2160210] - mm/damon/paddr: use a separate function for 'DAMOS_PAGEOUT' handling (Chris von Recklinghausen) [2160210] - mm/damon/dbgfs: add and use mappings between 'schemes' action inputs and 'damos_action' values (Chris von Recklinghausen) [2160210] - mm/swap: remove swap_cache_info statistics (Chris von Recklinghausen) [2160210] - mm/swapfile: fix possible data races of inuse_pages (Chris von Recklinghausen) [2160210] - mm/vmalloc: extend __find_vmap_area() with one more argument (Chris von Recklinghausen) [2160210] - mm/vmalloc: initialize VA's list node after unlink (Chris von Recklinghausen) [2160210] - mm/vmalloc: extend __alloc_vmap_area() with extra arguments (Chris von Recklinghausen) [2160210] - mm/vmalloc: make link_va()/unlink_va() common to different rb_root (Chris von Recklinghausen) [2160210] - mm: shrinkers: add scan interface for shrinker debugfs (Chris von Recklinghausen) [2160210] - mm: docs: document shrinker debugfs (Chris von Recklinghausen) [2160210] - mm: shrinkers: provide shrinkers with names (Chris von Recklinghausen) [2160210] - mm: shrinkers: introduce debugfs interface for memory shrinkers (Chris von Recklinghausen) [2160210] - mm/hugetlb: remove unnecessary huge_ptep_set_access_flags() in hugetlb_mcopy_atomic_pte() (Chris von Recklinghausen) [2160210] - mm: introduce clear_highpage_kasan_tagged (Chris von Recklinghausen) [2160210] - mm: rename kernel_init_free_pages to kernel_init_pages (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: add 'damon_reclaim_' prefix to 'enabled_store()' (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: make 'enabled' checking timer simpler (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: deduplicate inputs applying (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: deduplicate 'commit_inputs' handling (Chris von Recklinghausen) [2160210] - mm/damon/{dbgfs,sysfs}: move target_has_pid() from dbgfs to damon.h (Chris von Recklinghausen) [2160210] - mm/page_vma_mapped.c: check possible huge PMD map with transhuge_vma_suitable() (Chris von Recklinghausen) [2160210] - Documentation: highmem: use literal block for code example in highmem.h comment (Chris von Recklinghausen) [2160210] - usercopy: use unsigned long instead of uintptr_t (Chris von Recklinghausen) [2160210] - memblock: avoid some repeat when add new range (Chris von Recklinghausen) [2160210] - filemap: Use filemap_read_folio() in do_read_cache_folio() (Chris von Recklinghausen) [2160210] - filemap: Handle AOP_TRUNCATED_PAGE in do_read_cache_folio() (Chris von Recklinghausen) [2160210] - filemap: Move 'filler' case to the end of do_read_cache_folio() (Chris von Recklinghausen) [2160210] - filemap: Remove find_get_pages_range() and associated functions (Chris von Recklinghausen) [2160210] - shmem: Convert shmem_unlock_mapping() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - vmscan: Add check_move_unevictable_folios() (Chris von Recklinghausen) [2160210] - hugetlbfs: Convert remove_inode_hugepages() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - ext4: Convert mpage_map_and_submit_buffers() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - ext4: Convert mpage_release_unused_pages() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - buffer: Convert clean_bdev_aliases() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - filemap: Add filemap_get_folios() (Chris von Recklinghausen) [2160210] - filemap: Remove add_to_page_cache() and add_to_page_cache_locked() (Chris von Recklinghausen) [2160210] - hugetlb: Convert huge_add_to_page_cache() to use a folio (Chris von Recklinghausen) [2160210] - mm: Remove __delete_from_page_cache() (Chris von Recklinghausen) [2160210] - arch/*/: remove CONFIG_VIRT_TO_BUS (Chris von Recklinghausen) [2160210] - docs: rename Documentation/vm to Documentation/mm (Chris von Recklinghausen) [2160210] - mm: ioremap: Add ioremap/iounmap_allowed() (Chris von Recklinghausen) [2160210] - mm: ioremap: Setup phys_addr of struct vm_struct (Chris von Recklinghausen) [2160210] - mm: ioremap: Use more sensible name in ioremap_prot() (Chris von Recklinghausen) [2160210] - filemap: Handle sibling entries in filemap_get_read_batch() (Chris von Recklinghausen) [2160210] - filemap: Correct the conditions for marking a folio as accessed (Chris von Recklinghausen) [2160210] - powerpc/mm: Move CMA reservations after initmem_init() (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap.c: remove unwanted initialization in vmemmap_populate_compound_pages() (Chris von Recklinghausen) [2160210] - mm: kmem: make mem_cgroup_from_obj() vmalloc()-safe (Chris von Recklinghausen) [2160210] - lib/test_hmm: avoid accessing uninitialized pages (Chris von Recklinghausen) [2160210] - mm/memremap: fix wrong function name above memremap_pages() (Chris von Recklinghausen) [2160210] - mm/mempool: use might_alloc() (Chris von Recklinghausen) [2160210] - mm/slab: delete cache_alloc_debugcheck_before() (Chris von Recklinghausen) [2160210] - mm/page_alloc: use might_alloc() (Chris von Recklinghausen) [2160210] - mm/vmalloc: add code comment for find_vmap_area_exceed_addr() (Chris von Recklinghausen) [2160210] - mm/vmalloc: fix typo in local variable name (Chris von Recklinghausen) [2160210] - mm/vmalloc: remove the redundant boundary check (Chris von Recklinghausen) [2160210] - mm/vmalloc: invoke classify_va_fit_type() in adjust_va_to_fit_type() (Chris von Recklinghausen) [2160210] - mm/memory_hotplug: drop 'reason' argument from check_pfn_span() (Chris von Recklinghausen) [2160210] - mm/shmem.c: clean up comment of shmem_swapin_folio (Chris von Recklinghausen) [2160210] - mm: use PAGE_ALIGNED instead of IS_ALIGNED (Chris von Recklinghausen) [2160210] - mm: memcontrol: reference to tools/cgroup/memcg_slabinfo.py (Chris von Recklinghausen) [2160210] - mm: re-allow pinning of zero pfns (Chris von Recklinghausen) [2160210] - mm/kfence: select random number before taking raw lock (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: schedule 'damon_reclaim_timer' only after 'system_wq' is initialized (Chris von Recklinghausen) [2160210] - mm/page_isolation.c: fix one kernel-doc comment (Chris von Recklinghausen) [2160210] - NFSv4: Add FMODE_CAN_ODIRECT after successful open of a NFS4.x file (Chris von Recklinghausen) [2160210] - memblock: Disable mirror feature if kernelcore is not specified (Chris von Recklinghausen) [2160210] - mm: Limit warning message in vmemmap_verify() to once (Chris von Recklinghausen) [2160210] - mm: Ratelimited mirrored memory related warning messages (Chris von Recklinghausen) [2160210] - mm: create security context for memfd_secret inodes (Chris von Recklinghausen) [2160210] - usercopy: Make usercopy resilient against ridiculously large copies (Chris von Recklinghausen) [2160210] - usercopy: Cast pointer to an integer once (Chris von Recklinghausen) [2160210] - usercopy: Handle vm_map_ram() areas (Chris von Recklinghausen) [2160210] - filemap: Don't release a locked folio (Chris von Recklinghausen) [2160210] - mm/vmstat: replace cpumask_weight with cpumask_empty where appropriate (Chris von Recklinghausen) [2160210] - mm/oom_kill.c: fix vm_oom_kill_table[] ifdeffery (Chris von Recklinghausen) [2160210] - mm: page_isolation: use compound_nr() correctly in isolate_single_pageblock() (Chris von Recklinghausen) [2160210] - delayacct: track delays from write-protect copy (Chris von Recklinghausen) [2160210] - mm/shmem.c: suppress shift warning (Chris von Recklinghausen) [2160210] - mm: fix is_pinnable_page against a cma page (Chris von Recklinghausen) [2160210] - mm: filter out swapin error entry in shmem mapping (Chris von Recklinghausen) [2160210] - mm/shmem: fix infinite loop when swap in shmem error at swapoff time (Chris von Recklinghausen) [2160210] - mm/madvise: free hwpoison and swapin error entry in madvise_free_pte_range (Chris von Recklinghausen) [2160210] - mm/swapfile: fix lost swap bits in unuse_pte() (Chris von Recklinghausen) [2160210] - mm/swapfile: unuse_pte can map random data if swap read fails (Chris von Recklinghausen) [2160210] - mm: split free page with properly free memory accounting and without race (Chris von Recklinghausen) [2160210] - mm: page-isolation: skip isolated pageblock in start_isolate_page_range() (Chris von Recklinghausen) [2160210] - mm: kfence: use PAGE_ALIGNED helper (Chris von Recklinghausen) [2160210] - ksm: fix typo in comment (Chris von Recklinghausen) [2160210] - Revert "mm/vmscan: never demote for memcg reclaim" (Chris von Recklinghausen) [2160210] - mm/kfence: print disabling or re-enabling message (Chris von Recklinghausen) [2160210] - include/trace/events/percpu.h: cleanup for "percpu: improve percpu_alloc_percpu event trace" (Chris von Recklinghausen) [2160210] - include/trace/events/mmflags.h: cleanup for "tracing: incorrect gfp_t conversion" (Chris von Recklinghausen) [2160210] - mm: fix a potential infinite loop in start_isolate_page_range() (Chris von Recklinghausen) [2160210] - MAINTAINERS: add Muchun as co-maintainer for HugeTLB (Chris von Recklinghausen) [2160210] - mm/shmem: fix shmem folio swapoff hang (Chris von Recklinghausen) [2160210] - powerpc/kasan: Disable address sanitization in kexec paths (Chris von Recklinghausen) [2160210] - kasan: Document support on 32-bit powerpc (Chris von Recklinghausen) [2160210] - mm: damon: use HPAGE_PMD_SIZE (Chris von Recklinghausen) [2160210] - mm: fix missing handler for __GFP_NOWARN (Chris von Recklinghausen) [2160210] - mm/page_alloc: fix tracepoint mm_page_alloc_zone_locked() (Chris von Recklinghausen) [2160210] - mm/page_owner.c: add missing __initdata attribute (Chris von Recklinghausen) [2160210] - tmpfs: fix undefined-behaviour in shmem_reconfigure() (Chris von Recklinghausen) [2160210] - mm/mempolicy: fix uninit-value in mpol_rebind_policy() (Chris von Recklinghausen) [2160210] - mm: don't be stuck to rmap lock on reclaim path (Chris von Recklinghausen) [2160210] - mm/swap: fix comment about swap extent (Chris von Recklinghausen) [2160210] - mm/swap: fix the comment of get_kernel_pages (Chris von Recklinghausen) [2160210] - mm/swap: add helper swap_offset_available() (Chris von Recklinghausen) [2160210] - mm/swap: avoid calling swp_swap_info when try to check SWP_STABLE_WRITES (Chris von Recklinghausen) [2160210] - mm/swap: make page_swapcount and __lru_add_drain_all static (Chris von Recklinghausen) [2160210] - mm/swap: remove unneeded p != NULL check in __swap_duplicate (Chris von Recklinghausen) [2160210] - mm/swap: remove buggy cache->nr check in refill_swap_slots_cache (Chris von Recklinghausen) [2160210] - mm/swap: print bad swap offset entry in get_swap_device (Chris von Recklinghausen) [2160210] - mm/swap: remove unneeded return value of free_swap_slot (Chris von Recklinghausen) [2160210] - mm/swap: fold __swap_info_get() into its sole caller (Chris von Recklinghausen) [2160210] - mm/swap: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210] - mm/swap: use helper is_swap_pte() in swap_vma_readahead (Chris von Recklinghausen) [2160210] - mm: mmap: register suitable readonly file vmas for khugepaged (Chris von Recklinghausen) [2160210] - mm: khugepaged: introduce khugepaged_enter_vma() helper (Chris von Recklinghausen) [2160210] - mm: khugepaged: make hugepage_vma_check() non-static (Chris von Recklinghausen) [2160210] - mm: khugepaged: make khugepaged_enter() void function (Chris von Recklinghausen) [2160210] - mm: thp: only regular file could be THP eligible (Chris von Recklinghausen) [2160210] - mm: khugepaged: skip DAX vma (Chris von Recklinghausen) [2160210] - mm: khugepaged: remove redundant check for VM_NO_KHUGEPAGED (Chris von Recklinghausen) [2160210] - ext4: convert symlink external data block mapping to bdev (Chris von Recklinghausen) [2160210] - ext4: add nowait mode for ext4_getblk() (Chris von Recklinghausen) [2160210] - mm: usercopy: move the virt_addr_valid() below the is_vmalloc_addr() (Chris von Recklinghausen) [2160210] - mm/memcontrol: export memcg->watermark via sysfs for v2 memcg (Chris von Recklinghausen) [2160210] - mm: rmap: fix CONT-PTE/PMD size hugetlb issue when unmapping (Chris von Recklinghausen) [2160210] - mm: rmap: fix CONT-PTE/PMD size hugetlb issue when migration (Chris von Recklinghausen) [2160210] - Documentation/vm: rework "Temporary Virtual Mappings" section (Chris von Recklinghausen) [2160210] - Documentation/vm: move "Using kmap-atomic" to highmem.h (Chris von Recklinghausen) [2160210] - Documentation/vm: include kdocs from highmem*.h into highmem.rst (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: simplify num_poisoned_pages_inc/dec (Chris von Recklinghausen) [2160210] - mm/hwpoison: disable hwpoison filter during removing (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: add hwpoison_filter for soft offline (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: simplify num_poisoned_pages_dec (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: move clear_hwpoisoned_pages (Chris von Recklinghausen) [2160210] - kasan: give better names to shadow values (Chris von Recklinghausen) [2160210] - kasan: use tabs to align shadow values (Chris von Recklinghausen) [2160210] - kasan: clean up comments in internal kasan.h (Chris von Recklinghausen) [2160210] - tracing: incorrect gfp_t conversion (Chris von Recklinghausen) [2160210] - zram: remove double compression logic (Chris von Recklinghausen) [2160210] - percpu: improve percpu_alloc_percpu event trace (Chris von Recklinghausen) [2160210] - docs: vm/page_owner: tweak literal block in STANDARD FORMAT SPECIFIERS (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: use resource_size function on resource object (Chris von Recklinghausen) [2160210] - mm: page_table_check: move pxx_user_accessible_page into x86 (Chris von Recklinghausen) [2160210] - mm: page_table_check: using PxD_SIZE instead of PxD_PAGE_SIZE (Chris von Recklinghausen) [2160210] - mm/migrate: convert move_to_new_page() into move_to_new_folio() (Chris von Recklinghausen) [2160210] - mm: add folio_test_movable() (Chris von Recklinghausen) [2160210] - mm: add folio_mapping_flags() (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_swapin_page() to shmem_swapin_folio() (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_getpage_gfp to use a folio (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_alloc_and_acct_page to use a folio (Chris von Recklinghausen) [2160210] - mm/shmem: add shmem_alloc_folio() (Chris von Recklinghausen) [2160210] - mm/shmem: turn shmem_should_replace_page into shmem_should_replace_folio (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_add_to_page_cache to take a folio (Chris von Recklinghausen) [2160210] - mm/swap: add folio_throttle_swaprate (Chris von Recklinghausen) [2160210] - mm/shmem: use a folio in shmem_unused_huge_shrink (Chris von Recklinghausen) [2160210] - vmscan: remove remaining uses of page in shrink_page_list (Chris von Recklinghausen) [2160210] - mm: allow can_split_folio() to be called when THP are disabled (Chris von Recklinghausen) [2160210] - vmscan: convert the activate_locked portion of shrink_page_list to folios (Chris von Recklinghausen) [2160210] - vmscan: move initialisation of mapping down (Chris von Recklinghausen) [2160210] - vmscan: convert lazy freeing to folios (Chris von Recklinghausen) [2160210] - vmscan: convert page buffer handling to use folios (Chris von Recklinghausen) [2160210] - vmscan: convert dirty page handling to folios (Chris von Recklinghausen) [2160210] - swap: convert add_to_swap() to take a folio (Chris von Recklinghausen) [2160210] - swap: turn get_swap_page() into folio_alloc_swap() (Chris von Recklinghausen) [2160210] - vmscan: convert the writeback handling in shrink_page_list() to folios (Chris von Recklinghausen) [2160210] - vmscan: use folio_mapped() in shrink_page_list() (Chris von Recklinghausen) [2160210] - mm: remove alloc_pages_vma() (Chris von Recklinghausen) [2160210] - mm/huge_memory: convert do_huge_pmd_anonymous_page() to use vma_alloc_folio() (Chris von Recklinghausen) [2160210] - shmem: convert shmem_alloc_hugepage() to use vma_alloc_folio() (Chris von Recklinghausen) [2160210] - mm/shmem: remove duplicate include in memory.c (Chris von Recklinghausen) [2160210] - mm/vmscan: don't use NUMA_NO_NODE as indicator of page on different node (Chris von Recklinghausen) [2160210] - mm/vmscan: filter empty page_list at the beginning (Chris von Recklinghausen) [2160210] - mm/vmscan: use helper folio_is_file_lru() (Chris von Recklinghausen) [2160210] - mm/vmscan: remove obsolete comment in kswapd_run (Chris von Recklinghausen) [2160210] - mm/vmscan: take all base pages of THP into account when race with speculative reference (Chris von Recklinghausen) [2160210] - mm/vmscan: introduce helper function reclaim_page_list() (Chris von Recklinghausen) [2160210] - mm/vmscan: add a comment about MADV_FREE pages check in folio_check_dirty_writeback (Chris von Recklinghausen) [2160210] - mm/vmscan: not necessary to re-init the list for each iteration (Chris von Recklinghausen) [2160210] - mm: convert sysfs input to bool using kstrtobool() (Chris von Recklinghausen) [2160210] - mm/vmscan: take min_slab_pages into account when try to call shrink_node (Chris von Recklinghausen) [2160210] - mm: cma: use pageblock_order as the single alignment (Chris von Recklinghausen) [2160210] - mm: page_isolation: enable arbitrary range page isolation. (Chris von Recklinghausen) [2160210] - mm: make alloc_contig_range work at pageblock granularity (Chris von Recklinghausen) [2160210] - mm: page_isolation: check specified range for unmovable pages (Chris von Recklinghausen) [2160210] - mm: page_isolation: move has_unmovable_pages() to mm/page_isolation.c (Chris von Recklinghausen) [2160210] - mm/uffd: enable write protection for shmem & hugetlbfs (Chris von Recklinghausen) [2160210] - mm/khugepaged: don't recycle vma pgtable if uffd-wp registered (Chris von Recklinghausen) [2160210] - mm/hugetlb: only drop uffd-wp special pte if required (Chris von Recklinghausen) [2160210] - mm/hugetlb: allow uffd wr-protect none ptes (Chris von Recklinghausen) [2160210] - mm/hugetlb: handle UFFDIO_WRITEPROTECT (Chris von Recklinghausen) [2160210] - mm/hugetlb: take care of UFFDIO_COPY_MODE_WP (Chris von Recklinghausen) [2160210] - mm/hugetlb: hook page faults for uffd write protection (Chris von Recklinghausen) [2160210] - mm/shmem: allows file-back mem to be uffd wr-protected on thps (Chris von Recklinghausen) [2160210] - mm/shmem: allow uffd wr-protect none pte for file-backed mem (Chris von Recklinghausen) [2160210] - mm/shmem: persist uffd-wp bit across zapping for file-backed (Chris von Recklinghausen) [2160210] - mm/shmem: handle uffd-wp special pte in page fault handler (Chris von Recklinghausen) [2160210] - mm/shmem: take care of UFFDIO_COPY_MODE_WP (Chris von Recklinghausen) [2160210] - mm: check against orig_pte for finish_fault() (Chris von Recklinghausen) [2160210] - mm: teach core mm about pte markers (Chris von Recklinghausen) [2160210] - mm/page_alloc: cache the result of node_dirty_ok() (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: support online inputs update (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: support online inputs update (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: update schemes stat in the kdamond context (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: use enum for 'state' input handling (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: reuse damon_set_regions() for regions setting (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: move targets setup code to a separated function (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: prohibit multiple physical address space monitoring targets (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: remove damon_va_apply_three_regions() (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: move 'damon_set_regions()' to core (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: generalize damon_va_apply_three_regions() (Chris von Recklinghausen) [2160210] - mm/damon/core: finish kdamond as soon as any callback returns an error (Chris von Recklinghausen) [2160210] - mm/damon/core: add a new callback for watermarks checks (Chris von Recklinghausen) [2160210] - mm: rmap: use flush_cache_range() to flush cache for hugetlb pages (Chris von Recklinghausen) [2160210] - mm: rmap: move the cache flushing to the correct place for hugetlb PMD sharing (Chris von Recklinghausen) [2160210] - mm/memory_hotplug: use pgprot_val to get value of pgprot (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: support fixed virtual address ranges monitoring (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: register a damon_operations for fixed virtual address ranges monitoring (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: add a file for listing available monitoring ops (Chris von Recklinghausen) [2160210] - mm/damon/core: add a function for damon_operations registration checks (Chris von Recklinghausen) [2160210] - kfence: enable check kfence canary on panic via boot param (Chris von Recklinghausen) [2160210] - mm: avoid unnecessary flush on change_huge_pmd() (Chris von Recklinghausen) [2160210] - mm/mprotect: do not flush when not required architecturally (Chris von Recklinghausen) [2160210] - fs: Remove aops->freepage (Chris von Recklinghausen) [2160210] - secretmem: Convert to free_folio (Chris von Recklinghausen) [2160210] - nfs: Convert to free_folio (Chris von Recklinghausen) [2160210] - fs: Add free_folio address space operation (Chris von Recklinghausen) [2160210] - fs: Change try_to_free_buffers() to take a folio (Chris von Recklinghausen) [2160210] - jbd2: Convert release_buffer_page() to use a folio (Chris von Recklinghausen) [2160210] - jbd2: Convert jbd2_journal_try_to_free_buffers to take a folio (Chris von Recklinghausen) [2160210] - fs: Remove last vestiges of releasepage (Chris von Recklinghausen) [2160210] - nfs: Convert to release_folio (Chris von Recklinghausen) [2160210] - gfs2: Convert to release_folio (Chris von Recklinghausen) [2160210] - ext4: Convert to release_folio (Chris von Recklinghausen) [2160210] - cifs: Convert to release_folio (Chris von Recklinghausen) [2160210] - ceph: Convert to release_folio (Chris von Recklinghausen) [2160210] - afs: Convert to release_folio (Chris von Recklinghausen) [2160210] - iomap: Convert to release_folio (Chris von Recklinghausen) [2160210] - fs: Add aops->release_folio (Chris von Recklinghausen) [2160210] - VFS: add FMODE_CAN_ODIRECT file flag (Chris von Recklinghausen) [2160210] - MM: handle THP in swap_*page_fs() - count_vm_events() (Chris von Recklinghausen) [2160210] - mm: handle THP in swap_*page_fs() (Chris von Recklinghausen) [2160210] - mm: submit multipage write for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: submit multipage reads for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: perform async writes to SWP_FS_OPS swap-space using ->swap_rw (Chris von Recklinghausen) [2160210] - mm: introduce ->swap_rw and use it for reads from SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: reclaim mustn't enter FS for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: move responsibility for setting SWP_FS_OPS to ->swap_activate (Chris von Recklinghausen) [2160210] - mm: drop swap_dirty_folio (Chris von Recklinghausen) [2160210] - mm: create new mm/swap.h header file (Chris von Recklinghausen) [2160210] - mm/gup: fix comments to pin_user_pages_*() (Chris von Recklinghausen) [2160210] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2160210] - mm,doc: Add new documentation structure (Chris von Recklinghausen) [2160210] - mm/filemap: Hoist filler_t decision to the top of do_read_cache_folio() (Chris von Recklinghausen) [2160210] - fs: Change the type of filler_t (Chris von Recklinghausen) [2160210] - nfs: Pass the file pointer to nfs_symlink_filler() (Chris von Recklinghausen) [2160210] - mm,fs: Remove aops->readpage (Chris von Recklinghausen) [2160210] - mm: Convert swap_readpage to call read_folio instead of readpage (Chris von Recklinghausen) [2160210] - udf: Convert adinicb and symlinks to read_folio (Chris von Recklinghausen) [2160210] - squashfs: Convert squashfs to read_folio (Chris von Recklinghausen) [2160210] - nfs: Convert nfs to read_folio (Chris von Recklinghausen) [2160210] - isofs: Convert symlinks and zisofs to read_folio (Chris von Recklinghausen) [2160210] - fuse: Convert fuse to read_folio (Chris von Recklinghausen) [2160210] - ext4: Convert ext4 to read_folio (Chris von Recklinghausen) [2160210] - cifs: Convert cifs to read_folio (Chris von Recklinghausen) [2160210] - afs: Convert afs_symlink_readpage to afs_symlink_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert simple_readpage to simple_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert mpage_readpage to mpage_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert block_read_full_page() to block_read_full_folio() (Chris von Recklinghausen) [2160210] - fs: Convert iomap_readpage to iomap_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert netfs_readpage to netfs_read_folio (Chris von Recklinghausen) [2160210] - fs: Add read_folio documentation (Chris von Recklinghausen) [2160210] - fs: Introduce aops->read_folio (Chris von Recklinghausen) [2160210] - buffer: Rewrite nobh_truncate_page() to use folios (Chris von Recklinghausen) [2160210] - fs: Convert is_dirty_writeback() to take a folio (Chris von Recklinghausen) [2160210] - readahead: Use a folio in read_pages() (Chris von Recklinghausen) [2160210] - filemap: Update the folio_mark_dirty documentation (Chris von Recklinghausen) [2160210] - fs: Remove pagecache_write_begin() and pagecache_write_end() (Chris von Recklinghausen) [2160210] - i915: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - ext4: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - namei: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - buffer: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - fs: Remove flags parameter from aops->write_begin (Chris von Recklinghausen) [2160210] - fs: Remove aop flags parameter from grab_cache_page_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove aop flags parameter from cont_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove aop flags parameter from block_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove aop_flags parameter from netfs_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove AOP_FLAG_NOFS (Chris von Recklinghausen) [2160210] - f2fs: Convert f2fs_grab_cache_page() to use scoped memory APIs (Chris von Recklinghausen) [2160210] - namei: Convert page_symlink() to use memalloc_nofs_save() (Chris von Recklinghausen) [2160210] - kfence: test: use new suite_{init/exit} support, add .kunitconfig (Chris von Recklinghausen) [2160210] - mm/slub: remove unused kmem_cache_order_objects max (Chris von Recklinghausen) [2160210] - taskstats: version 12 with thread group and exe info (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: fix the timer always stays active (Chris von Recklinghausen) [2160210] - mm/damon: remove unnecessary type castings (Chris von Recklinghausen) [2160210] - mm/damon/core-test: add a kunit test case for ops registration (Chris von Recklinghausen) [2160210] - damon: vaddr-test: tweak code to make the logic clearer (Chris von Recklinghausen) [2160210] - mm/page_alloc: simplify update of pgdat in wake_all_kswapds (Chris von Recklinghausen) [2160210] - kasan: fix sleeping function called from invalid context on RT kernel (Chris von Recklinghausen) [2160210] - mm/khugepaged: use vma_is_anonymous (Chris von Recklinghausen) [2160210] - mm: compaction: make sure highest is above the min_pfn (Chris von Recklinghausen) [2160210] - mm: compaction: simplify the code in __compact_finished (Chris von Recklinghausen) [2160210] - mm: compaction: make compaction_zonelist_suitable return false when COMPACT_SUCCESS (Chris von Recklinghausen) [2160210] - mm: compaction: avoid possible NULL pointer dereference in kcompactd_cpu_online (Chris von Recklinghausen) [2160210] - mm: compaction: clean up comment about async compaction in isolate_migratepages (Chris von Recklinghausen) [2160210] - mm: compaction: use helper compound_nr in isolate_migratepages_block (Chris von Recklinghausen) [2160210] - mm: compaction: use COMPACT_CLUSTER_MAX in compaction.c (Chris von Recklinghausen) [2160210] - mm: compaction: clean up comment about suitable migration target recheck (Chris von Recklinghausen) [2160210] - mm: compaction: clean up comment for sched contention (Chris von Recklinghausen) [2160210] - mm: compaction: remove unneeded assignment to isolate_start_pfn (Chris von Recklinghausen) [2160210] - mm: compaction: remove unneeded pfn update (Chris von Recklinghausen) [2160210] - mm: compaction: remove unneeded return value of kcompactd_run (Chris von Recklinghausen) [2160210] - ksm: count ksm merging pages for each process (Chris von Recklinghausen) [2160210] - mm/page_alloc: reuse tail struct pages for compound devmaps (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap: improve memory savings for compound devmaps (Chris von Recklinghausen) [2160210] - mm/hugetlb_vmemmap: move comment block to Documentation/vm (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap: refactor core of vmemmap_populate_basepages() to helper (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap: add a pgmap argument to section activation (Chris von Recklinghausen) [2160210] - mm/page_alloc.c: calc the right pfn if page size is not 4K (Chris von Recklinghausen) [2160210] - mm/mremap: avoid unneeded do_munmap call (Chris von Recklinghausen) [2160210] - mm/mremap: use helper mlock_future_check() (Chris von Recklinghausen) [2160210] - mm/mmap: drop arch_vm_get_page_pgprot() (Chris von Recklinghausen) [2160210] - mm/mmap: drop arch_filter_pgprot() (Chris von Recklinghausen) [2160210] - mm/mmap.c: use helper mlock_future_check() (Chris von Recklinghausen) [2160210] - mm/debug_vm_pgtable: drop protection_map[] usage (Chris von Recklinghausen) [2160210] - mm/mmu_gather: limit free batch count and add schedule point in tlb_batch_pages_flush (Chris von Recklinghausen) [2160210] - mm/mmap.c: use mmap_assert_write_locked() instead of open coding it (Chris von Recklinghausen) [2160210] - mm: untangle config dependencies for demote-on-reclaim (Chris von Recklinghausen) [2160210] - mm: migrate: simplify the refcount validation when migrating hugetlb mapping (Chris von Recklinghausen) [2160210] - mm/migration: remove some duplicated codes in migrate_pages (Chris von Recklinghausen) [2160210] - mm/migration: avoid unneeded nodemask_t initialization (Chris von Recklinghausen) [2160210] - mm/migration: use helper macro min in do_pages_stat (Chris von Recklinghausen) [2160210] - mm/migration: use helper function vma_lookup() in add_page_for_migration (Chris von Recklinghausen) [2160210] - mm/migration: remove unneeded local variable page_lru (Chris von Recklinghausen) [2160210] - mm/migration: remove unneeded local variable mapping_locked (Chris von Recklinghausen) [2160210] - mm/vmscan: fix comment for isolate_lru_pages (Chris von Recklinghausen) [2160210] - mm/vmscan: fix comment for current_may_throttle (Chris von Recklinghausen) [2160210] - mm/vmscan: remove obsolete comment in get_scan_count (Chris von Recklinghausen) [2160210] - mm/vmscan: sc->reclaim_idx must be a valid zone index (Chris von Recklinghausen) [2160210] - mm/vmscan: make sure wakeup_kswapd with managed zone (Chris von Recklinghausen) [2160210] - mm/vmscan: reclaim only affects managed_zones (Chris von Recklinghausen) [2160210] - hugetlb: remove use of list iterator variable after loop (Chris von Recklinghausen) [2160210] - mm, hugetlb, hwpoison: separate branch for free and in-use hugepage (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: dissolve truncated hugetlb page (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: minor cleanup for HWPoisonHandlable (Chris von Recklinghausen) [2160210] - mm/hwpoison: put page in already hwpoisoned case with MF_COUNT_INCREASED (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: remove unnecessary (void*) conversions (Chris von Recklinghausen) [2160210] - mm: wrap __find_buddy_pfn() with a necessary buddy page validation (Chris von Recklinghausen) [2160210] - mm: page_alloc: simplify pageblock migratetype check in __free_one_page() (Chris von Recklinghausen) [2160210] - mm/page_alloc: adding same penalty is enough to get round-robin order (Chris von Recklinghausen) [2160210] - vmap(): don't allow invalid pages (Chris von Recklinghausen) [2160210] - mm/vmalloc: fix a comment (Chris von Recklinghausen) [2160210] - mm/memcontrol.c: remove unused private flag of memory.oom_control (Chris von Recklinghausen) [2160210] - mm/memcontrol.c: make cgroup_memory_noswap static (Chris von Recklinghausen) [2160210] - mm/memcg: non-hierarchical mode is deprecated (Chris von Recklinghausen) [2160210] - mm/memcg: move generation assignment and comparison together (Chris von Recklinghausen) [2160210] - mm/memcg: set pos explicitly for reclaim and !reclaim (Chris von Recklinghausen) [2160210] - mm/memcg: set memcg after css verified and got reference (Chris von Recklinghausen) [2160210] - mm/memcg: mz already removed from rb_tree if not NULL (Chris von Recklinghausen) [2160210] - mm/memcg: remove unneeded nr_scanned (Chris von Recklinghausen) [2160210] - mm: shmem: make shmem_init return void (Chris von Recklinghausen) [2160210] - mm: rework calculation of bdi_min_ratio in bdi_set_min_ratio (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: provide allocator labelling and update --cull and --sort options (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting blocks by multiple keys (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support for multi-value selection in single argument (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: use fprintf() to send error messages to stderr (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm numa translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm hmm translation (Chris von Recklinghausen) [2160210] - docs: vm/page_owner: use literal blocks for param description (Chris von Recklinghausen) [2160210] - riscv: compat: syscall: Add compat_sys_call_table implementation (Chris von Recklinghausen) [2160210] - mm: Add fault_in_subpage_writeable() to probe at sub-page granularity (Chris von Recklinghausen) [2160210] - mm: fix unused variable kernel warning when SYSCTL=n (Chris von Recklinghausen) [2160210] - xfs: convert shutdown reasons to unsigned. (Chris von Recklinghausen) [2160210] - mm/slub: remove unneeded return value of slab_pad_check (Chris von Recklinghausen) [2160210] - Documentation: arch_pgtable_helpers: demote pgtable list headings (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm hugetlbfs_reserv translation (Chris von Recklinghausen) [2160210] - usercopy: Remove HARDENED_USERCOPY_PAGESPAN (Chris von Recklinghausen) [2160210] - mm/usercopy: Detect large folio overruns (Chris von Recklinghausen) [2160210] - mm/usercopy: Detect vmalloc overruns (Chris von Recklinghausen) [2160210] - mm/usercopy: Check kmap addresses properly (Chris von Recklinghausen) [2160210] - mm/slab_common: move dma-kmalloc caches creation into new_kmalloc_cache() (Chris von Recklinghausen) [2160210] - mm/slub: remove meaningless node check in ___slab_alloc() (Chris von Recklinghausen) [2160210] - mm/slub: remove duplicate flag in allocate_slab() (Chris von Recklinghausen) [2160210] - mm/slab.c: fix comments (Chris von Recklinghausen) [2160210] - mm: move page-writeback sysctls to their own file (Chris von Recklinghausen) [2160210] - mm: move oom_kill sysctls to their own file (Chris von Recklinghausen) [2160210] - slab, documentation: add description of debugfs files for SLUB caches (Chris von Recklinghausen) [2160210] - mm/slub: sort debugfs output by frequency of stack traces (Chris von Recklinghausen) [2160210] - mm/slub: distinguish and print stack traces in debugfs files (Chris von Recklinghausen) [2160210] - mm/slub, kunit: Make slub_kunit unaffected by user specified flags (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm zsmalloc translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm z3fold translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm split_page_table_lock translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm remap_file_pages translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm page_table_check translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm page_owner translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm page_frags translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm overcommit-accounting translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm mmu_notifier translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm memory-model translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm hwpoison translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm frontswap translation (Chris von Recklinghausen) [2160210] - docs/zh_CN/damon: add sysfs interface (Chris von Recklinghausen) [2160210] - docs/zh_CN/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2160210] - mm/slab: remove some unused functions (Chris von Recklinghausen) [2160210] - doc/vm/page_owner.rst: remove content related to -c option (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: remove -c option (Chris von Recklinghausen) [2160210] - filemap: Remove AOP_FLAG_CONT_EXPAND (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support for user-defined culling rules (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support for selecting by PID, TGID or task command name (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort: support for sorting by task command name (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort: fix three trivival places (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting by tgid and update documentation (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: add a security check (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: fix comments (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: fix the instructions for use (Chris von Recklinghausen) [2160210] - Documentation/vm/page_owner.rst: fix unexpected indentation warns (Chris von Recklinghausen) [2160210] - Documentation/vm/page_owner.rst: update the documentation (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: delete invalid duplicate code (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: two trivial fixes (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting pid and time (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: add switch between culling by stacktrace and txt (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting by stack trace (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: sort by stacktrace before culling (Chris von Recklinghausen) [2160210] - usercopy: Disable CONFIG_HARDENED_USERCOPY_PAGESPAN (Chris von Recklinghausen) [2160210] - fs: Remove aops->launder_page (Chris von Recklinghausen) [2160210] - nfs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2160210] - cifs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2160210] - fs: Remove aops->invalidatepage (Chris von Recklinghausen) [2160210] - nfs: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - gfs2: Convert invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - cifs: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - afs: Convert invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - afs: Convert directory aops to invalidate_folio (Chris von Recklinghausen) [2160210] - iomap: Remove iomap_invalidatepage() (Chris von Recklinghausen) [2160210] - ceph: Use folio_invalidate() (Chris von Recklinghausen) [2160210] - iomap: Fix iomap_invalidatepage tracepoint (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon reclaim translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon usage translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add admin-guide damon start translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add admin-guide damon index translation (Chris von Recklinghausen) [2160210] - zh_CN: Add translation for admin-guide/mm/index.rst (Chris von Recklinghausen) [2160210] - Add Chinese translation for vm/ksm.rst (Chris von Recklinghausen) [2160210] - docs/zh_CN: add peci index translation (Chris von Recklinghausen) [2160210] - mm: remove pointless includes from <linux/hmm.h> (Chris von Recklinghausen) [2160210] - docs: fix RST error in vm/page_owner.rst (Chris von Recklinghausen) [2160210] - Documentation/vm/page_owner.rst: fix language (Chris von Recklinghausen) [2160210] - x86/mce: Remove the tolerance level control (Chris von Recklinghausen) [2160210] - docs/translations: Skip CJK contents if suitable fonts not found (Chris von Recklinghausen) [2160210] - xfs: move xfs_update_prealloc_flags() to xfs_pnfs.c (Chris von Recklinghausen) [2160210] - docs/zh_CN: add highmem translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add free_page_reporting translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon api translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon design translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon faq translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon index tronslation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add balance translation (Chris von Recklinghausen) [2160210] - docs_zh_CN: add active_mm translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm/index translation (Chris von Recklinghausen) [2160210] - Documentation/accounting/delay-accounting.rst: add thrashing page cache and direct compact (Chris von Recklinghausen) [2160210] - xfs: kill the XFS_IOC_{ALLOC,FREE}SP* ioctls (Chris von Recklinghausen) [2160210] - zram: use ATTRIBUTE_GROUPS (Chris von Recklinghausen) [2160210] - mm: introduce memalloc_retry_wait() (Chris von Recklinghausen) [2160210] - squashfs: provide backing_dev_info in order to disable read-ahead (Chris von Recklinghausen) [2160210] - iomap: Inline __iomap_zero_iter into its caller (Chris von Recklinghausen) [2160210] - x86/mce: Check regs before accessing it (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_migrate_page() to use folios (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_add_to_ioend() to take a folio (Chris von Recklinghausen) [2160210] - iomap: Simplify iomap_do_writepage() (Chris von Recklinghausen) [2160210] - iomap: Simplify iomap_writepage_map() (Chris von Recklinghausen) [2160210] - iomap,xfs: Convert ->discard_page to ->discard_folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_write_begin() and iomap_write_end() to folios (Chris von Recklinghausen) [2160210] - iomap: Convert __iomap_zero_iter to use a folio (Chris von Recklinghausen) [2160210] - iomap: Allow iomap_write_begin() to be called with the full length (Chris von Recklinghausen) [2160210] - iomap: Convert readahead and readpage to use a folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_read_inline_data to take a folio (Chris von Recklinghausen) [2160210] - iomap: Use folio offsets instead of page offsets (Chris von Recklinghausen) [2160210] - iomap: Convert bio completions to use folios (Chris von Recklinghausen) [2160210] - iomap: Pass the iomap_page into iomap_set_range_uptodate (Chris von Recklinghausen) [2160210] - iomap: Add iomap_invalidate_folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_releasepage to use a folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_page_release to take a folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_page_create to take a folio (Chris von Recklinghausen) [2160210] - fs/buffer: Convert __block_write_begin_int() to take a folio (Chris von Recklinghausen) [2160210] - x86/mce: Mark mce_timed_out() noinstr (Chris von Recklinghausen) [2160210] - x86/mce: Prevent severity computation from being instrumented (Chris von Recklinghausen) [2160210] - x86/mce: Do not use memset to clear the banks bitmaps (Chris von Recklinghausen) [2160210] - docs/zh_CN: add scheduler index translation (Chris von Recklinghausen) [2160210] - x86/mce: Get rid of cpu_missing (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: count and sort by mem (Chris von Recklinghausen) [2160210] - mm/memcg: drop swp_entry_t* in mc_handle_file_pte() (Chris von Recklinghausen) [2160210] - ABI: sysfs-mce: add 3 missing files (Chris von Recklinghausen) [2160210] - ABI: sysfs-mce: add a new ABI file (Chris von Recklinghausen) [2160210] - ovl: fix IOCB_DIRECT if underlying fs doesn't support direct IO (Chris von Recklinghausen) [2160210] - x86/mce: Sort mca_config members to get rid of unnecessary padding (Chris von Recklinghausen) [2160210] - x86/mce: Get rid of machine_check_vector (Chris von Recklinghausen) [2160210] - x86/mce: Get rid of the mce_severity function pointer (Chris von Recklinghausen) [2160210] - docs: zh_TW/index: Move arm64/index to arch-specific section (Chris von Recklinghausen) [2160210] - docs/zh_CN: Add zh_CN/admin-guide/sysrq.rst (Chris von Recklinghausen) [2160210] - Doc: page_migration: fix numbering for non-LRU movable flags (Chris von Recklinghausen) [2160210] - x86/extable: Remove EX_TYPE_FAULT from MCE safe fixups (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Fix typo in CJK-language specific font settings (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/filesystems (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/cpu-freq (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/arm64 (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Enable language-specific font choice of zh_TW translations (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Add CJK-language-specific font settings (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Refactor config for CJK document (Chris von Recklinghausen) [2160210] - docs/zh_CN: Add zh_CN/accounting/psi.rst (Chris von Recklinghausen) [2160210] - docs/zh_CN: add infiniband index translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add virt index translation (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/process (Chris von Recklinghausen) [2160210] - docs: add traditional Chinese translation for kernel Documentation (Chris von Recklinghausen) [2160210] - x86/mm: disable instrumentations of mm/pgprot.c (Chris von Recklinghausen) [2160210] - x86/mm: Refuse W^X violations (Chris von Recklinghausen) [2160210] - x86/mm: Print likely CPU at segfault time (Chris von Recklinghausen) [2160210] - x86: simplify load_unaligned_zeropad() implementation (Chris von Recklinghausen) [2160210] - x86/extable: Fix ex_handler_msr() print condition (Chris von Recklinghausen) [2160210] - x86/mm/tlb: Ignore f->new_tlb_gen when zero (Chris von Recklinghausen) [2160210] - x86/mm: Refer to the intended config STRICT_DEVMEM in a comment (Chris von Recklinghausen) [2160210] - mm/x86: remove dead code for hugetlbpage.c (Chris von Recklinghausen) [2160210] - x86/pkeys: Clarify PKRU_AD_KEY macro (Chris von Recklinghausen) [2160210] - x86/mm/tlb: Avoid reading mm_tlb_gen when possible (Chris von Recklinghausen) [2160210] - s390/mm: fix no previous prototype warnings in maccess.c (Chris von Recklinghausen) [2160210] - memcpy_real(): WRITE is "data source", not destination... (Chris von Recklinghausen) [2160210] - s390/kprobes: define insn cache ops within private header file (Chris von Recklinghausen) [2160210] - s390/mm: remove unused get_page_state() function (Chris von Recklinghausen) [2160210] - s390/hypfs: remove unused info_blk_hdr__pcpus() function (Chris von Recklinghausen) [2160210] - s390/mm: provide minimal setup_per_cpu_areas() implementation (Chris von Recklinghausen) [2160210] - s390/mm: fix virtual-physical address confusion for swiotlb (Chris von Recklinghausen) [2160210] - s390/mm: gmap: sort out physical vs virtual pointers usage (Chris von Recklinghausen) [2160210] - s390/mm: rework memcpy_real() to avoid DAT-off mode (Chris von Recklinghausen) [2160210] - s390/smp: rework absolute lowcore access (Chris von Recklinghausen) [2160210] - s390/smp: use physical address for SIGP_SET_PREFIX command (Chris von Recklinghausen) [2160210] - s390/smp: enforce lowcore protection on CPU restart (Chris von Recklinghausen) [2160210] - s390/smp: call smp_reinit_ipl_cpu() before scheduler is available (Chris von Recklinghausen) [2160210] - s390/boot: fix absolute zero lowcore corruption on boot (Chris von Recklinghausen) [2160210] - s390/nmi: disable interrupts on extended save area update (Chris von Recklinghausen) [2160210] - s390/nmi: add missing __pa/__va address conversion of extended save area (Chris von Recklinghausen) [2160210] - s390/setup: rearrange absolute lowcore initialization (Chris von Recklinghausen) [2160210] - s390/maccess: rework absolute lowcore accessors (Chris von Recklinghausen) [2160210] - s390/smp: cleanup control register update routines (Chris von Recklinghausen) [2160210] - s390/smp: cleanup target CPU callback starting (Chris von Recklinghausen) [2160210] - s390/smp: do not use nodat_stack for secondary CPU start (Chris von Recklinghausen) [2160210] - s390/smp: enable DAT before CPU restart callback is called (Chris von Recklinghausen) [2160210] - s390/dump: fix os_info virtual vs physical address confusion (Chris von Recklinghausen) [2160210] - s390/hugetlb: switch to generic version of follow_huge_pud() (Chris von Recklinghausen) [2160210] - s390/ptdump: add missing amode31 markers (Chris von Recklinghausen) [2160210] - s390/mm: split lowcore pages with set_memory_4k() (Chris von Recklinghausen) [2160210] - s390/mm: remove unused access parameter from do_fault_error() (Chris von Recklinghausen) [2160210] - s390/mm: remove useless hugepage address alignment (Chris von Recklinghausen) [2160210] - s390/boot: cleanup adjust_to_uv_max() function (Chris von Recklinghausen) [2160210] - s390/boot: get rid of startup archive (Chris von Recklinghausen) [2160210] - s390/setup: re-arrange memblock setup (Chris von Recklinghausen) [2160210] - s390/setup: avoid using memblock_enforce_memory_limit (Chris von Recklinghausen) [2160210] - s390/setup: avoid reserving memory above identity mapping (Chris von Recklinghausen) [2160210] - s390: move the install rule to arch/s390/Makefile (Chris von Recklinghausen) [2160210] - s390: assume stckf is always present (Chris von Recklinghausen) [2160210] - s390/uaccess: use exception handler to zero result on get_user() failure (Chris von Recklinghausen) [2160210] - s390/uaccess: use symbolic names for inline assembler operands (Chris von Recklinghausen) [2160210] - s390/extable: prefer local labels in .set directives (Chris von Recklinghausen) [2160210] - s390/extable: add dedicated uaccess handler (Chris von Recklinghausen) [2160210] - s390/extable: convert to relative table with data (Chris von Recklinghausen) [2160210] - s390/extable: add and use fixup_exception helper function (Chris von Recklinghausen) [2160210] - s390/extable: fix exception table sorting (Chris von Recklinghausen) [2160210] - s390/base: pass pt_regs to early program check handler (Chris von Recklinghausen) [2160210] - s390/extable: move extable related functions to mm/extable.c (Chris von Recklinghausen) [2160210] - s390/extable: move EX_TABLE define to asm-extable.h (Chris von Recklinghausen) [2160210] - s390/extable: search amode31 extable last (Chris von Recklinghausen) [2160210] - s390: add support for BEAR enhancement facility (Chris von Recklinghausen) [2160210] - s390/ptrace: add last_break member to pt_regs (Chris von Recklinghausen) [2160210] - s390: rename last_break to pgm_last_break (Chris von Recklinghausen) [2160210] - kbuild: add cmd_file_size (Chris von Recklinghausen) [2160210] - arch: decompressor: remove useless vmlinux.bin.all-y (Chris von Recklinghausen) [2160210] - kbuild: rename cmd_{bzip2,lzma,lzo,lz4,xzkern,zstd22} (Chris von Recklinghausen) [2160210] - kbuild: drop $(size_append) from cmd_zstd (Chris von Recklinghausen) [2160210] - powerpc/mm: Export memory_add_physaddr_to_nid() for modules (Chris von Recklinghausen) [2160210] - powerpc/64s: Remove spurious fault flushing for NMMU (Chris von Recklinghausen) [2160210] - powerpc/64s: POWER10 nest MMU can upgrade PTE access authority without TLB flush (Chris von Recklinghausen) [2160210] - powerpc/64s: POWER10 nest MMU does not require flush escalation workaround (Chris von Recklinghausen) [2160210] - powerpc/64e: Reorganise virtual memory (Chris von Recklinghausen) [2160210] - powerpc/64e: Move virtual memory closer to linear memory (Chris von Recklinghausen) [2160210] - powerpc/64e: Remove unused REGION related macros (Chris von Recklinghausen) [2160210] - powerpc/64e: Remove MMU_FTR_USE_TLBRSRV and MMU_FTR_USE_PAIRED_MAS (Chris von Recklinghausen) [2160210] - powerpc/32: Remove __map_without_ltlbs (Chris von Recklinghausen) [2160210] - powerpc/32: Remove 'noltlbs' kernel parameter (Chris von Recklinghausen) [2160210] - powerpc/32: Remove the 'nobats' kernel parameter (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Use jump_label to check if poking_init() is done (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Use jump_label for testing freed initmem (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Replace patch_instruction() by ppc_inst_write() in selftests (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Move code patching selftests in its own file (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Move instr_is_branch_{i/b}form() in code-patching.h (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Move patch_exception() outside code-patching.c (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Use test_trampoline for prefixed patch test (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Fix patch_branch() return on out-of-range failure (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Reorganise do_patch_instruction() to ease error handling (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Fix unmap_patch_area() error handling (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Fix error handling in do_patch_instruction() (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Remove init_mem_is_free (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Remove pr_debug()/pr_devel() messages and fix check() (Chris von Recklinghausen) [2160210] - powerpc/mm/book3s64/hash: Switch pre 2.06 tlbiel to .long (Chris von Recklinghausen) [2160210] - powerpc/mm: Switch obsolete dssall to .long (Chris von Recklinghausen) [2160210] - powerpc/inst: Optimise copy_inst_from_kernel_nofault() (Chris von Recklinghausen) [2160210] - powerpc/inst: Move ppc_inst_t definition in asm/reg.h (Chris von Recklinghausen) [2160210] - powerpc/inst: Define ppc_inst_t as u32 on PPC32 (Chris von Recklinghausen) [2160210] - powerpc/inst: Define ppc_inst_t (Chris von Recklinghausen) [2160210] - powerpc/inst: Refactor ___get_user_instr() (Chris von Recklinghausen) [2160210] - powerpc/mm: Move tlbcam_sz() and make it static (Chris von Recklinghausen) [2160210] - arm64: compat: Implement misalignment fixups for multiword loads (Chris von Recklinghausen) [2160210] - arm64/hugetlb: implement arm64 specific hugetlb_mask_last_page (Chris von Recklinghausen) [2160210] - arm64/mm: Define defer_reserve_crashkernel() (Chris von Recklinghausen) [2160210] - arm64: mm: Remove assembly DMA cache maintenance wrappers (Chris von Recklinghausen) [2160210] - arm/xen: Introduce xen_setup_dma_ops() (Chris von Recklinghausen) [2160210] - arm64: extable: cleanup redundant extable type EX_TYPE_FIXUP (Chris von Recklinghausen) [2160210] - arm64: extable: move _cond_extable to _cond_uaccess_extable (Chris von Recklinghausen) [2160210] - arm64: extable: make uaaccess helper use extable type EX_TYPE_UACCESS_ERR_ZERO (Chris von Recklinghausen) [2160210] - arm64: asm-extable: add asm uacess helpers (Chris von Recklinghausen) [2160210] - arm64: asm-extable: move data fields (Chris von Recklinghausen) [2160210] - arm64: extable: add new extable type EX_TYPE_KACCESS_ERR_ZERO support (Chris von Recklinghausen) [2160210] - arm64: Add HAVE_IOREMAP_PROT support (Chris von Recklinghausen) [2160210] - arm64: mm: Convert to GENERIC_IOREMAP (Chris von Recklinghausen) [2160210] - arm64: entry: simplify trampoline data page (Chris von Recklinghausen) [2160210] - arm64: mm: install KPTI nG mappings with MMU enabled (Chris von Recklinghausen) [2160210] - arm64: kpti-ng: simplify page table traversal logic (Chris von Recklinghausen) [2160210] - arm64: mm: Only remove nomap flag for initrd (Chris von Recklinghausen) [2160210] - arm64: mte: Fix the stack frame size warning in mte_dump_tag_range() (Chris von Recklinghausen) [2160210] - elf: Fix the arm64 MTE ELF segment name and value (Chris von Recklinghausen) [2160210] - arm64: mte: Document the core dump file format (Chris von Recklinghausen) [2160210] - arm64: mte: Dump the MTE tags in the core file (Chris von Recklinghausen) [2160210] - fs/file_table: fix adding missing kmemleak_not_leak() (Chris von Recklinghausen) [2160210] - Fix regression due to "fs: move binfmt_misc sysctl to its own file" (Chris von Recklinghausen) [2160210] - binfmt_misc: fix crash when load/unload module (Chris von Recklinghausen) [2160210] - include/linux/sysctl.h: fix register_sysctl_mount_point() return type (Chris von Recklinghausen) [2160210] - coredump: Don't compile flat_core_dump when coredumps are disabled (Chris von Recklinghausen) [2160210] - coredump: Snapshot the vmas in do_coredump (Chris von Recklinghausen) [2160210] - coredump: Move definition of struct coredump_params into coredump.h (Chris von Recklinghausen) [2160210] - coredump: Also dump first pages of non-executable ELF libraries (Chris von Recklinghausen) [2160210] - fs/coredump: move coredump sysctls into its own file (Chris von Recklinghausen) [2160210] - coredump: fix memleak in dump_vma_snapshot() (Chris von Recklinghausen) [2160210] - init/main.c: return 1 from handled __setup() functions (Chris von Recklinghausen) [2160210] - init.h: improve __setup and early_param documentation (Chris von Recklinghausen) [2160210] - scripts/sorttable: Unify arm64 & x86 sort functions (Chris von Recklinghausen) [2160210] - mm: avoid unnecessary page fault retires on shared memory types (Chris von Recklinghausen) [2160210] - fs: move namespace sysctls and declare fs base directory (Chris von Recklinghausen) [2160210] - sysctl: add and use base directory declarer and registration helper (Chris von Recklinghausen) [2160210] - fs: move pipe sysctls to is own file (Chris von Recklinghausen) [2160210] - fs: move fs/exec.c sysctls into its own file (Chris von Recklinghausen) [2160210] - fs: move namei sysctls to its own file (Chris von Recklinghausen) [2160210] - fs: move locking sysctls where they are used (Chris von Recklinghausen) [2160210] - fs: move shared sysctls to fs/sysctls.c (Chris von Recklinghausen) [2160210] - sysctl: move maxolduid as a sysctl specific const (Chris von Recklinghausen) [2160210] - fs: move dcache sysctls to its own file (Chris von Recklinghausen) [2160210] - fs: move fs stat sysctls to file_table.c (Chris von Recklinghausen) [2160210] - fs: move inode sysctls to its own file (Chris von Recklinghausen) [2160210] - sysctl: share unsigned long const values (Chris von Recklinghausen) [2160210] - fs: move binfmt_misc sysctl to its own file (Chris von Recklinghausen) [2160210] - sysctl: add helper to register a sysctl mount point (Chris von Recklinghausen) [2160210] - eventpoll: simplify sysctl declaration with register_sysctl() (Chris von Recklinghausen) [2160210] - inotify: simplify subdirectory registration with register_sysctl() (Chris von Recklinghausen) [2160210] - dnotify: move dnotify sysctl to dnotify.c (Chris von Recklinghausen) [2160210] - aio: move aio sysctl to aio.c (Chris von Recklinghausen) [2160210] - sysctl: use SYSCTL_ZERO to replace some static int zero uses (Chris von Recklinghausen) [2160210] - sysctl: use const for typically used max/min proc sysctls (Chris von Recklinghausen) [2160210] - sysctl: make ngroups_max const (Chris von Recklinghausen) [2160210] - watchdog: move watchdog sysctl interface to watchdog.c (Chris von Recklinghausen) [2160210] - hung_task: move hung_task sysctl interface to hung_task.c (Chris von Recklinghausen) [2160210] Resolves: rhbz#2093878, rhbz#2139964, rhbz#2160210, rhbz#2161527, rhbz#2175236, rhbz#2175249, rhbz#2179874 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-29 10:19:43 +00:00
# CONFIG_DAMON_LRU_SORT is not set
kernel-5.14.0-87.el9 * Mon May 09 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-87.el9] - perf tools: Add external commands to list-cmds (Michael Petlan) [2065179] - perf docs: Add perf-iostat link to manpages (Michael Petlan) [2065179] - net: make use of helper netif_is_bridge_master() (Ivan Vecera) [2081260] - xfrm: enforce validity of offload input flags (Sabrina Dubroca) [2080384] - xfrm: Don't accidentally set RTO_ONLINK in decode_session4() (Sabrina Dubroca) [2080384] - net/xfrm: IPsec tunnel mode fix inner_ipproto setting in sec_path (Sabrina Dubroca) [2080384] - xfrm: fix a small bug in xfrm_sa_len() (Sabrina Dubroca) [2080384] - xfrm: fix policy lookup for ipv6 gre packets (Sabrina Dubroca) [2080384] - net/tls: fix slab-out-of-bounds bug in decrypt_internal (Sabrina Dubroca) [2080356] - tls: cap the output scatter list to something reasonable (Sabrina Dubroca) [2080356] - net/tls: Fix authentication failure in CCM mode (Sabrina Dubroca) [2080356] - tls: fix replacing proto_ops (Sabrina Dubroca) [2080356] - tls: splice_read: fix accessing pre-processed records (Sabrina Dubroca) [2080356] - tls: splice_read: fix record type check (Sabrina Dubroca) [2080356] - net: macsec: Verify that send_sci is on when setting Tx sci explicitly (Sabrina Dubroca) [2080352] - net: macsec: Fix offload support for NETDEV_UNREGISTER event (Sabrina Dubroca) [2080352] - sctp: check asoc strreset_chunk in sctp_generate_reconf_event (Xin Long) [2069957] - udf: Restore i_lenAlloc when inode expansion fails (Carlos Maiolino) [2054658] {CVE-2022-0617} - udf: Fix NULL ptr deref when converting from inline format (Carlos Maiolino) [2054658] {CVE-2022-0617} - cifs: destage any unwritten data to the server before calling copychunk_write (Ronnie Sahlberg) [1997373] - i40e: xsk: Move tmp desc array from driver to pool (Ivan Vecera) [2078894] - printk: use atomic updates for klogd work (Prarit Bhargava) [2078897] - genirq: Provide generic_handle_irq_safe() (Prarit Bhargava) [2078897] - printk: Remove printk.h inclusion in percpu.h (Prarit Bhargava) [2078897] - efi: Allow efi=runtime (Prarit Bhargava) [2078897] - efi: Disable runtime services on RT (Prarit Bhargava) [2078897] - x86/softirq: Disable softirq stacks on PREEMPT_RT (Prarit Bhargava) [2078897] - genirq: Disable irqfixup/poll on PREEMPT_RT. (Prarit Bhargava) [2078897] - genirq: Move prio assignment into the newly created thread (Prarit Bhargava) [2078897] - cpufreq: intel_pstate: Use firmware default EPP (Steve Best) [2072886] - cpufreq: intel_pstate: Update cpuinfo.max_freq on HWP_CAP changes (Steve Best) [2072886] - cpufreq: intel_pstate: Update EPP for AlderLake mobile (Steve Best) [2072886] - cpufreq: intel_pstate: Drop redundant intel_pstate_get_hwp_cap() call (Steve Best) [2072886] - cpufreq: intel_pstate: ITMT support for overclocked system (Steve Best) [2072886] - cpufreq: intel_pstate: Fix active mode offline/online EPP handling (Steve Best) [2072886] - cpufreq: intel_pstate: Add Ice Lake server to out-of-band IDs (Steve Best) [2072886] - drivers/base/memory: clarify adding and removing of memory blocks (David Hildenbrand) [2077436] - drivers/base/memory: determine and store zone for single-zone memory blocks (David Hildenbrand) [2077436] - drivers/base/node: rename link_mem_sections() to register_memory_block_under_node() (David Hildenbrand) [2077436] - drivers/base/node: consolidate node device subsystem initialization in node_dev_init() (David Hildenbrand) [2077436] - powerpc/kernel: Add __init attribute to eligible functions (David Hildenbrand) [2077436] - drivers/base/memory: add memory block to memory group after registration succeeded (David Hildenbrand) [2077436] - af_key: add __GFP_ZERO flag for compose_sadb_supported in function pfkey_register (Xin Long) [2075183] - proc/vmcore: fix vmcore_alloc_buf() kernel-doc comment (Baoquan He) [2051908] - proc/vmcore: fix possible deadlock on concurrent mmap and read (Baoquan He) [2051908] - proc/vmcore: don't fake reading zeroes on surprise vmcore_cb unregistration (Baoquan He) [2051908] - enable DAMON configs (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for schemes statistics (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/reclaim: document statistics parameters (Chris von Recklinghausen) [2004230] - mm/damon: hide kernel pointer from tracepoint event (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: hide kernel pointer from damon_va_three_regions() failure log (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: use pr_debug() for damon_va_three_regions() failure logging (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary variable (Chris von Recklinghausen) [2004230] - mm/damon: move the implementation of damon_insert_region to damon.h (Chris von Recklinghausen) [2004230] - mm/damon: add access checking for hugetlb pages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support all DAMOS stats (Chris von Recklinghausen) [2004230] - mm/damon/reclaim: provide reclamation statistics (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account how many times quota limit has exceeded (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account scheme actions that successfully applied (Chris von Recklinghausen) [2004230] - mm/damon: remove a mistakenly added comment for a future feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for kdamond_pid and (mk|rm)_contexts (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: mention tracepoint at the beginning (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: remove redundant information (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for scheme quotas and watermarks (Chris von Recklinghausen) [2004230] - mm/damon: convert macro functions to static inline functions (Chris von Recklinghausen) [2004230] - mm/damon: modify damon_rand() macro to static inline function (Chris von Recklinghausen) [2004230] - mm/damon: move damon_rand() definition into damon.h (Chris von Recklinghausen) [2004230] - mm/damon/schemes: add the validity judgment of thresholds (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove swap_ranges() and replace it with swap() (Chris von Recklinghausen) [2004230] - mm/damon: remove some unneeded function definitions in damon.h (Chris von Recklinghausen) [2004230] - mm/damon/core: use abs() instead of diff_of() (Chris von Recklinghausen) [2004230] - mm/damon: add 'age' of region tracepoint support (Chris von Recklinghausen) [2004230] - mm/damon: unified access_check function naming rules (Chris von Recklinghausen) [2004230] - docs/vm: add vmalloced-kernel-stacks document (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix 'struct pid' leaks in 'dbgfs_target_ids_write()' (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: protect targets destructions with kdamond_lock (Chris von Recklinghausen) [2004230] - selftests/damon: test debugfs file reads/writes with huge count (Chris von Recklinghausen) [2004230] - selftests/damon: test DAMON enabling with empty target_ids case (Chris von Recklinghausen) [2004230] - mm/damon/vaddr-test: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove an unnecessary warning message (Chris von Recklinghausen) [2004230] - mm/damon/core: remove unnecessary error messages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary error message (Chris von Recklinghausen) [2004230] - mm/damon/core: use better timer mechanisms selection threshold (Chris von Recklinghausen) [2004230] - mm/damon/core: fix fake load reports due to uninterruptible sleeps (Chris von Recklinghausen) [2004230] - timers: implement usleep_idle_range() (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix missed use of damon_dbgfs_lock (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: use '__GFP_NOWARN' for user-specified size buffer allocation (Chris von Recklinghausen) [2004230] - mm/damon: remove return value from before_terminate callback (Chris von Recklinghausen) [2004230] - mm/damon: fix a few spelling mistakes in comments and a pr_debug message (Chris von Recklinghausen) [2004230] - mm/damon: simplify stop mechanism (Chris von Recklinghausen) [2004230] - include/linux/delay.h: replace kernel.h with the necessary inclusions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/pagemap: wordsmith page flags descriptions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: simplify the content (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix a wrong link (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix wrong example commands (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: add adaptive_targets list check before enable monitor_on (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary variable initialization (Chris von Recklinghausen) [2004230] - Documentation/admin-guide/mm/damon: add a document for DAMON_RECLAIM (Chris von Recklinghausen) [2004230] - mm/damon: introduce DAMON-based Reclamation (DAMON_RECLAIM) (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support watermarks (Chris von Recklinghausen) [2004230] - mm/damon/schemes: activate schemes based on a watermarks mechanism (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support prioritization weights (Chris von Recklinghausen) [2004230] - mm/damon/vaddr,paddr: support pageout prioritization (Chris von Recklinghausen) [2004230] - mm/damon/schemes: prioritize regions within the quotas (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support quotas of schemes (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement time quota (Chris von Recklinghausen) [2004230] - mm/damon/schemes: skip already charged targets and regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement size quota for schemes application speed control (Chris von Recklinghausen) [2004230] - mm/damon/paddr: support the pageout scheme (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: constify static mm_walk_ops (Chris von Recklinghausen) [2004230] - Docs/DAMON: document physical memory monitoring support (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support physical memory monitoring (Chris von Recklinghausen) [2004230] - mm/damon: implement primitives for physical address space monitoring (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: separate commonly usable functions (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs-test: add a unit test case for 'init_regions' (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document 'init_regions' feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - docs/vm/damon: remove broken reference (Chris von Recklinghausen) [2004230] - Documentation/vm: move user guides to admin-guide/mm/ (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: allow users to set initial monitoring target regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement statistics feature (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/core: implement DAMON-based Operation Schemes (DAMOS) (Chris von Recklinghausen) [2004230] - mm/damon/core: account age of target regions (Chris von Recklinghausen) [2004230] - mm/damon/core: nullify pointer ctx->kdamond with a NULL (Chris von Recklinghausen) [2004230] - mm/damon: needn't hold kdamond_lock to print pid of kdamond (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary do_exit() from kdamond (Chris von Recklinghausen) [2004230] - mm/damon/core: print kdamond start log in debug mode only (Chris von Recklinghausen) [2004230] - mm/damon: grammar s/works/work/ (Chris von Recklinghausen) [2004230] - drm/msm: Fix missing include files in msm_gem_shrinker.c (Chris von Recklinghausen) [2004230] - NFSv4.2: Fix missing removal of SLAB_ACCOUNT on kmem_cache allocation (Waiman Long) [2013413] - slab: remove __alloc_size attribute from __kmalloc_track_caller (Waiman Long) [2013413] - mm: memcontrol: rename memcg_cache_id to memcg_kmem_id (Waiman Long) [2013413] - mm: list_lru: rename list_lru_per_memcg to list_lru_memcg (Waiman Long) [2013413] - mm: memcontrol: fix cannot alloc the maximum memcg ID (Waiman Long) [2013413] - mm: memcontrol: reuse memory cgroup ID for kmem ID (Waiman Long) [2013413] - mm: list_lru: replace linear array with xarray (Waiman Long) [2013413] - mm: list_lru: rename memcg_drain_all_list_lrus to memcg_reparent_list_lrus (Waiman Long) [2013413] - mm: list_lru: allocate list_lru_one only when needed (Waiman Long) [2013413] - mm: memcontrol: move memcg_online_kmem() to mem_cgroup_css_online() (Waiman Long) [2013413] - xarray: use kmem_cache_alloc_lru to allocate xa_node (Waiman Long) [2013413] - mm: dcache: use kmem_cache_alloc_lru() to allocate dentry (Waiman Long) [2013413] - fs: allocate inode by using alloc_inode_sb() (Waiman Long) [2013413] - fs: introduce alloc_inode_sb() to allocate filesystems specific inode (Waiman Long) [2013413] - mm: introduce kmem_cache_alloc_lru (Waiman Long) [2013413] - mm: list_lru: transpose the array of per-node per-memcg lru lists (Waiman Long) [2013413] - memcg: add per-memcg total kernel memory stat (Waiman Long) [2013413] - memcg: add per-memcg vmalloc stat (Waiman Long) [2013413] - mm: list_lru: only add memcg-aware lrus to the global lru list (Waiman Long) [2013413] - mm: list_lru: fix the return value of list_lru_count_one() (Waiman Long) [2013413] - mm: list_lru: remove holding lru lock (Waiman Long) [2013413] - memcg, kmem: further deprecate kmem.limit_in_bytes (Waiman Long) [2013413] - mm/list_lru.c: prefer struct_size over open coded arithmetic (Waiman Long) [2013413] - slab: add __alloc_size attributes for better bounds checking (Waiman Long) [2013413] - slab: clean up function prototypes (Waiman Long) [2013413] - Compiler Attributes: add __alloc_size() for better bounds checking (Waiman Long) [2013413] - Redhat: enable Kfence on production servers (Nico Pache) [2072662] - kfence, x86: only define helpers if !MODULE (Nico Pache) [2072662] - kfence: default to dynamic branch instead of static keys mode (Nico Pache) [2072662] - kfence: test: try to avoid test_gfpzero trigger rcu_stall (Nico Pache) [2072662] - kunit: fix UAF when run kfence test case test_gfpzero (Nico Pache) [2072662] - kfence: alloc kfence_pool after system startup (Nico Pache) [2072662] - kfence: allow re-enabling KFENCE after system startup (Nico Pache) [2072662] - mm/kfence: remove unnecessary CONFIG_KFENCE option (Nico Pache) [2072662] - kfence: make test case compatible with run time set sample interval (Nico Pache) [2072662] - kfence: always use static branches to guard kfence_alloc() (Nico Pache) [2072662] - kfence: shorten critical sections of alloc/free (Nico Pache) [2072662] - kfence: test: use kunit_skip() to skip tests (Nico Pache) [2072662] - kfence: limit currently covered allocations when pool nearly full (Nico Pache) [2072662] - kfence: move saving stack trace of allocations into __kfence_alloc() (Nico Pache) [2072662] - kfence: count unexpectedly skipped allocations (Nico Pache) [2072662] - kfence: add function to mask address bits (Nico Pache) [2072662] - cifs: verify that tcon is valid before dereference in cifs_kill_sb (Ronnie Sahlberg) [2048823] - cifs: release cached dentries only if mount is complete (Ronnie Sahlberg) [2048823] - cifs: we do not need a spinlock around the tree access during umount (Ronnie Sahlberg) [2048823] - cifs: fix handlecache and multiuser (Ronnie Sahlberg) [2048823] - cifs: fix workstation_name for multiuser mounts (Ronnie Sahlberg) [2048823] - cifs: free ntlmsspblob allocated in negotiate (Ronnie Sahlberg) [2048823] - cifs: fix ntlmssp auth when there is no key exchange (Ronnie Sahlberg) [2048823] - cifs: send workstation name during ntlmssp session setup (Ronnie Sahlberg) [2048823] - cifs: Fix crash on unload of cifs_arc4.ko (Ronnie Sahlberg) [2048823] - Documentation, arch: Remove leftovers from CIFS_WEAK_PW_HASH (Ronnie Sahlberg) [2048823] - cifs: fix the cifs_reconnect path for DFS (Ronnie Sahlberg) [2048823] - cifs: sanitize multiple delimiters in prepath (Ronnie Sahlberg) [2048823] - cifs: ignore resource_id while getting fscache super cookie (Ronnie Sahlberg) [2048823] - cifs: avoid use of dstaddr as key for fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: add server conn_id to fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: wait for tcon resource_id before getting fscache super (Ronnie Sahlberg) [2048823] - cifs: fix missed refcounting of ipc tcon (Ronnie Sahlberg) [2048823] - cifs: update internal version number (Ronnie Sahlberg) [2048823] - smb2: clarify rc initialization in smb2_reconnect (Ronnie Sahlberg) [2048823] - cifs: populate server_hostname for extra channels (Ronnie Sahlberg) [2048823] - cifs: nosharesock should be set on new server (Ronnie Sahlberg) [2048823] - cifs: introduce cifs_ses_mark_for_reconnect() helper (Ronnie Sahlberg) [2048823] - cifs: protect srv_count with cifs_tcp_ses_lock (Ronnie Sahlberg) [2048823] - cifs: move debug print out of spinlock (Ronnie Sahlberg) [2048823] - cifs: do not duplicate fscache cookie for secondary channels (Ronnie Sahlberg) [2048823] - cifs: connect individual channel servers to primary channel server (Ronnie Sahlberg) [2048823] - cifs: protect session channel fields with chan_lock (Ronnie Sahlberg) [2048823] - cifs: do not negotiate session if session already exists (Ronnie Sahlberg) [2048823] - smb3: do not setup the fscache_super_cookie until fsinfo initialized (Ronnie Sahlberg) [2048823] - cifs: fix potential use-after-free bugs (Ronnie Sahlberg) [2048823] - cifs: fix memory leak of smb3_fs_context_dup::server_hostname (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB311_posix_mkdir (Ronnie Sahlberg) [2048823] - cifs: release lock earlier in dequeue_mid error case (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_tcon (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_open (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_ioctl (Ronnie Sahlberg) [2048823] - smb3: remove trivial dfs compile warning (Ronnie Sahlberg) [2048823] - cifs: support nested dfs links over reconnect (Ronnie Sahlberg) [2048823] - smb3: do not error on fsync when readonly (Ronnie Sahlberg) [2048823] - cifs: for compound requests, use open handle if possible (Ronnie Sahlberg) [2048823] - cifs: set a minimum of 120s for next dns resolution (Ronnie Sahlberg) [2048823] - cifs: split out dfs code from cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: convert list_for_each to entry variant (Ronnie Sahlberg) [2048823] - cifs: introduce new helper for cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: fix print of hdr_flags in dfscache_proc_show() (Ronnie Sahlberg) [2048823] - cifs: nosharesock should not share socket with future sessions (Ronnie Sahlberg) [2048823] - smb3: add dynamic trace points for socket connection (Ronnie Sahlberg) [2048823] - cifs: Move SMB2_Create definitions to the shared area (Ronnie Sahlberg) [2048823] - cifs: Move more definitions into the shared area (Ronnie Sahlberg) [2048823] - cifs: move NEGOTIATE_PROTOCOL definitions out into the common area (Ronnie Sahlberg) [2048823] - cifs: Create a new shared file holding smb2 pdu definitions (Ronnie Sahlberg) [2048823] - cifs: add mount parameter tcpnodelay (Ronnie Sahlberg) [2048823] - cifs: To match file servers, make sure the server hostname matches (Ronnie Sahlberg) [2048823] - cifs: fix incorrect check for null pointer in header_assemble (Ronnie Sahlberg) [2048823] - smb3: correct server pointer dereferencing check to be more consistent (Ronnie Sahlberg) [2048823] - smb3: correct smb3 ACL security descriptor (Ronnie Sahlberg) [2048823] - cifs: Clear modified attribute bit from inode flags (Ronnie Sahlberg) [2048823] - cifs: Deal with some warnings from W=1 (Ronnie Sahlberg) [2048823] - cifs: fix a sign extension bug (Ronnie Sahlberg) [2048823] - cifs: Not to defer close on file when lock is set (Ronnie Sahlberg) [2048823] - cifs: Fix soft lockup during fsstress (Ronnie Sahlberg) [2048823] - cifs: Deferred close performance improvements (Ronnie Sahlberg) [2048823] - cifs: fix incorrect kernel doc comments (Ronnie Sahlberg) [2048823] - cifs: remove pathname for file from SPDX header (Ronnie Sahlberg) [2048823] - cifs: properly invalidate cached root handle when closing it (Ronnie Sahlberg) [2048823] - cifs: move SMB FSCTL definitions to common code (Ronnie Sahlberg) [2048823] - cifs: rename cifs_common to smbfs_common (Ronnie Sahlberg) [2048823] - cifs: cifs_md4 convert to SPDX identifier (Ronnie Sahlberg) [2048823] - cifs: create a MD4 module and switch cifs.ko to use it (Ronnie Sahlberg) [2048823] - cifs: fork arc4 and create a separate module for it for cifs and other users (Ronnie Sahlberg) [2048823] - cifs: remove support for NTLM and weaker authentication algorithms (Ronnie Sahlberg) [2048823] - cifs: update FSCTL definitions (Ronnie Sahlberg) [2048823] - cifs: Do not leak EDEADLK to dgetents64 for STATUS_USER_SESSION_DELETED (Ronnie Sahlberg) [2048823] - cifs: enable fscache usage even for files opened as rw (Ronnie Sahlberg) [2048823] - smb3: fix posix extensions mount option (Ronnie Sahlberg) [2048823] - cifs: fix wrong release in sess_alloc_buffer() failed path (Ronnie Sahlberg) [2048823] - CIFS: Fix a potencially linear read overflow (Ronnie Sahlberg) [2048823] Resolves: rhbz#2065179, rhbz#2081260, rhbz#2080384, rhbz#2080356, rhbz#2080352, rhbz#2069957, rhbz#2054658, rhbz#1997373, rhbz#2078894, rhbz#2078897, rhbz#2072886, rhbz#2077436, rhbz#2075183, rhbz#2051908, rhbz#2004230, rhbz#2013413, rhbz#2072662, rhbz#2048823 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-09 08:00:06 +00:00
CONFIG_DAMON_PADDR=y
CONFIG_DAMON_RECLAIM=y
kernel-5.14.0-179.el9 * Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9] - dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028] - dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028] - dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028] - MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028] - dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028] - dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028] - dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028] - dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028] - dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028] - dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028] - dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028] - dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028] - dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028] - dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028] - dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028] - MAINTAINERS: update my email address (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028] - dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028] - dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028] - dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028] - dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028] - dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028] - dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028] - dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028] - dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028] - dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028] - Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028] - pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693] - gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693] - pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693] - ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693] - pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693] - nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526] - Enabling configs for AUTH support. (Gopal Tiwari) [2124526] - nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526] - nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526] - nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526] - nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526] - nvmet: fix a use-after-free (Gopal Tiwari) [2124526] - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526] - nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526] - nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526] - nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526] - nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526] - nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526] - nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526] - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526] - nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526] - nvme: refactor namespace probing (Gopal Tiwari) [2124526] - nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526] - nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526] - nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526] - nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526] - nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526] - nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526] - nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526] - nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526] - nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: don't always build constants.o (Gopal Tiwari) [2124526] - nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526] - nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526] - nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526] - nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526] - nvme-auth: fix off by one checks (Gopal Tiwari) [2124526] - nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526] - nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526] - nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526] - nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526] - nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526] - nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526] - nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526] - nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526] - nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526] - nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526] - nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_shash() (Gopal Tiwari) [2124526] - lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526] - nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526] - nvme: implement In-Band authentication (Gopal Tiwari) [2124526] - nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526] - nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526] - nvme: remove a double word in a comment (Gopal Tiwari) [2124526] - nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526] - nvme: remove unused timeout parameter (Gopal Tiwari) [2124526] - nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526] - nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526] - nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: fix block device naming collision (Gopal Tiwari) [2124526] - nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526] - nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526] - nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526] - nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526] - nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526] - nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526] - nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526] - block: simplify disk shutdown (Gopal Tiwari) [2124526] - nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526] - nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526] - nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526] - nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526] - nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526] - nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526] - nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526] - nvmet: fix typo in comment (Gopal Tiwari) [2124526] - nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526] - nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526] - nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526] - nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526] - nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526] - nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526] - nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526] - nvme: set dma alignment to dword (Gopal Tiwari) [2124526] - nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526] - nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526] - nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526] - nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526] - nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526] - nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526] - nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526] - nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526] - nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526] - nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526] - nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526] - nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526] - nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526] - nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526] - nvmet: don't fold lines (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526] - nvme-tcp: don't fold the line (Gopal Tiwari) [2124526] - nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526] - nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526] - nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526] - nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526] - nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526] - nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526] - nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526] - nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526] - nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526] - nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526] - nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526] - nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526] - nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526] - nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882} - watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882} - watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882} - cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632] - Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161] - net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161] - docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161] - net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161] - tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161] - net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161] - net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161] - net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161] - tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161] - net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161] - net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161] - net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161] - net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161] - tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161] - tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161] - tcp: get rid of rst_seq_match (Antoine Tenart) [2059161] - tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161] - tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161] - ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161] - net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161] - net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161] - net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161] - skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161] - net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161] - net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161] - net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161] - net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161] - net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161] - skb: make drop reason booleanable (Antoine Tenart) [2059161] - net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161] - net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161] - net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161] - net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161] - net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161] - net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161] - net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161] - net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161] - ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161] - net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161] - net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161] - net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161] - arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054] - irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140] - add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352] - mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352] - mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352] - mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352] - mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352] - mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352] - powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352] - powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352] - s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352] - arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352] - mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352] - mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352] - mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352] - mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352] - mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352] - mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352] - mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352] - mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352] - mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352] - mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352] - s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352] - compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352] - config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352] - config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352] - config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352] - config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352] - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352] - mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352] - mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352] - userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352] - mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352] - mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352] - kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352] - mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352] - mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352] - include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352] - video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352] - ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352] - mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352] - mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352] - mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352] - mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352] - mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352] - mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352] - mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352] - fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352] - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352] - fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352] - mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352] - mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352] - mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352] - mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352] - kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352] - no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352] - kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352] - page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352] - mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352] - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352] - memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352] - mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352] - vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352] - mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352] - mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352] - mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352] - mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352] - mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352] - kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352] - mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352] - mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352] - s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352] - mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352] - mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352] - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352] - highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352] - mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352] - mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352] - fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352] - mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352] - mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352] - Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352] - mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352] - mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352] - mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352] - mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352] - mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352] - Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352] - ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352] - fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352] - readahead: Update comments (Chris von Recklinghausen) [2120352] - mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352] - mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352] - fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352] - readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352] - mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352] - mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352] - s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352] - mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352] - mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352] - mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352] - mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352] - mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352] - mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352] - kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352] - kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352] - kasan: reorder reporting functions (Chris von Recklinghausen) [2120352] - kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352] - kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352] - kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352] - kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352] - kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352] - kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352] - kasan: restructure kasan_report (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352] - kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352] - kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352] - kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352] - kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352] - kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352] - kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: more line breaks in reports (Chris von Recklinghausen) [2120352] - kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352] - kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352] - kasan: update function name in comments (Chris von Recklinghausen) [2120352] - mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352] - kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352] - kasan: documentation updates (Chris von Recklinghausen) [2120352] - arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352] - kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352] - kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352] - kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352] - kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352] - kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352] - kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352] - kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352] - kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352] - kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352] - mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352] - kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352] - kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352] - kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352] - mm: unexport page_init_poison (Chris von Recklinghausen) [2120352] - kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352] - mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352] - Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352] - selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352] - mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352] - mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352] - mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352] - Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352] - Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352] - Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352] - mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352] - mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352] - mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352] - mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352] - mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352] - mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352] - mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352] - kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352] - mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352] - mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352] - mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352] - mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352] - mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352] - mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352] - mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352] - mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352] - mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352] - mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352] - mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352] - memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352] - NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352] - NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352] - mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352] - mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352] - mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352] - mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352] - mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352] - mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352] - mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352] - mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352] - userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352] - mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352] - mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352] - mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352] - mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352] - mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352] - mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352] - mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352] - mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352] - mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352] - mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352] - mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352] - mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352] - mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352] - mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352] - mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352] - mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352] - mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352] - mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352] - mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352] - mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352] - mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352] - mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352] - memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352] - memcg: unify force charging conditions (Chris von Recklinghausen) [2120352] - memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352] - mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352] - memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352] - mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - tmpfs: support for file creation time (Chris von Recklinghausen) [2120352] - mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352] - mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352] - mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352] - mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352] - filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352] - mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352] - remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352] - remove inode_congested() (Chris von Recklinghausen) [2120352] - mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352] - mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352] - mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352] - mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352] - mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352] - mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352] - fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352] - fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352] - afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352] - fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352] - fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352] - fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352] - resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352] - resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352] - signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352] - task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352] - task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352] - task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352] - task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352] - ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352] - ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352] - ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352] - ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352] - mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352] - s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352] - mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352] - mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352] - mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352] - mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352] - memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352] - mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352] - mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352] - mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352] - mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352] - mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352] - mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352] - fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352] - mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352] - mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352] - mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352] - s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352] - s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352] - s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352] - s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352] - mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352] - usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352] - Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352] - uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352] - uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352] - arm64: simplify access_ok() (Chris von Recklinghausen) [2120352] - uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352] - x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352] - x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352] - mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352] - mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352] - tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352] - memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352] - memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352] - mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352] - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352] - ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352] - mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352] - mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352] - Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352] - mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352] - memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352] - fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352] - fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352] - selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352] - mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352] - frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352] - mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352] - mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352] - frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352] - mm: remove cleancache (Chris von Recklinghausen) [2120352] - lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352] - sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352] - delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352] - delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352] - mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352] - mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352] - bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352] - mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352] - find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352] - include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352] - lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352] - arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352] - include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352] - bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352] - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352] - mm: fix some comment errors (Chris von Recklinghausen) [2120352] - zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352] - mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352] - mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352] - mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352] - mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352] - mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352] - mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352] - mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352] - mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352] - mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352] - mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352] - mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352] - mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352] - mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352] - mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352] - vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352] - mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352] - mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352] - mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352] - mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352] - mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352] - mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352] - mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352] - mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352] - mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352] - mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352] - mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352] - mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352] - mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352] - mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352] - mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352] - mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352] - shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352] - mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352] - mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352] - gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352] - mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352] - mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352] - mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352] - mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352] - mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352] - kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352] - mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352] - virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - fscache: Rewrite documentation (Chris von Recklinghausen) [2120352] - ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352] - ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352] - taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352] - exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352] - exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352] - exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352] - exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352] - exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352] - signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352] - signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352] - signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352] - coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352] - signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352] - signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352] - signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352] - signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352] - exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352] - h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352] - kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352] - mm: Remove slab from struct page (Chris von Recklinghausen) [2120352] - mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352] - bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352] - mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352] - mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352] - mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352] - mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352] - mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352] - mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352] - mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352] - mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352] - mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352] - mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352] - mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352] - mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352] - mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352] - kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352] - kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352] - fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352] - fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352] - iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352] - objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352] - exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352] - kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352] - exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352] - exit: Implement kthread_exit (Chris von Recklinghausen) [2120352] - exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352] - exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352] - exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352] - exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352] - exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352] - mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352] - selftests/damon: split test cases (Chris von Recklinghausen) [2120352] - selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352] - selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352] - mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352] - percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352] - memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352] - powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352] - s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352] - hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352] - kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352] - hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352] - mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352] - mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352] - mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352] - signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352] - signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352] - signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352] - signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352] - mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352] - mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352] - mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352] - mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352] - vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352] - selftests/damon: support watermarks (Chris von Recklinghausen) [2120352] - tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352] - mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352] - selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352] - include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352] - include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352] - mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352] - mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352] - mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352] - mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352] - mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352] - mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352] - mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352] - mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352] - mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352] - mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352] - mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352] - mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352] - mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352] - mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352] - mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352] - mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352] - hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352] - mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352] - mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352] - mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352] - mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352] - mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352] - mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352] - mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352] - mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352] - mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352] - mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352] - mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352] - mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352] - memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352] - mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352] - mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352] - mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352] - mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352] - mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352] - fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352] - mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352] - mm: export bdi_unregister (Chris von Recklinghausen) [2120352] - mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352] - mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352] - mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352] - mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352] - mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352] - signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352] - signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352] - signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352] - exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352] - signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352] - signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352] - ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352] - MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352] - signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352] - signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352] - docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352] - mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352] - mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352] - pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352] - mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352] - s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352] - coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352] - kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352] - kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352] - coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352] - exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352] - exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352] - ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352] - signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352] - scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352] - entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352] - mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352] - s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352] - io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352] - io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352] - io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352] - io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352] - io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352] - io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352] - io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352] - io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352] - io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352] - signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352] - s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352] - mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352] - io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352] - io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352] - io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352] - io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352] - fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352] - fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352] - kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352] - asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352] - asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352] - memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352] - Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352] - x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352] - mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352] - arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352] - powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352] - powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352] - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352] - powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352] - s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352] - s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352] - mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352] - x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352] - powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352] - powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352] - powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352] - powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352] - powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352] - arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352] - arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352] - mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352] - mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352] - x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352] - powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352] - powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352] - powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352] - powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352] - powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352] - powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352] - mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352] - mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352] - mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352] - arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352] - arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352] - x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352] - mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352] - hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352] - hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352] - x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352] - arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352] - hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352] - x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352] - x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352] - Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352] - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352] - x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352] - x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352] - arm64: fix typos in comments (Chris von Recklinghausen) [2120352] - powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352] - mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352] - mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352] - hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352] - mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352] - mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352] - mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352] - arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352] - mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352] - x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352] - x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352] - powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352] - s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352] - s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352] - s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352] - s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352] - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352] - powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352] - hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352] - x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352] - powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352] - powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352] - powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352] - powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352] - s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352] - s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352] - s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352] - mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352] - mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352] - powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352] - ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352] - docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352] - powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352] - mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352] - x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352] - mm: page table check (Chris von Recklinghausen) [2120352] - mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352] - mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352] - mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352] - powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352] - x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352] - s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352] - powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352] - s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352] - hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352] - s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352] - s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352] - s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352] - s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352] - s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352] - powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352] - powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352] - powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352] - powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352] - powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352] - powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352] - powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352] - powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352] - powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352] - powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352] - powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352] - powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352] - powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352] - x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352] - x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352] - powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352] - powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352] - powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352] - powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352] - powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352] - powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352] - powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352] - powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352] - powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352] - powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352] - powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352] - powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352] - Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352] - powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352] - s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352] - powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352] - powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352] - kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352] - arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352] - hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352] - memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352] - hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352] - hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352] - hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352] - mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352] - hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352] - s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352] - mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352] - powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352] - powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352] - powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352] - s390: make command line configurable (Chris von Recklinghausen) [2120352] - s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352] - s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352] - s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352] - s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352] - s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352] - s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352] - s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352] - s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352] - s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352] - powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352] - reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352] - exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352] - exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352] - powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352] - s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352] - powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352] - s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352] - s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352] - x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352] - x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352] - x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352] - x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352] - powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352] - powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352] - powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352] - powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352] - powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352] - powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352] - powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352] - powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352] - s390: fix typo in linker script (Chris von Recklinghausen) [2120352] - s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352] - s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352] - powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352] - powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352] - s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352] - s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352] - powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352] - powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352] - s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352] - s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352] - s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352] - s390: add support for KFENCE (Chris von Recklinghausen) [2120352] - s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352] - s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352] - s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352] - s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352] - s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352] - s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352] - s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352] - s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352] - s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352] - s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352] - s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352] - s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352] - s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352] - s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352] - s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352] - s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352] - s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352] - s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352] - powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352] - Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352] - Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352] - Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352] - Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352] - Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352] - Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352] - Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352] - selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352] - selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352] - selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352] - mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352] - mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352] - mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352] Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 19:28:31 +00:00
CONFIG_DAMON_SYSFS=y
kernel-5.14.0-87.el9 * Mon May 09 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-87.el9] - perf tools: Add external commands to list-cmds (Michael Petlan) [2065179] - perf docs: Add perf-iostat link to manpages (Michael Petlan) [2065179] - net: make use of helper netif_is_bridge_master() (Ivan Vecera) [2081260] - xfrm: enforce validity of offload input flags (Sabrina Dubroca) [2080384] - xfrm: Don't accidentally set RTO_ONLINK in decode_session4() (Sabrina Dubroca) [2080384] - net/xfrm: IPsec tunnel mode fix inner_ipproto setting in sec_path (Sabrina Dubroca) [2080384] - xfrm: fix a small bug in xfrm_sa_len() (Sabrina Dubroca) [2080384] - xfrm: fix policy lookup for ipv6 gre packets (Sabrina Dubroca) [2080384] - net/tls: fix slab-out-of-bounds bug in decrypt_internal (Sabrina Dubroca) [2080356] - tls: cap the output scatter list to something reasonable (Sabrina Dubroca) [2080356] - net/tls: Fix authentication failure in CCM mode (Sabrina Dubroca) [2080356] - tls: fix replacing proto_ops (Sabrina Dubroca) [2080356] - tls: splice_read: fix accessing pre-processed records (Sabrina Dubroca) [2080356] - tls: splice_read: fix record type check (Sabrina Dubroca) [2080356] - net: macsec: Verify that send_sci is on when setting Tx sci explicitly (Sabrina Dubroca) [2080352] - net: macsec: Fix offload support for NETDEV_UNREGISTER event (Sabrina Dubroca) [2080352] - sctp: check asoc strreset_chunk in sctp_generate_reconf_event (Xin Long) [2069957] - udf: Restore i_lenAlloc when inode expansion fails (Carlos Maiolino) [2054658] {CVE-2022-0617} - udf: Fix NULL ptr deref when converting from inline format (Carlos Maiolino) [2054658] {CVE-2022-0617} - cifs: destage any unwritten data to the server before calling copychunk_write (Ronnie Sahlberg) [1997373] - i40e: xsk: Move tmp desc array from driver to pool (Ivan Vecera) [2078894] - printk: use atomic updates for klogd work (Prarit Bhargava) [2078897] - genirq: Provide generic_handle_irq_safe() (Prarit Bhargava) [2078897] - printk: Remove printk.h inclusion in percpu.h (Prarit Bhargava) [2078897] - efi: Allow efi=runtime (Prarit Bhargava) [2078897] - efi: Disable runtime services on RT (Prarit Bhargava) [2078897] - x86/softirq: Disable softirq stacks on PREEMPT_RT (Prarit Bhargava) [2078897] - genirq: Disable irqfixup/poll on PREEMPT_RT. (Prarit Bhargava) [2078897] - genirq: Move prio assignment into the newly created thread (Prarit Bhargava) [2078897] - cpufreq: intel_pstate: Use firmware default EPP (Steve Best) [2072886] - cpufreq: intel_pstate: Update cpuinfo.max_freq on HWP_CAP changes (Steve Best) [2072886] - cpufreq: intel_pstate: Update EPP for AlderLake mobile (Steve Best) [2072886] - cpufreq: intel_pstate: Drop redundant intel_pstate_get_hwp_cap() call (Steve Best) [2072886] - cpufreq: intel_pstate: ITMT support for overclocked system (Steve Best) [2072886] - cpufreq: intel_pstate: Fix active mode offline/online EPP handling (Steve Best) [2072886] - cpufreq: intel_pstate: Add Ice Lake server to out-of-band IDs (Steve Best) [2072886] - drivers/base/memory: clarify adding and removing of memory blocks (David Hildenbrand) [2077436] - drivers/base/memory: determine and store zone for single-zone memory blocks (David Hildenbrand) [2077436] - drivers/base/node: rename link_mem_sections() to register_memory_block_under_node() (David Hildenbrand) [2077436] - drivers/base/node: consolidate node device subsystem initialization in node_dev_init() (David Hildenbrand) [2077436] - powerpc/kernel: Add __init attribute to eligible functions (David Hildenbrand) [2077436] - drivers/base/memory: add memory block to memory group after registration succeeded (David Hildenbrand) [2077436] - af_key: add __GFP_ZERO flag for compose_sadb_supported in function pfkey_register (Xin Long) [2075183] - proc/vmcore: fix vmcore_alloc_buf() kernel-doc comment (Baoquan He) [2051908] - proc/vmcore: fix possible deadlock on concurrent mmap and read (Baoquan He) [2051908] - proc/vmcore: don't fake reading zeroes on surprise vmcore_cb unregistration (Baoquan He) [2051908] - enable DAMON configs (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for schemes statistics (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/reclaim: document statistics parameters (Chris von Recklinghausen) [2004230] - mm/damon: hide kernel pointer from tracepoint event (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: hide kernel pointer from damon_va_three_regions() failure log (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: use pr_debug() for damon_va_three_regions() failure logging (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary variable (Chris von Recklinghausen) [2004230] - mm/damon: move the implementation of damon_insert_region to damon.h (Chris von Recklinghausen) [2004230] - mm/damon: add access checking for hugetlb pages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support all DAMOS stats (Chris von Recklinghausen) [2004230] - mm/damon/reclaim: provide reclamation statistics (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account how many times quota limit has exceeded (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account scheme actions that successfully applied (Chris von Recklinghausen) [2004230] - mm/damon: remove a mistakenly added comment for a future feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for kdamond_pid and (mk|rm)_contexts (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: mention tracepoint at the beginning (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: remove redundant information (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for scheme quotas and watermarks (Chris von Recklinghausen) [2004230] - mm/damon: convert macro functions to static inline functions (Chris von Recklinghausen) [2004230] - mm/damon: modify damon_rand() macro to static inline function (Chris von Recklinghausen) [2004230] - mm/damon: move damon_rand() definition into damon.h (Chris von Recklinghausen) [2004230] - mm/damon/schemes: add the validity judgment of thresholds (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove swap_ranges() and replace it with swap() (Chris von Recklinghausen) [2004230] - mm/damon: remove some unneeded function definitions in damon.h (Chris von Recklinghausen) [2004230] - mm/damon/core: use abs() instead of diff_of() (Chris von Recklinghausen) [2004230] - mm/damon: add 'age' of region tracepoint support (Chris von Recklinghausen) [2004230] - mm/damon: unified access_check function naming rules (Chris von Recklinghausen) [2004230] - docs/vm: add vmalloced-kernel-stacks document (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix 'struct pid' leaks in 'dbgfs_target_ids_write()' (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: protect targets destructions with kdamond_lock (Chris von Recklinghausen) [2004230] - selftests/damon: test debugfs file reads/writes with huge count (Chris von Recklinghausen) [2004230] - selftests/damon: test DAMON enabling with empty target_ids case (Chris von Recklinghausen) [2004230] - mm/damon/vaddr-test: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove an unnecessary warning message (Chris von Recklinghausen) [2004230] - mm/damon/core: remove unnecessary error messages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary error message (Chris von Recklinghausen) [2004230] - mm/damon/core: use better timer mechanisms selection threshold (Chris von Recklinghausen) [2004230] - mm/damon/core: fix fake load reports due to uninterruptible sleeps (Chris von Recklinghausen) [2004230] - timers: implement usleep_idle_range() (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix missed use of damon_dbgfs_lock (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: use '__GFP_NOWARN' for user-specified size buffer allocation (Chris von Recklinghausen) [2004230] - mm/damon: remove return value from before_terminate callback (Chris von Recklinghausen) [2004230] - mm/damon: fix a few spelling mistakes in comments and a pr_debug message (Chris von Recklinghausen) [2004230] - mm/damon: simplify stop mechanism (Chris von Recklinghausen) [2004230] - include/linux/delay.h: replace kernel.h with the necessary inclusions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/pagemap: wordsmith page flags descriptions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: simplify the content (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix a wrong link (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix wrong example commands (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: add adaptive_targets list check before enable monitor_on (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary variable initialization (Chris von Recklinghausen) [2004230] - Documentation/admin-guide/mm/damon: add a document for DAMON_RECLAIM (Chris von Recklinghausen) [2004230] - mm/damon: introduce DAMON-based Reclamation (DAMON_RECLAIM) (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support watermarks (Chris von Recklinghausen) [2004230] - mm/damon/schemes: activate schemes based on a watermarks mechanism (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support prioritization weights (Chris von Recklinghausen) [2004230] - mm/damon/vaddr,paddr: support pageout prioritization (Chris von Recklinghausen) [2004230] - mm/damon/schemes: prioritize regions within the quotas (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support quotas of schemes (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement time quota (Chris von Recklinghausen) [2004230] - mm/damon/schemes: skip already charged targets and regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement size quota for schemes application speed control (Chris von Recklinghausen) [2004230] - mm/damon/paddr: support the pageout scheme (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: constify static mm_walk_ops (Chris von Recklinghausen) [2004230] - Docs/DAMON: document physical memory monitoring support (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support physical memory monitoring (Chris von Recklinghausen) [2004230] - mm/damon: implement primitives for physical address space monitoring (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: separate commonly usable functions (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs-test: add a unit test case for 'init_regions' (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document 'init_regions' feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - docs/vm/damon: remove broken reference (Chris von Recklinghausen) [2004230] - Documentation/vm: move user guides to admin-guide/mm/ (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: allow users to set initial monitoring target regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement statistics feature (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/core: implement DAMON-based Operation Schemes (DAMOS) (Chris von Recklinghausen) [2004230] - mm/damon/core: account age of target regions (Chris von Recklinghausen) [2004230] - mm/damon/core: nullify pointer ctx->kdamond with a NULL (Chris von Recklinghausen) [2004230] - mm/damon: needn't hold kdamond_lock to print pid of kdamond (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary do_exit() from kdamond (Chris von Recklinghausen) [2004230] - mm/damon/core: print kdamond start log in debug mode only (Chris von Recklinghausen) [2004230] - mm/damon: grammar s/works/work/ (Chris von Recklinghausen) [2004230] - drm/msm: Fix missing include files in msm_gem_shrinker.c (Chris von Recklinghausen) [2004230] - NFSv4.2: Fix missing removal of SLAB_ACCOUNT on kmem_cache allocation (Waiman Long) [2013413] - slab: remove __alloc_size attribute from __kmalloc_track_caller (Waiman Long) [2013413] - mm: memcontrol: rename memcg_cache_id to memcg_kmem_id (Waiman Long) [2013413] - mm: list_lru: rename list_lru_per_memcg to list_lru_memcg (Waiman Long) [2013413] - mm: memcontrol: fix cannot alloc the maximum memcg ID (Waiman Long) [2013413] - mm: memcontrol: reuse memory cgroup ID for kmem ID (Waiman Long) [2013413] - mm: list_lru: replace linear array with xarray (Waiman Long) [2013413] - mm: list_lru: rename memcg_drain_all_list_lrus to memcg_reparent_list_lrus (Waiman Long) [2013413] - mm: list_lru: allocate list_lru_one only when needed (Waiman Long) [2013413] - mm: memcontrol: move memcg_online_kmem() to mem_cgroup_css_online() (Waiman Long) [2013413] - xarray: use kmem_cache_alloc_lru to allocate xa_node (Waiman Long) [2013413] - mm: dcache: use kmem_cache_alloc_lru() to allocate dentry (Waiman Long) [2013413] - fs: allocate inode by using alloc_inode_sb() (Waiman Long) [2013413] - fs: introduce alloc_inode_sb() to allocate filesystems specific inode (Waiman Long) [2013413] - mm: introduce kmem_cache_alloc_lru (Waiman Long) [2013413] - mm: list_lru: transpose the array of per-node per-memcg lru lists (Waiman Long) [2013413] - memcg: add per-memcg total kernel memory stat (Waiman Long) [2013413] - memcg: add per-memcg vmalloc stat (Waiman Long) [2013413] - mm: list_lru: only add memcg-aware lrus to the global lru list (Waiman Long) [2013413] - mm: list_lru: fix the return value of list_lru_count_one() (Waiman Long) [2013413] - mm: list_lru: remove holding lru lock (Waiman Long) [2013413] - memcg, kmem: further deprecate kmem.limit_in_bytes (Waiman Long) [2013413] - mm/list_lru.c: prefer struct_size over open coded arithmetic (Waiman Long) [2013413] - slab: add __alloc_size attributes for better bounds checking (Waiman Long) [2013413] - slab: clean up function prototypes (Waiman Long) [2013413] - Compiler Attributes: add __alloc_size() for better bounds checking (Waiman Long) [2013413] - Redhat: enable Kfence on production servers (Nico Pache) [2072662] - kfence, x86: only define helpers if !MODULE (Nico Pache) [2072662] - kfence: default to dynamic branch instead of static keys mode (Nico Pache) [2072662] - kfence: test: try to avoid test_gfpzero trigger rcu_stall (Nico Pache) [2072662] - kunit: fix UAF when run kfence test case test_gfpzero (Nico Pache) [2072662] - kfence: alloc kfence_pool after system startup (Nico Pache) [2072662] - kfence: allow re-enabling KFENCE after system startup (Nico Pache) [2072662] - mm/kfence: remove unnecessary CONFIG_KFENCE option (Nico Pache) [2072662] - kfence: make test case compatible with run time set sample interval (Nico Pache) [2072662] - kfence: always use static branches to guard kfence_alloc() (Nico Pache) [2072662] - kfence: shorten critical sections of alloc/free (Nico Pache) [2072662] - kfence: test: use kunit_skip() to skip tests (Nico Pache) [2072662] - kfence: limit currently covered allocations when pool nearly full (Nico Pache) [2072662] - kfence: move saving stack trace of allocations into __kfence_alloc() (Nico Pache) [2072662] - kfence: count unexpectedly skipped allocations (Nico Pache) [2072662] - kfence: add function to mask address bits (Nico Pache) [2072662] - cifs: verify that tcon is valid before dereference in cifs_kill_sb (Ronnie Sahlberg) [2048823] - cifs: release cached dentries only if mount is complete (Ronnie Sahlberg) [2048823] - cifs: we do not need a spinlock around the tree access during umount (Ronnie Sahlberg) [2048823] - cifs: fix handlecache and multiuser (Ronnie Sahlberg) [2048823] - cifs: fix workstation_name for multiuser mounts (Ronnie Sahlberg) [2048823] - cifs: free ntlmsspblob allocated in negotiate (Ronnie Sahlberg) [2048823] - cifs: fix ntlmssp auth when there is no key exchange (Ronnie Sahlberg) [2048823] - cifs: send workstation name during ntlmssp session setup (Ronnie Sahlberg) [2048823] - cifs: Fix crash on unload of cifs_arc4.ko (Ronnie Sahlberg) [2048823] - Documentation, arch: Remove leftovers from CIFS_WEAK_PW_HASH (Ronnie Sahlberg) [2048823] - cifs: fix the cifs_reconnect path for DFS (Ronnie Sahlberg) [2048823] - cifs: sanitize multiple delimiters in prepath (Ronnie Sahlberg) [2048823] - cifs: ignore resource_id while getting fscache super cookie (Ronnie Sahlberg) [2048823] - cifs: avoid use of dstaddr as key for fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: add server conn_id to fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: wait for tcon resource_id before getting fscache super (Ronnie Sahlberg) [2048823] - cifs: fix missed refcounting of ipc tcon (Ronnie Sahlberg) [2048823] - cifs: update internal version number (Ronnie Sahlberg) [2048823] - smb2: clarify rc initialization in smb2_reconnect (Ronnie Sahlberg) [2048823] - cifs: populate server_hostname for extra channels (Ronnie Sahlberg) [2048823] - cifs: nosharesock should be set on new server (Ronnie Sahlberg) [2048823] - cifs: introduce cifs_ses_mark_for_reconnect() helper (Ronnie Sahlberg) [2048823] - cifs: protect srv_count with cifs_tcp_ses_lock (Ronnie Sahlberg) [2048823] - cifs: move debug print out of spinlock (Ronnie Sahlberg) [2048823] - cifs: do not duplicate fscache cookie for secondary channels (Ronnie Sahlberg) [2048823] - cifs: connect individual channel servers to primary channel server (Ronnie Sahlberg) [2048823] - cifs: protect session channel fields with chan_lock (Ronnie Sahlberg) [2048823] - cifs: do not negotiate session if session already exists (Ronnie Sahlberg) [2048823] - smb3: do not setup the fscache_super_cookie until fsinfo initialized (Ronnie Sahlberg) [2048823] - cifs: fix potential use-after-free bugs (Ronnie Sahlberg) [2048823] - cifs: fix memory leak of smb3_fs_context_dup::server_hostname (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB311_posix_mkdir (Ronnie Sahlberg) [2048823] - cifs: release lock earlier in dequeue_mid error case (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_tcon (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_open (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_ioctl (Ronnie Sahlberg) [2048823] - smb3: remove trivial dfs compile warning (Ronnie Sahlberg) [2048823] - cifs: support nested dfs links over reconnect (Ronnie Sahlberg) [2048823] - smb3: do not error on fsync when readonly (Ronnie Sahlberg) [2048823] - cifs: for compound requests, use open handle if possible (Ronnie Sahlberg) [2048823] - cifs: set a minimum of 120s for next dns resolution (Ronnie Sahlberg) [2048823] - cifs: split out dfs code from cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: convert list_for_each to entry variant (Ronnie Sahlberg) [2048823] - cifs: introduce new helper for cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: fix print of hdr_flags in dfscache_proc_show() (Ronnie Sahlberg) [2048823] - cifs: nosharesock should not share socket with future sessions (Ronnie Sahlberg) [2048823] - smb3: add dynamic trace points for socket connection (Ronnie Sahlberg) [2048823] - cifs: Move SMB2_Create definitions to the shared area (Ronnie Sahlberg) [2048823] - cifs: Move more definitions into the shared area (Ronnie Sahlberg) [2048823] - cifs: move NEGOTIATE_PROTOCOL definitions out into the common area (Ronnie Sahlberg) [2048823] - cifs: Create a new shared file holding smb2 pdu definitions (Ronnie Sahlberg) [2048823] - cifs: add mount parameter tcpnodelay (Ronnie Sahlberg) [2048823] - cifs: To match file servers, make sure the server hostname matches (Ronnie Sahlberg) [2048823] - cifs: fix incorrect check for null pointer in header_assemble (Ronnie Sahlberg) [2048823] - smb3: correct server pointer dereferencing check to be more consistent (Ronnie Sahlberg) [2048823] - smb3: correct smb3 ACL security descriptor (Ronnie Sahlberg) [2048823] - cifs: Clear modified attribute bit from inode flags (Ronnie Sahlberg) [2048823] - cifs: Deal with some warnings from W=1 (Ronnie Sahlberg) [2048823] - cifs: fix a sign extension bug (Ronnie Sahlberg) [2048823] - cifs: Not to defer close on file when lock is set (Ronnie Sahlberg) [2048823] - cifs: Fix soft lockup during fsstress (Ronnie Sahlberg) [2048823] - cifs: Deferred close performance improvements (Ronnie Sahlberg) [2048823] - cifs: fix incorrect kernel doc comments (Ronnie Sahlberg) [2048823] - cifs: remove pathname for file from SPDX header (Ronnie Sahlberg) [2048823] - cifs: properly invalidate cached root handle when closing it (Ronnie Sahlberg) [2048823] - cifs: move SMB FSCTL definitions to common code (Ronnie Sahlberg) [2048823] - cifs: rename cifs_common to smbfs_common (Ronnie Sahlberg) [2048823] - cifs: cifs_md4 convert to SPDX identifier (Ronnie Sahlberg) [2048823] - cifs: create a MD4 module and switch cifs.ko to use it (Ronnie Sahlberg) [2048823] - cifs: fork arc4 and create a separate module for it for cifs and other users (Ronnie Sahlberg) [2048823] - cifs: remove support for NTLM and weaker authentication algorithms (Ronnie Sahlberg) [2048823] - cifs: update FSCTL definitions (Ronnie Sahlberg) [2048823] - cifs: Do not leak EDEADLK to dgetents64 for STATUS_USER_SESSION_DELETED (Ronnie Sahlberg) [2048823] - cifs: enable fscache usage even for files opened as rw (Ronnie Sahlberg) [2048823] - smb3: fix posix extensions mount option (Ronnie Sahlberg) [2048823] - cifs: fix wrong release in sess_alloc_buffer() failed path (Ronnie Sahlberg) [2048823] - CIFS: Fix a potencially linear read overflow (Ronnie Sahlberg) [2048823] Resolves: rhbz#2065179, rhbz#2081260, rhbz#2080384, rhbz#2080356, rhbz#2080352, rhbz#2069957, rhbz#2054658, rhbz#1997373, rhbz#2078894, rhbz#2078897, rhbz#2072886, rhbz#2077436, rhbz#2075183, rhbz#2051908, rhbz#2004230, rhbz#2013413, rhbz#2072662, rhbz#2048823 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-09 08:00:06 +00:00
CONFIG_DAMON_VADDR=y
CONFIG_DAMON=y
CONFIG_DAVICOM_PHY=m
CONFIG_DAX=y
CONFIG_DCB=y
CONFIG_DEBUG_ATOMIC_SLEEP=y
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
# CONFIG_DEBUG_CGROUP_REF is not set
CONFIG_DEBUG_CREDENTIALS=y
# CONFIG_DEBUG_DEVRES is not set
# CONFIG_DEBUG_DRIVER is not set
# CONFIG_DEBUG_EFI is not set
# CONFIG_DEBUG_ENTRY is not set
# CONFIG_DEBUG_FORCE_WEAK_PER_CPU is not set
CONFIG_DEBUG_FS_ALLOW_ALL=y
# CONFIG_DEBUG_FS_ALLOW_NONE is not set
# CONFIG_DEBUG_FS_DISALLOW_MOUNT is not set
CONFIG_DEBUG_FS=y
CONFIG_DEBUGGER=y
# CONFIG_DEBUG_GPIO is not set
CONFIG_DEBUG_INFO_BTF=y
# CONFIG_DEBUG_INFO_COMPRESSED is not set
kernel-5.14.0-39.el9 * Fri Dec 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-39.el9] - cpuidle: pseries: Do not cap the CEDE0 latency in fixup_cede0_latency() (Gustavo Walbon) [2029870] - cpuidle: pseries: Fixup CEDE0 latency only for POWER10 onwards (Gustavo Walbon) [2029870] - powerpc/mce: Fix access error in mce handler (Gustavo Walbon) [2027829] - powerpc/pseries/mobility: ignore ibm, platform-facilities updates (Gustavo Walbon) [2023438] - KVM: SVM: Do not terminate SEV-ES guests on GHCB validation failure (Vitaly Kuznetsov) [1961151] - KVM: SEV: Fall back to vmalloc for SEV-ES scratch area if necessary (Vitaly Kuznetsov) [1961151] - KVM: SEV: Return appropriate error codes if SEV-ES scratch setup fails (Vitaly Kuznetsov) [1961151] - KVM: SEV: Refactor out sev_es_state struct (Vitaly Kuznetsov) [1961151] - redhat/configs: enable DWARF5 feature if toolchain supports it (Lianbo Jiang) [2009205] - init: make unknown command line param message clearer (Andrew Halaney) [2004361] - Bluetooth: btusb: Add one more Bluetooth part for WCN6855 (Gopal Tiwari) [2020943] - Bluetooth: btusb: Add the new support IDs for WCN6855 (Gopal Tiwari) [2020943] - Bluetooth: btusb: re-definition for board_id in struct qca_version (Gopal Tiwari) [2020943] - Bluetooth: btusb: Add support using different nvm for variant WCN6855 controller (Gopal Tiwari) [2020943] - cgroup: Make rebind_subsystems() disable v2 controllers all at once (Waiman Long) [1986734] - bnxt_en: Event handler for PPS events (Ken Cox) [1990151] - bnxt_en: 1PPS functions to configure TSIO pins (Ken Cox) [1990151] - bnxt_en: 1PPS support for 5750X family chips (Ken Cox) [1990151] - bnxt_en: Do not read the PTP PHC during chip reset (Ken Cox) [1990151] - bnxt_en: Move bnxt_ptp_init() from bnxt_open() back to bnxt_init_one() (Ken Cox) [1990151] Resolves: rhbz#1961151, rhbz#1986734, rhbz#1990151, rhbz#2004361, rhbz#2009205, rhbz#2020943, rhbz#2023438, rhbz#2027829, rhbz#2029870 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-24 01:48:48 +00:00
# CONFIG_DEBUG_INFO_DWARF4 is not set
kernel-5.14.0-200.el9 * Mon Nov 21 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-200.el9] - macvlan: enforce a consistent minimal mtu (Davide Caratti) [2133755] - ipvlan: Fix out-of-bound bugs caused by unset skb->mac_header (Davide Caratti) [2133755] - net: ipvtap - add __init/__exit annotations to module init/exit funcs (Davide Caratti) [2133755] - perf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - perf tools: Remove bpf_map__set_priv()/bpf_map__priv() usage (Jerome Marchand) [2120966] - perf tools: Remove bpf_program__set_priv/bpf_program__priv usage (Jerome Marchand) [2120966] - perf bpf: Stop using deprecated bpf_object__next() API (Jerome Marchand) [2120966] - perf bpf: Stop using deprecated bpf_load_program() API (Jerome Marchand) [2120966] - perf: Stop using bpf_object__open_buffer() API (Jerome Marchand) [2120966] - bpf/selftests: fix bpf selftests install (Jerome Marchand) [2120966] - bpf: Force cookies array to follow symbols sorting (Jerome Marchand) [2120966] - ftrace: Keep address offset in ftrace_lookup_symbols (Jerome Marchand) [2120966] - selftests/bpf: Shuffle cookies symbols in kprobe multi test (Jerome Marchand) [2120966] - bpf: Fix bpf_xdp_pointer return pointer (Jiri Benc) [2120966] - selftests/bpf: Fix tc_redirect_dtime (Jiri Benc) [2120966] - net: fix wrong network header length (Jiri Benc) [2120966] - skbuff: clean up inconsistent indenting (Jiri Benc) [2120966] - bpf: Disallow bpf programs call prog_run command. (Jerome Marchand) [2120966] - bpf, x86: fix freeing of not-finalized bpf_prog_pack (Jerome Marchand) [2120966] - selftests/bpf: Fix rare segfault in sock_fields prog test (Jerome Marchand) [2120966] - rethook: Reject getting a rethook if RCU is not watching (Jerome Marchand) [2120966] - selftests/bpf: Avoid skipping certain subtests (Jerome Marchand) [2120966] - bpf: Use safer kvmalloc_array() where possible (Jerome Marchand) [2120966] - bpf: Resolve symbols with ftrace_lookup_symbols for kprobe multi link (Jerome Marchand) [2120966] - ftrace: Add ftrace_lookup_symbols function (Jerome Marchand) [2120966] - kallsyms: Make kallsyms_on_each_symbol generally available (Jerome Marchand) [2120966] - bpf: Introduce bpf_arch_text_invalidate for bpf_prog_pack (Jerome Marchand) [2120966] - x86/alternative: Introduce text_poke_set (Jerome Marchand) [2120966] - bpf: Fill new bpf_prog_pack with illegal instructions (Jerome Marchand) [2120966] - scripts: dummy-tools, add pahole (Jerome Marchand) [2120966] - kprobes: Fix KRETPROBES when CONFIG_KRETPROBE_ON_RETHOOK is set (Jerome Marchand) [2120966] - bpf: Fix release of page_pool in BPF_PROG_RUN in test runner (Jerome Marchand) [2120966] - x86,bpf: Avoid IBT objtool warning (Jerome Marchand) [2120966] - bpf: Do write access check for kfunc and global func (Jerome Marchand) [2120966] - bpf: Adjust bpf_tcp_check_syncookie selftest to test dual-stack sockets (Jerome Marchand) [2120966] - bpf: selftests: Test fentry tracing a struct_ops program (Jerome Marchand) [2120966] - bpf: Resolve to prog->aux->dst_prog->type only for BPF_PROG_TYPE_EXT (Jerome Marchand) [2120966] - rethook: Fix to use WRITE_ONCE() for rethook:: Handler (Jerome Marchand) [2120966] - selftests/bpf: Fix warning comparing pointer to 0 (Jerome Marchand) [2120966] - bpf: Fix sparse warnings in kprobe_multi_resolve_syms (Jerome Marchand) [2120966] - bpftool: Explicit errno handling in skeletons (Jerome Marchand) [2120966] - selftests/bpf: Fix clang compilation errors (Jerome Marchand) [2120966] - x86,kprobes: Fix optprobe trampoline to generate complete pt_regs (Jerome Marchand) [2120966] - x86,rethook: Fix arch_rethook_trampoline() to generate a complete pt_regs (Jerome Marchand) [2120966] - x86,rethook,kprobes: Replace kretprobe with rethook on x86 (Jerome Marchand) [2120966] - kprobes: Use rethook for kretprobe if possible (Jerome Marchand) [2120966] - kprobes: Limit max data_size of the kretprobe instances (Jerome Marchand) [2120966] - bpftool: Fix generated code in codegen_asserts (Jerome Marchand) [2120966] - bpf: Fix maximum permitted number of arguments check (Jerome Marchand) [2120966] - bpf: Sync comments for bpf_get_stack (Jerome Marchand) [2120966] - fprobe: Fix sparse warning for acccessing __rcu ftrace_hash (Jerome Marchand) [2120966] - fprobe: Fix smatch type mismatch warning (Jerome Marchand) [2120966] - bpf/bpftool: Add unprivileged_bpf_disabled check against value of 2 (Jerome Marchand) [2120966] - selftests/bpf: Fix kprobe_multi test. (Jerome Marchand) [2120966] - Revert "rethook: x86: Add rethook x86 implementation" (Jerome Marchand) [2120966] - Revert "arm64: rethook: Add arm64 rethook implementation" (Jerome Marchand) [2120966] - Revert "powerpc: Add rethook support" (Jerome Marchand) [2120966] - Revert "ARM: rethook: Add rethook arm implementation" (Jerome Marchand) [2120966] - bpftool: Fix a bug in subskeleton code generation (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack when PMU_SIZE is not defined (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack for multi-node setup (Jerome Marchand) [2120966] - bpf: Fix warning for cast from restricted gfp_t in verifier (Jerome Marchand) [2120966] - libbpf: Close fd in bpf_object__reuse_map (Jerome Marchand) [2120966] - bpf: Fix kprobe_multi return probe backtrace (Jerome Marchand) [2120966] - Revert "bpf: Add support to inline bpf_get_func_ip helper on x86" (Jerome Marchand) [2120966] - bpf: Simplify check in btf_parse_hdr() (Jerome Marchand) [2120966] - selftests/bpf/test_lirc_mode2.sh: Exit with proper code (Jerome Marchand) [2120966] - bpf: Check for NULL return from bpf_get_btf_vmlinux (Jerome Marchand) [2120966] - selftests/bpf: Test skipping stacktrace (Jerome Marchand) [2120966] - bpf: Adjust BPF stack helper functions to accommodate skip > 0 (Jerome Marchand) [2120966] - bpf: Select proper size for bpf_prog_pack (Jerome Marchand) [2120966] - selftests/bpf: Fix test for 4-byte load from remote_port on big-endian (Jerome Marchand) [2120966] - selftests/bpf: Fix u8 narrow load checks for bpf_sk_lookup remote_port (Jerome Marchand) [2120966] - bpf: Treat bpf_sk_lookup remote_port as a 2-byte field (Jerome Marchand) [2120966] - selftests/bpf: Test for associating multiple elements with the local storage (Jerome Marchand) [2120966] - bpf: Enable non-atomic allocations in local storage (Jerome Marchand) [2120966] - libbpf: Avoid NULL deref when initializing map BTF info (Jerome Marchand) [2120966] - bpf: Always raise reference in btf_get_module_btf (Jerome Marchand) [2120966] - bpf: Factor out fd returning from bpf_btf_find_by_name_kind (Jerome Marchand) [2120966] - bpftool: Add BPF_TRACE_KPROBE_MULTI to attach type names table (Jerome Marchand) [2120966] - selftests/bpf: Fix test for 4-byte load from dst_port on big-endian (Jerome Marchand) [2120966] - selftests/bpf: Use constants for socket states in sock_fields test (Jerome Marchand) [2120966] - selftests/bpf: Check dst_port only on the client socket (Jerome Marchand) [2120966] - selftests/bpf: Fix error reporting from sock_fields programs (Jerome Marchand) [2120966] - selftests/bpf: Test subskeleton functionality (Jerome Marchand) [2120966] - bpftool: Add support for subskeletons (Jerome Marchand) [2120966] - libbpf: Add subskeleton scaffolding (Jerome Marchand) [2120966] - libbpf: Init btf_{key,value}_type_id on internal map open (Jerome Marchand) [2120966] - libbpf: .text routines are subprograms in strict mode (Jerome Marchand) [2120966] - selftests/bpf: Add cookie test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966] - selftests/bpf: Add attach test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966] - selftests/bpf: Add kprobe_multi bpf_cookie test (Jerome Marchand) [2120966] - selftests/bpf: Add kprobe_multi attach test (Jerome Marchand) [2120966] - libbpf: Add bpf_program__attach_kprobe_multi_opts function (Jerome Marchand) [2120966] - libbpf: Add bpf_link_create support for multi kprobes (Jerome Marchand) [2120966] - libbpf: Add libbpf_kallsyms_parse function (Jerome Marchand) [2120966] - bpf: Add cookie support to programs attached with kprobe multi link (Jerome Marchand) [2120966] - bpf: Add support to inline bpf_get_func_ip helper on x86 (Jerome Marchand) [2120966] - bpf: Add bpf_get_func_ip kprobe helper for multi kprobe link (Jerome Marchand) [2120966] - bpf: Add multi kprobe link (Jerome Marchand) [2120966] - kallsyms: Skip the name search for empty string (Jerome Marchand) [2120966] - lib/sort: Add priv pointer to swap function (Jerome Marchand) [2120966] - Enable CONFIG_FPROBE (Jerome Marchand) [2120966] - fprobe: Add a selftest for fprobe (Jerome Marchand) [2120966] - docs: fprobe: Add fprobe description to ftrace-use.rst (Jerome Marchand) [2120966] - fprobe: Introduce FPROBE_FL_KPROBE_SHARED flag for fprobe (Jerome Marchand) [2120966] - fprobe: Add sample program for fprobe (Jerome Marchand) [2120966] - fprobe: Add exit_handler support (Jerome Marchand) [2120966] - ARM: rethook: Add rethook arm implementation (Jerome Marchand) [2120966] - powerpc: Add rethook support (Jerome Marchand) [2120966] - arm64: rethook: Add arm64 rethook implementation (Jerome Marchand) [2120966] - rethook: x86: Add rethook x86 implementation (Jerome Marchand) [2120966] - rethook: Add a generic return hook (Jerome Marchand) [2120966] - fprobe: Add ftrace based probe APIs (Jerome Marchand) [2120966] - ftrace: Add ftrace_set_filter_ips function (Jerome Marchand) [2120966] - selftests/bpf: Test subprog jit when toggle bpf_jit_harden repeatedly (Jerome Marchand) [2120966] - bpf: Fix net.core.bpf_jit_harden race (Jerome Marchand) [2120966] - bpf, x86: Fall back to interpreter mode when extra pass fails (Jerome Marchand) [2120966] - bpf: selftests: Remove libcap usage from test_progs (Jerome Marchand) [2120966] - bpf: selftests: Remove libcap usage from test_verifier (Jerome Marchand) [2120966] - bpf: selftests: Add helpers to directly use the capget and capset syscall (Jerome Marchand) [2120966] - bpftool: man: Add missing top level docs (Jerome Marchand) [2120966] - bpftool: Add bpf_cookie to link output (Jerome Marchand) [2120966] - selftests/bpf: Clean up array_size.cocci warnings (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang compilation error for send_signal.c (Jerome Marchand) [2120966] - selftests/bpf: Add a test for maximum packet size in xdp_do_redirect (Jerome Marchand) [2120966] - bpf, test_run: Fix packet size check for live packet mode (Jerome Marchand) [2120966] - compiler_types: Refactor the use of btf_type_tag attribute. (Jerome Marchand) [2120966] - selftests/bpf: Check that bpf_kernel_read_file() denies reading IMA policy (Jerome Marchand) [2120966] - selftests/bpf: Add test for bpf_lsm_kernel_read_file() (Jerome Marchand) [2120966] - bpf-lsm: Make bpf_lsm_kernel_read_file() as sleepable (Jerome Marchand) [2120966] - selftests/bpf: Check if the digest is refreshed after a file write (Jerome Marchand) [2120966] - selftests/bpf: Add test for bpf_ima_file_hash() (Jerome Marchand) [2120966] - selftests/bpf: Move sample generation code to ima_test_common() (Jerome Marchand) [2120966] - bpf-lsm: Introduce new helper bpf_ima_file_hash() (Jerome Marchand) [2120966] - ima: Always return a file measurement in ima_file_hash() (Jerome Marchand) [2120966] - ima: Fix documentation-related warnings in ima_main.c (Jerome Marchand) [2120966] - bpftool: Ensure bytes_memlock json output is correct (Jerome Marchand) [2120966] - bpf: Use offsetofend() to simplify macro definition (Jerome Marchand) [2120966] - bpf: Fix comment for helper bpf_current_task_under_cgroup() (Jerome Marchand) [2120966] - bpf, lwt: Fix crash when using bpf_skb_set_tunnel_key() from bpf_xmit lwt hook (Jiri Benc) [2120966] - selftests/bpf: Fix tunnel remote IP comments (Jiri Benc) [2120966] - veth: Allow jumbo frames in xdp mode (Jiri Benc) [2120966] - veth: Rework veth_xdp_rcv_skb in order to accept non-linear skb (Jiri Benc) [2120966] - net: veth: Account total xdp_frame len running ndo_xdp_xmit (Jiri Benc) [2120966] - samples/bpf, xdpsock: Fix race when running for fix duration of time (Jiri Benc) [2120966] - bpf, sockmap: Fix double uncharge the mem of sk_msg (Jiri Benc) [2120966] - bpf, sockmap: Fix more uncharged while msg has more_data (Jiri Benc) [2120966] - bpf, sockmap: Fix memleak in tcp_bpf_sendmsg while sk msg is full (Jiri Benc) [2120966] - bpf, sockmap: Fix memleak in sk_psock_queue_msg (Jiri Benc) [2120966] - bpf: selftests: Update tests after s/delivery_time/tstamp/ change in bpf.h (Jiri Benc) [2120966] - bpf: Remove BPF_SKB_DELIVERY_TIME_NONE and rename s/delivery_time_/tstamp_/ (Jiri Benc) [2120966] - bpf: Simplify insn rewrite on BPF_WRITE __sk_buff->tstamp (Jiri Benc) [2120966] - bpf: Simplify insn rewrite on BPF_READ __sk_buff->tstamp (Jiri Benc) [2120966] - bpf: net: Remove TC_AT_INGRESS_OFFSET and SKB_MONO_DELIVERY_TIME_OFFSET macro (Jiri Benc) [2120966] - bpf: Add __sk_buff->delivery_time_type and bpf_skb_set_skb_delivery_time() (Jiri Benc) [2120966] - bpf: Keep the (rcv) timestamp behavior for the existing tc-bpf@ingress (Jiri Benc) [2120966] - net: Postpone skb_clear_delivery_time() until knowing the skb is delivered locally (Jiri Benc) [2120966] - net: Get rcv tstamp if needed in nfnetlink_{log, queue}.c (Jiri Benc) [2120966] - net: ipv6: Get rcv timestamp if needed when handling hop-by-hop IOAM option (Jiri Benc) [2120966] - net: ipv6: Handle delivery_time in ipv6 defrag (Jiri Benc) [2120966] - net: ip: Handle delivery_time in ip defrag (Jiri Benc) [2120966] - net: Set skb->mono_delivery_time and clear it after sch_handle_ingress() (Jiri Benc) [2120966] - net: Clear mono_delivery_time bit in __skb_tstamp_tx() (Jiri Benc) [2120966] - net: Handle delivery_time in skb->tstamp during network tapping with af_packet (Jiri Benc) [2120966] - net: Add skb_clear_tstamp() to keep the mono delivery_time (Jiri Benc) [2120966] - net: Add skb->mono_delivery_time to distinguish mono delivery_time from (rcv) timestamp (Jiri Benc) [2120966] - net: remove net_invalid_timestamp() (Jiri Benc) [2120966] - Documentation: update networking/page_pool.rst (Jiri Benc) [2120966] - page_pool: Add function to batch and return stats (Jiri Benc) [2120966] - page_pool: Add recycle stats (Jiri Benc) [2120966] - configs: disable CONFIG_PAGE_POOL_STATS (Jiri Benc) [2120966] - page_pool: Add allocation stats (Jiri Benc) [2120966] - selftest/bpf: Check invalid length in test_xdp_update_frags (Jiri Benc) [2120966] - skmsg: convert struct sk_msg_sg::copy to a bitmap (Jiri Benc) [2120966] - bpf, test_run: Use kvfree() for memory allocated with kvmalloc() (Jerome Marchand) [2120966] - bpf: Initialise retval in bpf_prog_test_run_xdp() (Jerome Marchand) [2120966] - bpftool: Restore support for BPF offload-enabled feature probing (Jerome Marchand) [2120966] - selftests/bpf: Add selftest for XDP_REDIRECT in BPF_PROG_RUN (Jiri Benc) [2120966] - selftests/bpf: Move open_netns() and close_netns() into network_helpers.c (Jiri Benc) [2120966] - libbpf: Support batch_size option to bpf_prog_test_run (Jerome Marchand) [2120966] - Documentation/bpf: Add documentation for BPF_PROG_RUN (Jerome Marchand) [2120966] - bpf: Add "live packet" mode for XDP in BPF_PROG_RUN (Jerome Marchand) [2120966] - bpf: selftests: test skb->tstamp in redirect_neigh (Jiri Benc) [2120966] - Improve stability of find_vma BPF test (Jerome Marchand) [2120966] - Improve send_signal BPF test stability (Jerome Marchand) [2120966] - Improve perf related BPF tests (sample_freq issue) (Jerome Marchand) [2120966] - bpf/docs: Update list of architectures supported. (Jerome Marchand) [2120966] - bpf/docs: Update vmtest docs for static linking (Jerome Marchand) [2120966] - bpf: Remove redundant slash (Jerome Marchand) [2120966] - libbpf: Fix array_size.cocci warning (Jerome Marchand) [2120966] - bpf: Replace strncpy() with strscpy() (Jerome Marchand) [2120966] - libbpf: Unmap rings when umem deleted (Jerome Marchand) [2120966] - bpf: Determine buf_info inside check_buffer_access() (Jerome Marchand) [2120966] - powerpc/bpf: Simplify bpf_to_ppc() and adopt it for powerpc64 (Jerome Marchand) [2120966] - powerpc64/bpf: Store temp registers' bpf to ppc mapping (Jerome Marchand) [2120966] - powerpc/bpf: Use _Rn macros for GPRs (Jerome Marchand) [2120966] - powerpc/bpf: Move bpf_jit64.h into bpf_jit_comp64.c (Jerome Marchand) [2120966] - powerpc/bpf: Cleanup bpf_jit.h (Jerome Marchand) [2120966] - powerpc64/bpf: Get rid of PPC_BPF_[LL|STL|STLU] macros (Jerome Marchand) [2120966] - powerpc64/bpf: Convert some of the uses of PPC_BPF_[LL|STL] to PPC_BPF_[LD|STD] (Jerome Marchand) [2120966] - powerpc/bpf: Rename PPC_BL_ABS() to PPC_BL() (Jerome Marchand) [2120966] - powerpc64/bpf: Optimize instruction sequence used for function calls (Jerome Marchand) [2120966] - powerpc64/bpf elfv1: Do not load TOC before calling functions (Jerome Marchand) [2120966] - powerpc64/bpf elfv2: Setup kernel TOC in r2 on entry (Jerome Marchand) [2120966] - powerpc64/bpf: Use r12 for constant blinding (Jerome Marchand) [2120966] - powerpc64/bpf: Do not save/restore LR on each call to bpf_stf_barrier() (Jerome Marchand) [2120966] - powerpc/bpf: Handle large branch ranges with BPF_EXIT (Jerome Marchand) [2120966] - powerpc/bpf: Emit a single branch instruction for known short branch ranges (Jerome Marchand) [2120966] - powerpc/bpf: Skip branch range validation during first pass (Jerome Marchand) [2120966] - bpf ppc32: Access only if addr is kernel address (Jerome Marchand) [2120966] - powerpc32/bpf: Fix codegen for bpf-to-bpf calls (Jerome Marchand) [2120966] - bpf ppc32: Add BPF_PROBE_MEM support for JIT (Jerome Marchand) [2120966] - selftests/bpf: Add a test for btf_type_tag "percpu" (Jerome Marchand) [2120966] - bpf: Reject programs that try to load __percpu memory. (Jerome Marchand) [2120966] - compiler_types: Define __percpu as __attribute__((btf_type_tag("percpu"))) (Jerome Marchand) [2120966] - bpf: Fix checking PTR_TO_BTF_ID in check_mem_access (Jerome Marchand) [2120966] - selftests/bpf: Add tests for kfunc register offset checks (Jerome Marchand) [2120966] - bpf: Replace __diag_ignore with unified __diag_ignore_all (Jerome Marchand) [2120966] - compiler_types.h: Add unified __diag_ignore_all for GCC/LLVM (Jerome Marchand) [2120966] - compiler-clang.h: Add __diag infrastructure for clang (Jerome Marchand) [2120966] - bpf: Harden register offset checks for release helpers and kfuncs (Jerome Marchand) [2120966] - bpf: Disallow negative offset in check_ptr_off_reg (Jerome Marchand) [2120966] - bpf: Fix PTR_TO_BTF_ID var_off check (Jerome Marchand) [2120966] - bpf: Add check_func_arg_reg_off function (Jerome Marchand) [2120966] - selftests/bpf: Add custom SEC() handling selftest (Jerome Marchand) [2120966] - libbpf: Support custom SEC() handlers (Jerome Marchand) [2120966] - libbpf: Allow BPF program auto-attach handlers to bail out (Jerome Marchand) [2120966] - bpf: Small BPF verifier log improvements (Jerome Marchand) [2120966] - libbpf: Add a check to ensure that page_cnt is non-zero (Jerome Marchand) [2120966] - bpf, test_run: Fix overflow in XDP frags bpf_test_finish (Jerome Marchand) [2120966] - bpf, selftests: Use raw_tp program for atomic test (Jerome Marchand) [2120966] - selftests/bpf: Do not export subtest as standalone test (Jerome Marchand) [2120966] - bpf: test_run: Fix overflow in bpf_test_finish frags parsing (Jerome Marchand) [2120966] - bpf: test_run: Fix overflow in xdp frags parsing (Jerome Marchand) [2120966] - bpf: test_run: Fix OOB access in bpf_prog_test_run_xdp (Jerome Marchand) [2120966] - libbpf: Deprecate bpf_prog_test_run_xattr and bpf_prog_test_run (Jerome Marchand) [2120966] - bpftool: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966] - selftests/bpf: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966] - selftests/bpf: Migrate from bpf_prog_test_run (Jerome Marchand) [2120966] - samples/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966] - selftests/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966] - libbpf: Deprecate xdp_cpumap, xdp_devmap and classifier sec definitions (Jerome Marchand) [2120966] - page_pool: Refactor page_pool to enable fragmenting after allocation (Jiri Benc) [2120966] - socket: Don't use u8 type in uapi socket.h (Jiri Benc) [2120966] - tcp: Change SYN ACK retransmit behaviour to account for rehash (Jiri Benc) [2120966] - bpf: Add SO_TXREHASH setsockopt (Jiri Benc) [2120966] - txhash: Add txrehash sysctl description (Jiri Benc) [2120966] - txhash: Add socket option to control TX hash rethink behavior (Jiri Benc) [2120966] - txhash: Make rethinking txhash behavior configurable via sysctl (Jiri Benc) [2120966] - selftests/bpf/test_xdp_redirect: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_meta: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_tcp_check_syncookie: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_lwt_seg6local: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_vlan: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_veth: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_redirect_multi: use temp netns for testing (Jiri Benc) [2120966] - selftest/bpf: Fix a stale comment. (Jiri Benc) [2120966] - selftest/bpf: Test batching and bpf_(get|set)sockopt in bpf unix iter. (Jiri Benc) [2120966] - bpf: Support bpf_(get|set)sockopt() in bpf unix iter. (Jiri Benc) [2120966] - bpf: af_unix: Use batching algorithm in bpf unix iter. (Jiri Benc) [2120966] - af_unix: Refactor unix_next_socket(). (Jiri Benc) [2120966] - af_unix: Relax race in unix_autobind(). (Jiri Benc) [2120966] - af_unix: Replace the big lock with small locks. (Jiri Benc) [2120966] - af_unix: Save hash in sk_hash. (Jiri Benc) [2120966] - af_unix: Add helpers to calculate hashes. (Jiri Benc) [2120966] - af_unix: Remove UNIX_ABSTRACT() macro and test sun_path[0] instead. (Jiri Benc) [2120966] - af_unix: Allocate unix_address in unix_bind_(bsd|abstract)(). (Jiri Benc) [2120966] - af_unix: Remove unix_mkname(). (Jiri Benc) [2120966] - af_unix: Copy unix_mkname() into unix_find_(bsd|abstract)(). (Jiri Benc) [2120966] - af_unix: Cut unix_validate_addr() out of unix_mkname(). (Jiri Benc) [2120966] - af_unix: Return an error as a pointer in unix_find_other(). (Jiri Benc) [2120966] - af_unix: Factorise unix_find_other() based on address types. (Jiri Benc) [2120966] - af_unix: Pass struct sock to unix_autobind(). (Jiri Benc) [2120966] - af_unix: Use offsetof() instead of sizeof(). (Jiri Benc) [2120966] - selftests/bpf: Do not fail build if CONFIG_NF_CONNTRACK=m/n (Jerome Marchand) [2120966] - bpf, x86: Set header->size properly before freeing it (Jerome Marchand) [2120966] - x86: Disable HAVE_ARCH_HUGE_VMALLOC on 32-bit x86 (Jerome Marchand) [2120966] - selftests/bpf: Update btf_dump case for conflicting names (Jerome Marchand) [2120966] - libbpf: Skip forward declaration when counting duplicated type names (Jerome Marchand) [2120966] - bpf: Add some description about BPF_JIT_ALWAYS_ON in Kconfig (Jerome Marchand) [2120966] - bpf: Cache the last valid build_id (Jerome Marchand) [2120966] - libbpf: Fix BPF_MAP_TYPE_PERF_EVENT_ARRAY auto-pinning (Jerome Marchand) [2120966] - bpf, arm64: Support more atomic operations (Jerome Marchand) [2120966] - bpftool: Remove redundant slashes (Jerome Marchand) [2120966] - Disable CONFIG_MODULE_ALLOW_BTF_MISMATCH (Jerome Marchand) [2120966] - bpf: Add config to allow loading modules with BTF mismatches (Jerome Marchand) [2120966] - bpf: Remove Lorenz Bauer from L7 BPF maintainers (Jerome Marchand) [2120966] - bpf, arm64: Feed byte-offset into bpf line info (Jerome Marchand) [2120966] - bpf, arm64: Call build_prologue() first in first JIT pass (Jerome Marchand) [2120966] - bpf: Fix issue with bpf preload module taking over stdout/stdin of kernel. (Jerome Marchand) [2120966] - bpftool: Bpf skeletons assert type sizes (Jerome Marchand) [2120966] - bpf: Cleanup comments (Jerome Marchand) [2120966] - libbpf: Simplify the find_elf_sec_sz() function (Jerome Marchand) [2120966] - bpftool: Remove usage of reallocarray() (Jerome Marchand) [2120966] - scripts/pahole-flags.sh: Parse DWARF and generate BTF with multithreading. (Jerome Marchand) [2120966] - libbpf: Remove redundant check in btf_fixup_datasec() (Jerome Marchand) [2120966] - selftests/bpf: Add test for reg2btf_ids out of bounds access (Jerome Marchand) [2120966] - selftests/bpf: Fix btfgen tests (Jerome Marchand) [2120966] - bpf: Initialize ret to 0 inside btf_populate_kfunc_set() (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang deprecated-declarations compilation error (Jerome Marchand) [2120966] - bpf: Call maybe_wait_bpf_programs() only once from generic_map_delete_batch() (Jerome Marchand) [2120966] - bpf: bpf_prog_pack: Set proper size before freeing ro_header (Jerome Marchand) [2120966] - selftests/bpf: Fix crash in core_reloc when bpftool btfgen fails (Jerome Marchand) [2120966] - selftests/bpf: Fix vmtest.sh to launch smp vm. (Jerome Marchand) [2120966] - bpftool: Fix C++ additions to skeleton (Jerome Marchand) [2120966] - selftests/bpf: Test "bpftool gen min_core_btf" (Jerome Marchand) [2120966] - bpftool: Gen min_core_btf explanation and examples (Jerome Marchand) [2120966] - bpftool: Implement btfgen_get_btf() (Jerome Marchand) [2120966] - bpftool: Implement "gen min_core_btf" logic (Jerome Marchand) [2120966] - bpftool: Add gen min_core_btf command (Jerome Marchand) [2120966] - libbpf: Expose bpf_core_{add,free}_cands() to bpftool (Jerome Marchand) [2120966] - libbpf: Split bpf_core_apply_relo() (Jerome Marchand) [2120966] - bpf: Reject kfunc calls that overflow insn->imm (Jerome Marchand) [2120966] - selftests/bpf: Add Skeleton templated wrapper as an example (Jerome Marchand) [2120966] - bpftool: Add C++-specific open/load/etc skeleton wrappers (Jerome Marchand) [2120966] - selftests/bpf: Fix GCC11 compiler warnings in -O2 mode (Jerome Marchand) [2120966] - powerpc/bpf: Always reallocate BPF_REG_5, BPF_REG_AX and TMP_REG when possible (Jerome Marchand) [2120966] - bpftool: Update versioning scheme, align on libbpf's version number (Jerome Marchand) [2120966] - bpftool: Add libbpf's version number to "bpftool version" output (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack build for ppc64_defconfig (Jerome Marchand) [2120966] - bpf: Convert bpf_preload.ko to use light skeleton. (Jerome Marchand) [2120966] - bpf: Update iterators.lskel.h. (Jerome Marchand) [2120966] - bpftool: Generalize light skeleton generation. (Jerome Marchand) [2120966] - libbpf: Prepare light skeleton for the kernel. (Jerome Marchand) [2120966] - bpf: Extend sys_bpf commands for bpf_syscall programs. (Jerome Marchand) [2120966] - selftests/bpf: Cover 4-byte load from remote_port in bpf_sk_lookup (Jerome Marchand) [2120966] - bpf: Make remote_port field in struct bpf_sk_lookup 16-bit wide (Jerome Marchand) [2120966] - selftests/bpf: Test BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966] - libbpf: Add BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966] - libbpf: Fix accessing the first syscall argument on s390 (Jerome Marchand) [2120966] - libbpf: Fix accessing the first syscall argument on arm64 (Jerome Marchand) [2120966] - libbpf: Allow overriding PT_REGS_PARM1{_CORE}_SYSCALL (Jerome Marchand) [2120966] - selftests/bpf: Skip test_bpf_syscall_macro's syscall_arg1 on arm64 and s390 (Jerome Marchand) [2120966] - libbpf: Fix accessing syscall arguments on powerpc (Jerome Marchand) [2120966] - selftests/bpf: Use PT_REGS_SYSCALL_REGS in bpf_syscall_macro (Jerome Marchand) [2120966] - libbpf: Add PT_REGS_SYSCALL_REGS macro (Jerome Marchand) [2120966] - selftests/bpf: Fix an endianness issue in bpf_syscall_macro test (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack build HPAGE_PMD_SIZE (Jerome Marchand) [2120966] - bpf: Fix leftover header->pages in sparc and powerpc code. (Jerome Marchand) [2120966] - bpf, x86_64: Fail gracefully on bpf_jit_binary_pack_finalize failures (Jerome Marchand) [2120966] - bpf, x86_64: Use bpf_jit_binary_pack_alloc (Jerome Marchand) [2120966] - bpf: Introduce bpf_jit_binary_pack_[alloc|finalize|free] (Jerome Marchand) [2120966] - bpf: Introduce bpf_prog_pack allocator (Jerome Marchand) [2120966] - bpf: Introduce bpf_arch_text_copy (Jerome Marchand) [2120966] - x86/alternative: Introduce text_poke_copy (Jerome Marchand) [2120966] - bpf: Use prog->jited_len in bpf_prog_ksym_set_addr() (Jerome Marchand) [2120966] - bpf: Use size instead of pages in bpf_binary_header (Jerome Marchand) [2120966] - bpf: Use bytes instead of pages for bpf_jit_[charge|uncharge]_modmem (Jerome Marchand) [2120966] - x86/Kconfig: Select HAVE_ARCH_HUGE_VMALLOC with HAVE_ARCH_HUGE_VMAP (Jerome Marchand) [2120966] - selftests/bpf: Fix strict mode calculation (Jerome Marchand) [2120966] - bpftool: Fix strict mode calculation (Jerome Marchand) [2120966] - libbpf: Remove mode check in libbpf_set_strict_mode() (Jerome Marchand) [2120966] - selftests/bpf: Fix tests to use arch-dependent syscall entry points (Jerome Marchand) [2120966] - libbpf: Fix build issue with llvm-readelf (Jerome Marchand) [2120966] - selftests/bpf: Test bpf_core_types_are_compat() functionality. (Jerome Marchand) [2120966] - bpf: Implement bpf_core_types_are_compat(). (Jerome Marchand) [2120966] - bpf, arm64: Enable kfunc call (Jerome Marchand) [2120966] - selftests/bpf: Add a selftest for invalid func btf with btf decl_tag (Jerome Marchand) [2120966] - libbpf: Deprecate priv/set_priv storage (Jerome Marchand) [2120966] - bpf, docs: Better document the atomic instructions (Jerome Marchand) [2120966] - bpf, docs: Better document the extended instruction format (Jerome Marchand) [2120966] - bpf, docs: Better document the legacy packet access instruction (Jerome Marchand) [2120966] - bpf, docs: Better document the regular load and store instructions (Jerome Marchand) [2120966] - bpf, docs: Document the byte swapping instructions (Jerome Marchand) [2120966] - libbpf: Deprecate forgotten btf__get_map_kv_tids() (Jerome Marchand) [2120966] - samples/bpf: Get rid of bpf_prog_load_xattr() use (Jerome Marchand) [2120966] - selftests/bpf: Redo the switch to new libbpf XDP APIs (Jerome Marchand) [2120966] - selftests/bpf: Remove usage of deprecated feature probing APIs (Jerome Marchand) [2120966] - bpftool: Fix uninit variable compilation warning (Jerome Marchand) [2120966] - bpftool: Stop supporting BPF offload-enabled feature probing (Jerome Marchand) [2120966] - libbpf: Stop using deprecated bpf_map__is_offload_neutral() (Jerome Marchand) [2120966] - Disable CONFIG_DEBUG_INFO_DWARF5 (Jerome Marchand) [2120966] - lib/Kconfig.debug: Allow BTF + DWARF5 with pahole 1.21+ (Jerome Marchand) [2120966] - lib/Kconfig.debug: Use CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966] - scripts/pahole-flags.sh: Use pahole-version.sh (Jerome Marchand) [2120966] - kbuild: Add CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966] - MAINTAINERS: Add scripts/pahole-flags.sh to BPF section (Jerome Marchand) [2120966] - bpf: Drop libbpf, libelf, libz dependency from bpf preload. (Jerome Marchand) [2120966] - bpf: Open code obj_get_info_by_fd in bpf preload. (Jerome Marchand) [2120966] - bpf: Convert bpf preload to light skeleton. (Jerome Marchand) [2120966] - bpf: Remove unnecessary setrlimit from bpf preload. (Jerome Marchand) [2120966] - libbpf: Open code raw_tp_open and link_create commands. (Jerome Marchand) [2120966] - libbpf: Open code low level bpf commands. (Jerome Marchand) [2120966] - libbpf: Add support for bpf iter in light skeleton. (Jerome Marchand) [2120966] - libbpf: Deprecate btf_ext rec_size APIs (Jerome Marchand) [2120966] - bpf: make bpf_copy_from_user_task() gpl only (Jerome Marchand) [2120966] - selftests/bpf: Extend verifier and bpf_sock tests for dst_port loads (Jerome Marchand) [2120966] - bpf: Make dst_port field in struct bpf_sock 16-bit wide (Jerome Marchand) [2120966] - docs/bpf: clarify how btf_type_tag gets encoded in the type chain (Jerome Marchand) [2120966] - selftests/bpf: specify pahole version requirement for btf_tag test (Jerome Marchand) [2120966] - selftests/bpf: add a selftest with __user tag (Jerome Marchand) [2120966] - selftests/bpf: rename btf_decl_tag.c to test_btf_decl_tag.c (Jerome Marchand) [2120966] - bpf: reject program if a __user tagged memory accessed in kernel way (Jerome Marchand) [2120966] - compiler_types: define __user as __attribute__((btf_type_tag("user"))) (Jerome Marchand) [2120966] - cgroup/bpf: fast path skb BPF filtering (Jerome Marchand) [2120966] - selftests/bpf: fix a clang compilation error (Jerome Marchand) [2120966] - bpf, x86: Remove unnecessary handling of BPF_SUB atomic op (Jerome Marchand) [2120966] - bpf: remove unused static inlines (Jerome Marchand) [2120966] - selftests/bpf: fix uprobe offset calculation in selftests (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang compilation error (Jerome Marchand) [2120966] - bpf: fix register_btf_kfunc_id_set for !CONFIG_DEBUG_INFO_BTF (Jerome Marchand) [2120966] - selftests: bpf: Less strict size check in sockopt_sk (Jerome Marchand) [2120966] - samples/bpf: use preferred getters/setters instead of deprecated ones (Jerome Marchand) [2120966] - selftests/bpf: use preferred setter/getter APIs instead of deprecated ones (Jerome Marchand) [2120966] - bpftool: use preferred setters/getters instead of deprecated ones (Jerome Marchand) [2120966] - libbpf: deprecate bpf_program__is_<type>() and bpf_program__set_<type>() APIs (Jerome Marchand) [2120966] - libbpf: deprecate bpf_map__resize() (Jerome Marchand) [2120966] - libbpf: hide and discourage inconsistently named getters (Jerome Marchand) [2120966] - selftests/bpf: Add a test to confirm PT_REGS_PARM4_SYSCALL (Jerome Marchand) [2120966] - libbpf: Fix the incorrect register read for syscalls on x86_64 (Jerome Marchand) [2120966] - libbpf: Mark bpf_object__open_xattr() deprecated (Jerome Marchand) [2120966] - libbpf: Mark bpf_object__open_buffer() API deprecated (Jerome Marchand) [2120966] - selftests/bpf: Add test for sleepable bpf iterator programs (Jerome Marchand) [2120966] - libbpf: Add "iter.s" section for sleepable bpf iterator programs (Jerome Marchand) [2120966] - bpf: Add bpf_copy_from_user_task() helper (Jerome Marchand) [2120966] - bpf: Add support for bpf iterator programs to use sleepable helpers (Jerome Marchand) [2120966] - xdp: disable XDP_REDIRECT for xdp frags (Jiri Benc) [2120966] - bpf: selftests: add CPUMAP/DEVMAP selftests for xdp frags (Jiri Benc) [2120966] - bpf: selftests: introduce bpf_xdp_{load,store}_bytes selftest (Jiri Benc) [2120966] - net: xdp: introduce bpf_xdp_pointer utility routine (Jiri Benc) [2120966] - bpf: generalise tail call map compatibility check (Jiri Benc) [2120966] - libbpf: Add SEC name for xdp frags programs (Jiri Benc) [2120966] - bpf: selftests: update xdp_adjust_tail selftest to include xdp frags (Jiri Benc) [2120966] - bpf: test_run: add xdp_shared_info pointer in bpf_test_finish signature (Jiri Benc) [2120966] - bpf: introduce frags support to bpf_prog_test_run_xdp() (Jiri Benc) [2120966] - bpf: move user_size out of bpf_test_init (Jiri Benc) [2120966] - bpf: add frags support to xdp copy helpers (Jiri Benc) [2120966] - bpf: add frags support to the bpf_xdp_adjust_tail() API (Jiri Benc) [2120966] - bpf: introduce bpf_xdp_get_buff_len helper (Jiri Benc) [2120966] - xdp: add frags support to xdp_return_{buff/frame} (Jiri Benc) [2120966] - net: xdp: add xdp_update_skb_shared_info utility routine (Jiri Benc) [2120966] - xdp: introduce flags field in xdp_buff/xdp_frame (Jiri Benc) [2120966] - net: skbuff: add size metadata to skb_shared_info for xdp (Jiri Benc) [2120966] - bpf: selftests: Get rid of CHECK macro in xdp_bpf2bpf.c (Jiri Benc) [2120966] - bpf: selftests: Get rid of CHECK macro in xdp_adjust_tail.c (Jiri Benc) [2120966] - bpf: Fix flexible_array.cocci warnings (Jerome Marchand) [2120966] - bpf: introduce BPF_F_XDP_HAS_FRAGS flag in prog_flags loading the ebpf program (Jerome Marchand) [2120966] - selftests: bpf: test BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966] - bpf: support BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966] - samples/bpf: adapt samples/bpf to bpf_xdp_xxx() APIs (Jerome Marchand) [2120966] - bpftool: use new API for attaching XDP program (Jerome Marchand) [2120966] - libbpf: streamline low-level XDP APIs (Jerome Marchand) [2120966] - docs/bpf: update BPF map definition example (Jerome Marchand) [2120966] - libbpf: deprecate legacy BPF map definitions (Jerome Marchand) [2120966] - selftests/bpf: convert remaining legacy map definitions (Jerome Marchand) [2120966] - selftests/bpf: fail build on compilation warning (Jerome Marchand) [2120966] - selftests/bpf: Update sockopt_sk test to the use bpf_set_retval (Jerome Marchand) [2120966] - selftests/bpf: Test bpf_{get,set}_retval behavior with cgroup/sockopt (Jerome Marchand) [2120966] - bpf: Add cgroup helpers bpf_{get,set}_retval to get/set syscall return value (Jerome Marchand) [2120966] - bpf: Move getsockopt retval to struct bpf_cg_run_ctx (Jerome Marchand) [2120966] - bpf: Make BPF_PROG_RUN_ARRAY return -err instead of allow boolean (Jerome Marchand) [2120966] - libbpf: Improve btf__add_btf() with an additional hashmap for strings. (Jerome Marchand) [2120966] - bpf/scripts: Raise an exception if the correct number of sycalls are not generated (Jerome Marchand) [2120966] - bpf/scripts: Make description and returns section for helpers/syscalls mandatory (Jerome Marchand) [2120966] - uapi/bpf: Add missing description and returns for helper documentation (Jerome Marchand) [2120966] - bpftool: Adding support for BTF program names (Jerome Marchand) [2120966] - selftests/bpf: Add test for race in btf_try_get_module (Jerome Marchand) [2120966] - selftests/bpf: Extend kfunc selftests (Jerome Marchand) [2120966] - selftests/bpf: Add test_verifier support to fixup kfunc call insns (Jerome Marchand) [2120966] - selftests/bpf: Add test for unstable CT lookup API (Jerome Marchand) [2120966] - net/netfilter: Add unstable CT lookup helpers for XDP and TC-BPF (Jiri Benc) [2120966] - bpf: Add reference tracking support to kfunc (Jerome Marchand) [2120966] - bpf: Introduce mem, size argument pair support for kfunc (Jerome Marchand) [2120966] - bpf: Remove check_kfunc_call callback and old kfunc BTF ID API (Jerome Marchand) [2120966] - bpf: Populate kfunc BTF ID sets in struct btf (Jerome Marchand) [2120966] - bpf: Fix UAF due to race between btf_try_get_module and load_module (Jerome Marchand) [2120966] - test: selftests: Remove unused various in sockmap_verdict_prog.c (Jerome Marchand) [2120966] - tools/resolve_btfids: Build with host flags (Jerome Marchand) [2120966] - bpf/scripts: Raise an exception if the correct number of helpers are not generated (Jerome Marchand) [2120966] - libbpf: Deprecate bpf_map__def() API (Jerome Marchand) [2120966] - selftests/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - bpftool: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - samples/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - libbpf: Fix possible NULL pointer dereference when destroying skeleton (Jerome Marchand) [2120966] - bpftool: Only set obj->skeleton on complete success (Jerome Marchand) [2120966] - selftests/bpf: Change bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966] - libbpf: Rename bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966] - libbpf: Use IS_ERR_OR_NULL() in hashmap__free() (Jerome Marchand) [2120966] Resolves: rhbz#2133755, rhbz#2120966 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-21 13:48:51 +00:00
# CONFIG_DEBUG_INFO_DWARF5 is not set
kernel-5.14.0-39.el9 * Fri Dec 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-39.el9] - cpuidle: pseries: Do not cap the CEDE0 latency in fixup_cede0_latency() (Gustavo Walbon) [2029870] - cpuidle: pseries: Fixup CEDE0 latency only for POWER10 onwards (Gustavo Walbon) [2029870] - powerpc/mce: Fix access error in mce handler (Gustavo Walbon) [2027829] - powerpc/pseries/mobility: ignore ibm, platform-facilities updates (Gustavo Walbon) [2023438] - KVM: SVM: Do not terminate SEV-ES guests on GHCB validation failure (Vitaly Kuznetsov) [1961151] - KVM: SEV: Fall back to vmalloc for SEV-ES scratch area if necessary (Vitaly Kuznetsov) [1961151] - KVM: SEV: Return appropriate error codes if SEV-ES scratch setup fails (Vitaly Kuznetsov) [1961151] - KVM: SEV: Refactor out sev_es_state struct (Vitaly Kuznetsov) [1961151] - redhat/configs: enable DWARF5 feature if toolchain supports it (Lianbo Jiang) [2009205] - init: make unknown command line param message clearer (Andrew Halaney) [2004361] - Bluetooth: btusb: Add one more Bluetooth part for WCN6855 (Gopal Tiwari) [2020943] - Bluetooth: btusb: Add the new support IDs for WCN6855 (Gopal Tiwari) [2020943] - Bluetooth: btusb: re-definition for board_id in struct qca_version (Gopal Tiwari) [2020943] - Bluetooth: btusb: Add support using different nvm for variant WCN6855 controller (Gopal Tiwari) [2020943] - cgroup: Make rebind_subsystems() disable v2 controllers all at once (Waiman Long) [1986734] - bnxt_en: Event handler for PPS events (Ken Cox) [1990151] - bnxt_en: 1PPS functions to configure TSIO pins (Ken Cox) [1990151] - bnxt_en: 1PPS support for 5750X family chips (Ken Cox) [1990151] - bnxt_en: Do not read the PTP PHC during chip reset (Ken Cox) [1990151] - bnxt_en: Move bnxt_ptp_init() from bnxt_open() back to bnxt_init_one() (Ken Cox) [1990151] Resolves: rhbz#1961151, rhbz#1986734, rhbz#1990151, rhbz#2004361, rhbz#2009205, rhbz#2020943, rhbz#2023438, rhbz#2027829, rhbz#2029870 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-24 01:48:48 +00:00
CONFIG_DEBUG_INFO_DWARF_TOOLCHAIN_DEFAULT=y
# CONFIG_DEBUG_INFO_REDUCED is not set
# CONFIG_DEBUG_INFO_SPLIT is not set
CONFIG_DEBUG_INFO=y
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_DEBUG_IRQFLAGS=y
# CONFIG_DEBUG_KERNEL_DC is not set
CONFIG_DEBUG_KERNEL=y
CONFIG_DEBUG_KMEMLEAK_AUTO_SCAN=y
CONFIG_DEBUG_KMEMLEAK_DEFAULT_OFF=y
kernel-5.14.0-42.el9 * Thu Jan 13 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-42.el9] - scsi: smartpqi: Update version to 2.1.12-055 (Don Brace) [1869853] - scsi: smartpqi: Add 3252-8i PCI id (Don Brace) [1869853] - scsi: smartpqi: Fix duplicate device nodes for tape changers (Don Brace) [1869853] - scsi: smartpqi: Fix boot failure during LUN rebuild (Don Brace) [1869853] - scsi: smartpqi: Add extended report physical LUNs (Don Brace) [1869853] - scsi: smartpqi: Avoid failing I/Os for offline devices (Don Brace) [1869853] - scsi: smartpqi: Add TEST UNIT READY check for SANITIZE operation (Don Brace) [1869853] - scsi: smartpqi: Update LUN reset handler (Don Brace) [1869853] - scsi: smartpqi: Capture controller reason codes (Don Brace) [1869853] - scsi: smartpqi: Add controller handshake during kdump (Don Brace) [1869853] - scsi: smartpqi: Update device removal management (Don Brace) [1869853] - scsi: smartpqi: Replace one-element array with flexible-array member (Don Brace) [1869853] - scsi: smartpqi: Fix an error code in pqi_get_raid_map() (Don Brace) [1869853] - scsi: smartpqi: Update version to 2.1.10-020 (Don Brace) [1869853] - scsi: smartpqi: Fix ISR accessing uninitialized data (Don Brace) [1869853] - scsi: smartpqi: Add PCI IDs for new ZTE controllers (Don Brace) [1869853] - scsi: smartpqi: Add PCI ID for new ntcom controller (Don Brace) [1869853] - scsi: smartpqi: Add SCSI cmd info for resets (Don Brace) [1869853] - scsi: smartpqi: Change Kconfig menu entry to Microchip (Don Brace) [1869853] - scsi: smartpqi: Change driver module macros to Microchip (Don Brace) [1869853] - scsi: smartpqi: Update copyright notices (Don Brace) [1869853] - scsi: smartpqi: Add PCI IDs for H3C P4408 controllers (Don Brace) [1869853] - powerpc/module_64: Fix livepatching for RO modules (Joe Lawrence) [2019205] - net-sysfs: try not to restart the syscall if it will fail eventually (Antoine Tenart) [2030634] - CI: Enable realtime checks for baselines (Veronika Kabatova) - CI: Cleanup residue from ARK (Veronika Kabatova) - redhat: ignore ksamples and kselftests on the badfuncs rpminspect test (Herton R. Krzesinski) - redhat: disable upstream check for rpminspect (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_CRYPTO_BLAKE2B (Neal Gompa) [2031547] - selftests: netfilter: switch zone stress to socat (Florian Westphal) [2030759] - netfilter: conntrack: set on IPS_ASSURED if flows enters internal stream state (Florian Westphal) [2030759] - netfilter: conntrack: serialize hash resizes and cleanups (Florian Westphal) [2030759] - selftests: netfilter: add zone stress test with colliding tuples (Florian Westphal) [2030759] - selftests: netfilter: add selftest for directional zone support (Florian Westphal) [2030759] - netfilter: conntrack: include zone id in tuple hash again (Florian Westphal) [2030759] - netfilter: conntrack: make max chain length random (Florian Westphal) [2030759] - netfilter: refuse insertion if chain has grown too large (Florian Westphal) [2030759] - netfilter: conntrack: switch to siphash (Florian Westphal) [2030759] - netfilter: conntrack: sanitize table size default settings (Florian Westphal) [2030759] - redhat: configs: increase CONFIG_DEBUG_KMEMLEAK_MEM_POOL_SIZE (Rafael Aquini) [2008118] - iommu/dma: Fix incorrect error return on iommu deferred attach (Jerry Snitselaar) [2030394] - RDMA/siw: Mark Software iWARP Driver as tech-preview (Kamal Heib) [2023416] - genirq: Fix kernel doc indentation (Prarit Bhargava) [2023084] - genirq: Change force_irqthreads to a static key (Prarit Bhargava) [2023084] - genirq: Clarify documentation for request_threaded_irq() (Prarit Bhargava) [2023084] Resolves: rhbz#1869853, rhbz#2008118, rhbz#2019205, rhbz#2023084, rhbz#2023416, rhbz#2030394, rhbz#2030634, rhbz#2030759, rhbz#2031547 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-13 17:37:07 +00:00
CONFIG_DEBUG_KMEMLEAK_MEM_POOL_SIZE=40000
# CONFIG_DEBUG_KMEMLEAK_TEST is not set
CONFIG_DEBUG_KMEMLEAK=y
# CONFIG_DEBUG_KOBJECT is not set
# CONFIG_DEBUG_KOBJECT_RELEASE is not set
CONFIG_DEBUG_LIST=y
CONFIG_DEBUG_LOCK_ALLOC=y
# CONFIG_DEBUG_LOCKDEP is not set
# CONFIG_DEBUG_LOCKING_API_SELFTESTS is not set
kernel-5.14.0-291.el9 * Thu Mar 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-291.el9] - intel_idle: add Emerald Rapids Xeon support (David Arcari) [2156825] - redhat/configs: Disable CONFIG_GCC_PLUGINS (Prarit Bhargava) [2177294] - redhat: define Maple Tree configs (Nico Pache) [2166668] - maple_tree: reduce stack usage with gcc-9 and earlier (Nico Pache) [2166668] - maple_tree: fix mas_prev() and mas_find() state handling (Nico Pache) [2166668] - maple_tree: fix handle of invalidated state in mas_wr_store_setup() (Nico Pache) [2166668] - test_maple_tree: test modifications while iterating (Nico Pache) [2166668] - maple_tree: reduce user error potential (Nico Pache) [2166668] - maple_tree: fix potential rcu issue (Nico Pache) [2166668] - maple_tree: add mas_init() function (Nico Pache) [2166668] - maple_tree: fix comment of mte_destroy_walk (Nico Pache) [2166668] - maple_tree: remove GFP_ZERO from kmem_cache_alloc() and kmem_cache_alloc_bulk() (Nico Pache) [2166668] - maple_tree: refine mab_calc_split function (Nico Pache) [2166668] - maple_tree: refine ma_state init from mas_start() (Nico Pache) [2166668] - maple_tree: remove the redundant code (Nico Pache) [2166668] - maple_tree: use macro MA_ROOT_PARENT instead of number (Nico Pache) [2166668] - maple_tree: use mt_node_max() instead of direct operations mt_max[] (Nico Pache) [2166668] - maple_tree: remove extra return statement (Nico Pache) [2166668] - maple_tree: remove extra space and blank line (Nico Pache) [2166668] - maple_tree: should get pivots boundary by type (Nico Pache) [2166668] - maple_tree: fix mas_empty_area_rev() lower bound validation (Nico Pache) [2166668] - maple_tree: allow TEST_MAPLE_TREE only when DEBUG_KERNEL is set (Nico Pache) [2166668] - test_maple_tree: add test for mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_find_rev() comment (Nico Pache) [2166668] - maple_tree: mte_set_full() and mte_clear_full() clang-analyzer clean up (Nico Pache) [2166668] - maple_tree: don't set a new maximum on the node when not reusing nodes (Nico Pache) [2166668] - maple_tree: fix depth tracking in maple_state (Nico Pache) [2166668] - maple_tree: reorganize testing to restore module testing (Nico Pache) [2166668] - maple_tree: mas_anode_descend() clang-analyzer cleanup (Nico Pache) [2166668] - maple_tree: remove pointer to pointer use in mas_alloc_nodes() (Nico Pache) [2166668] - lib: maple_tree: remove unneeded initialization in mtree_range_walk() (Nico Pache) [2166668] - lib/test_maple_tree: add testing for maple tree (Nico Pache) [2166668] - radix tree test suite: add lockdep_is_held to header (Nico Pache) [2166668] - radix tree test suite: add support for slab bulk APIs (Nico Pache) [2166668] - radix tree test suite: add allocation counts and size to kmem_cache (Nico Pache) [2166668] - radix tree test suite: add kmem_cache_set_non_kernel() (Nico Pache) [2166668] - radix tree test suite: add pr_err define (Nico Pache) [2166668] - Maple Tree: add new data structure (Nico Pache) [2166668] - mips: rename mt_init to mips_mt_init (Nico Pache) [2166668] - redhat/configs: Revert "enable DAMON configs" (Vladis Dronov) - powercap: intel_rapl: add support for Emerald Rapids (David Arcari) [2156805] - gfs2: Improve gfs2_make_fs_rw error handling (Andreas Gruenbacher) [2109548] - Revert "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2109548] - gfs2: Evict inodes cooperatively (Andreas Gruenbacher) [2109548] - gfs2: Flush delete work before shrinking inode cache (Andreas Gruenbacher) [2109548] - gfs2: Cease delete work during unmount (Bob Peterson) [2109548] - gfs2: Add SDF_DEACTIVATING super block flag (Bob Peterson) [2109548] - gfs2: check gl_object in rgrp glops (Bob Peterson) [2109548] - gfs2: Split the two kinds of glock "delete" work (Andreas Gruenbacher) [2109548] - gfs2: Move delete workqueue into super block (Andreas Gruenbacher) [2109548] - gfs2: Get rid of GLF_PENDING_DELETE flag (Andreas Gruenbacher) [2109548] - gfs2: Make glock lru list scanning safer (Andreas Gruenbacher) [2109548] - gfs2: Clean up gfs2_scan_glock_lru (Andreas Gruenbacher) [2109548] - gfs2: Improve gfs2_upgrade_iopen_glock comment (Andreas Gruenbacher) [2109548] - gfs2: gl_object races fix (Andreas Gruenbacher) [2109548] - iomap/gfs2: Unlock and put folio in page_done handler (Andreas Gruenbacher) [2109548] - iomap: Add __iomap_put_folio helper (Andreas Gruenbacher) [2109548] - Revert "gfs2: stop using generic_writepages in gfs2_ail1_start_one" (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (2) (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_try_evict cleanup (Andreas Gruenbacher) [2109548] - gfs2: Partially revert gfs2_inode_lookup change (Andreas Gruenbacher) [2109548] - gfs2: Add gfs2_inode_lookup comment (Andreas Gruenbacher) [2109548] - gfs2: Uninline and improve glock_{set,clear}_object (Andreas Gruenbacher) [2109548] - gfs2: Simply dequeue iopen glock in gfs2_evict_inode (Andreas Gruenbacher) [2109548] - gfs2: Clean up after gfs2_create_inode rework (Andreas Gruenbacher) [2109548] - gfs2: Avoid dequeuing GL_ASYNC glock holders twice (Andreas Gruenbacher) [2109548] - gfs2: Make gfs2_glock_hold return its glock argument (Andreas Gruenbacher) [2109548] - gfs2: Always check inode size of inline inodes (Andreas Gruenbacher) [2109548] - gfs2: Cosmetic gfs2_dinode_{in,out} cleanup (Andreas Gruenbacher) [2109548] - gfs2: Handle -EBUSY result of insert_inode_locked4 (Andreas Gruenbacher) [2109548] - gfs2: Fix and clean up create / evict interaction (Andreas Gruenbacher) [2109548] - gfs2: Clean up initialization of "ip" in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Get rid of ghs[] in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Add extra error check in alloc_dinode (Andreas Gruenbacher) [2109548] - gfs2: Check sb_bsize_shift after reading superblock (Andrew Price) [2109548] - gfs2: Switch from strlcpy to strscpy (Andreas Gruenbacher) [2109548] - gfs2: Clear flags when withdraw prevents xmote (Bob Peterson) [2109548] - gfs2: Dequeue waiters when withdrawn (Bob Peterson) [2109548] - gfs2: Prevent double iput for journal on error (Bob Peterson) [2109548] - gfs2: Convert gfs2_jhead_process_page() to use a folio (Andreas Gruenbacher) [2109548] - gfs2: remove ->writepage (Andreas Gruenbacher) [2109548] - gfs2: stop using generic_writepages in gfs2_ail1_start_one (Andreas Gruenbacher) [2109548] - gfs2: List traversal in do_promote is safe (Andreas Gruenbacher) [2109548] - gfs2: do_promote glock holder stealing fix (Bob Peterson) [2109548] - gfs2: Use better variable name (Andreas Gruenbacher) [2109548] - gfs2: Make go_instantiate take a glock (Andreas Gruenbacher) [2109548] - gfs2: Add new go_held glock operation (Andreas Gruenbacher) [2109548] - gfs2: Revert 'Fix "truncate in progress" hang' (Andreas Gruenbacher) [2109548] - gfs2: Instantiate glocks ouside of glock state engine (Andreas Gruenbacher) [2109548] - gfs2: Fix up gfs2_glock_async_wait (Andreas Gruenbacher) [2109548] - gfs2: Mark the remaining process-independent glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Mark flock glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Add GL_NOPID flag for process-independent glock holders (Andreas Gruenbacher) [2109548] - gfs2: Add flocks to glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Add glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_glock_nq_m cleanup (Andreas Gruenbacher) [2109548] - gfs2: Fix spelling mistake in comment (Andreas Gruenbacher) [2109548] - gfs2: Rewrap overlong comment in do_promote (Bob Peterson) [2109548] - gfs2: Remove redundant NULL check before kfree (Andreas Gruenbacher) [2109548] - gfs2: Use container_of() for gfs2_glock(aspace) (Andreas Gruenbacher) [2109548] - gfs2: dump inode object for iopen glocks (Bob Peterson) [2109548] Resolves: rhbz#2156805, rhbz#2156825, rhbz#2166668, rhbz#2177294 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-23 10:30:58 +00:00
# CONFIG_DEBUG_MAPLE_TREE is not set
CONFIG_DEBUG_MISC=y
CONFIG_DEBUG_MUTEXES=y
kernel-5.14.0-224.el9 * Thu Dec 22 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-224.el9] - tls: strp: make sure the TCP skbs do not have overlapping data (Sabrina Dubroca) [2143700] - selftests: tls: handful of memrnd() and length checks (Sabrina Dubroca) [2143700] - selftests: tls: add a test for timeo vs lock (Sabrina Dubroca) [2143700] - selftests: tls: add test for NoPad getsockopt (Sabrina Dubroca) [2143700] - selftests: tls: add selftest variant for pad (Sabrina Dubroca) [2143700] - selftests: tls: skip cmsg_to_pipe tests with TLS=n (Sabrina Dubroca) [2143700] - selftests: tls: test for correct proto_ops (Sabrina Dubroca) [2143700] - selftests: tls: test splicing decrypted records (Sabrina Dubroca) [2143700] - selftests: tls: test splicing cmsgs (Sabrina Dubroca) [2143700] - tls: rx: react to strparser initialization errors (Sabrina Dubroca) [2143700] - net/tls: Use RCU API to access tls_ctx->netdev (Sabrina Dubroca) [2143700] - tls: rx: device: don't try to copy too much on detach (Sabrina Dubroca) [2143700] - tls: rx: device: bound the frag walk (Sabrina Dubroca) [2143700] - net/tls: Remove redundant workqueue flush before destroy (Sabrina Dubroca) [2143700] - net/tls: Multi-threaded calls to TX tls_dev_del (Sabrina Dubroca) [2143700] - net/tls: Perform immediate device ctx cleanup when possible (Sabrina Dubroca) [2143700] - tls: rx: fix the false positive warning (Sabrina Dubroca) [2143700] - tls: strp: rename and multithread the workqueue (Sabrina Dubroca) [2143700] - tls: rx: don't consider sock_rcvtimeo() cumulative (Sabrina Dubroca) [2143700] - tls: rx: Fix unsigned comparison with less than zero (Sabrina Dubroca) [2143700] - tls: rx: do not use the standard strparser (Sabrina Dubroca) [2143700] - tls: rx: device: add input CoW helper (Sabrina Dubroca) [2143700] - tcp: allow tls to decrypt directly from the tcp rcv queue (Sabrina Dubroca) [2143700] - tcp: avoid indirect calls to sock_rfree (Sabrina Dubroca) [2143700] - tls: rx: device: keep the zero copy status with offload (Sabrina Dubroca) [2143700] - tls: rx: don't free the output in case of zero-copy (Sabrina Dubroca) [2143700] - redhat/configs: enable CONFIG_DEBUG_NET for debug kernel (Sabrina Dubroca) [2143700] - net: add CONFIG_DEBUG_NET (Sabrina Dubroca) [2143700] - net: add include/net/net_debug.h (Sabrina Dubroca) [2143700] - tls: rx: factor SW handling out of tls_rx_one_record() (Sabrina Dubroca) [2143700] - tls: rx: wrap recv_pkt accesses in helpers (Sabrina Dubroca) [2143700] - net/tls: Remove the context from the list in tls_device_down (Sabrina Dubroca) [2143700] - tls: rx: release the sock lock on locking timeout (Sabrina Dubroca) [2143700] - tls: rx: decrypt into a fresh skb (Sabrina Dubroca) [2143700] - tls: rx: async: don't put async zc on the list (Sabrina Dubroca) [2143700] - tls: rx: async: hold onto the input skb (Sabrina Dubroca) [2143700] - tls: rx: async: adjust record geometry immediately (Sabrina Dubroca) [2143700] - tls: rx: return the decrypted skb via darg (Sabrina Dubroca) [2143700] - tls: rx: read the input skb from ctx->recv_pkt (Sabrina Dubroca) [2143700] - tls: rx: factor out device darg update (Sabrina Dubroca) [2143700] - tls: rx: remove the message decrypted tracking (Sabrina Dubroca) [2143700] - tls: rx: don't keep decrypted skbs on ctx->recv_pkt (Sabrina Dubroca) [2143700] - tls: rx: don't try to keep the skbs always on the list (Sabrina Dubroca) [2143700] - tls: rx: allow only one reader at a time (Sabrina Dubroca) [2143700] - net/tls: Fix race in TLS device down flow (Sabrina Dubroca) [2143700] - net/tls: Check for errors in tls_device_init (Sabrina Dubroca) [2143700] - tls: rx: fix the NoPad getsockopt (Sabrina Dubroca) [2143700] - tls: rx: add counter for NoPad violations (Sabrina Dubroca) [2143700] - tls: fix spelling of MIB (Sabrina Dubroca) [2143700] - tls: rx: make tls_wait_data() return an recvmsg retcode (Sabrina Dubroca) [2143700] - tls: create an internal header (Sabrina Dubroca) [2143700] - tls: rx: coalesce exit paths in tls_decrypt_sg() (Sabrina Dubroca) [2143700] - tls: rx: wrap decrypt params in a struct (Sabrina Dubroca) [2143700] - tls: rx: always allocate max possible aad size for decrypt (Sabrina Dubroca) [2143700] - strparser: pad sk_skb_cb to avoid straddling cachelines (Sabrina Dubroca) [2143700] - tls: rx: periodically flush socket backlog (Sabrina Dubroca) [2143700] - tls: rx: add sockopt for enabling optimistic decrypt with TLS 1.3 (Sabrina Dubroca) [2143700] - docs: tls: document the TLS_TX_ZEROCOPY_RO (Sabrina Dubroca) [2143700] - tls: rx: support optimistic decrypt to user buffer with TLS 1.3 (Sabrina Dubroca) [2143700] - tls: rx: don't include tail size in data_len (Sabrina Dubroca) [2143700] - Revert "tls: rx: move counting TlsDecryptErrors for sync" (Sabrina Dubroca) [2143700] - tls: Rename TLS_INFO_ZC_SENDFILE to TLS_INFO_ZC_TX (Sabrina Dubroca) [2143700] - net: tls: fix messing up lists when bpf enabled (Sabrina Dubroca) [2143700] - tls: Add opt-in zerocopy mode of sendfile() (Sabrina Dubroca) [2143700] - tls: Fix context leak on tls_device_down (Sabrina Dubroca) [2143700] - tls: Skip tls_append_frag on zero copy size (Sabrina Dubroca) [2143700] - net: tls: fix async vs NIC crypto offload (Sabrina Dubroca) [2143700] - tls: rx: only copy IV from the packet for TLS 1.2 (Sabrina Dubroca) [2143700] - tls: rx: use MAX_IV_SIZE for allocations (Sabrina Dubroca) [2143700] - tls: rx: use async as an in-out argument (Sabrina Dubroca) [2143700] - tls: rx: return the already-copied data on crypto error (Sabrina Dubroca) [2143700] - tls: rx: treat process_rx_list() errors as transient (Sabrina Dubroca) [2143700] - tls: rx: assume crypto always calls our callback (Sabrina Dubroca) [2143700] - tls: rx: don't handle TLS 1.3 in the async crypto callback (Sabrina Dubroca) [2143700] - tls: rx: move counting TlsDecryptErrors for sync (Sabrina Dubroca) [2143700] - tls: rx: reuse leave_on_list label for psock (Sabrina Dubroca) [2143700] - tls: rx: consistently use unlocked accessors for rx_list (Sabrina Dubroca) [2143700] - tls: rx: jump out for cases which need to leave skb on list (Sabrina Dubroca) [2143700] - tls: rx: clear ctx->recv_pkt earlier (Sabrina Dubroca) [2143700] - tls: rx: inline consuming the skb at the end of the loop (Sabrina Dubroca) [2143700] - tls: rx: pull most of zc check out of the loop (Sabrina Dubroca) [2143700] - tls: rx: don't track the async count (Sabrina Dubroca) [2143700] - tls: rx: don't handle async in tls_sw_advance_skb() (Sabrina Dubroca) [2143700] - tls: rx: factor out writing ContentType to cmsg (Sabrina Dubroca) [2143700] - tls: rx: simplify async wait (Sabrina Dubroca) [2143700] - tls: rx: wrap decryption arguments in a structure (Sabrina Dubroca) [2143700] - tls: rx: don't report text length from the bowels of decrypt (Sabrina Dubroca) [2143700] - tls: rx: drop unnecessary arguments from tls_setup_from_iter() (Sabrina Dubroca) [2143700] - tls: hw: rx: use return value of tls_device_decrypted() to carry status (Sabrina Dubroca) [2143700] - tls: rx: refactor decrypt_skb_update() (Sabrina Dubroca) [2143700] - tls: rx: don't issue wake ups when data is decrypted (Sabrina Dubroca) [2143700] - tls: rx: replace 'back' with 'offset' (Sabrina Dubroca) [2143700] - tls: rx: use a define for tag length (Sabrina Dubroca) [2143700] - tls: rx: init decrypted status in tls_read_size() (Sabrina Dubroca) [2143700] - tls: rx: don't store the decryption status in socket context (Sabrina Dubroca) [2143700] - tls: rx: don't store the record type in socket context (Sabrina Dubroca) [2143700] - tls: rx: drop pointless else after goto (Sabrina Dubroca) [2143700] - tls: rx: jump to a more appropriate label (Sabrina Dubroca) [2143700] - net/tls: optimize judgement processes in tls_set_device_offload() (Sabrina Dubroca) [2143700] - net/tls: remove unnecessary jump instructions in do_tls_setsockopt_conf() (Sabrina Dubroca) [2143700] - net/tls: Provide {__,}tls_driver_ctx() unconditionally (Sabrina Dubroca) [2143700] - net/tls: simplify the tls_set_sw_offload function (Sabrina Dubroca) [2143700] - net/tls: getsockopt supports complete algorithm list (Sabrina Dubroca) [2143700] - net/tls: tls_crypto_context add supported algorithms context (Sabrina Dubroca) [2143700] - net/tls: support SM4 CCM algorithm (Sabrina Dubroca) [2143700] - net/tls: support SM4 GCM/CCM algorithm (Sabrina Dubroca) [2143700] Resolves: rhbz#2143700 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-22 21:19:17 +00:00
CONFIG_DEBUG_NET=y
CONFIG_DEBUG_NOTIFIERS=y
CONFIG_DEBUG_OBJECTS_ENABLE_DEFAULT=1
CONFIG_DEBUG_OBJECTS_FREE=y
CONFIG_DEBUG_OBJECTS_PERCPU_COUNTER=y
CONFIG_DEBUG_OBJECTS_RCU_HEAD=y
# CONFIG_DEBUG_OBJECTS_SELFTEST is not set
CONFIG_DEBUG_OBJECTS_TIMERS=y
CONFIG_DEBUG_OBJECTS_WORK=y
CONFIG_DEBUG_OBJECTS=y
# CONFIG_DEBUG_PAGEALLOC_ENABLE_DEFAULT is not set
CONFIG_DEBUG_PAGEALLOC=y
CONFIG_DEBUG_PAGE_REF=y
CONFIG_DEBUG_PER_CPU_MAPS=y
# CONFIG_DEBUG_PERF_USE_VMALLOC is not set
# CONFIG_DEBUG_PINCTRL is not set
# CONFIG_DEBUG_PLIST is not set
kernel-5.14.0-27.el9 * Tue Dec 07 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-27.el9] - x86: change default to spec_store_bypass_disable=prctl spectre_v2_user=prctl (Wander Lairson Costa) [2002637] - Enable PREEMPT_DYNAMIC for all but s390x (Phil Auld) [2019472] - preempt: Restore preemption model selection configs (Phil Auld) [2019472] - sched: Provide Kconfig support for default dynamic preempt mode (Phil Auld) [2019472] - x86/sgx: Add TAINT_TECH_PREVIEW for virtual EPC (Wander Lairson Costa) [2025959] - x86/sgx: mark tech preview (Wander Lairson Costa) [2025959] - ipv6: When forwarding count rx stats on the orig netdev (Hangbin Liu) [2025457] - ipv6: make exception cache less predictible (Hangbin Liu) [2025457] - icmp: fix icmp_ext_echo_iio parsing in icmp_build_probe (Guillaume Nault) [2024572] - net: prefer socket bound to interface when not in VRF (Guillaume Nault) [2024572] - net: ipv4: Fix rtnexthop len when RTA_FLOW is present (Guillaume Nault) [2024572] - nexthop: Fix memory leaks in nexthop notification chain listeners (Guillaume Nault) [2024572] - nexthop: Fix division by zero while replacing a resilient group (Guillaume Nault) [2024572] - ipv4: fix endianness issue in inet_rtm_getroute_build_skb() (Guillaume Nault) [2024572] - crypto: ccp - Make use of the helper macro kthread_run() (Vladis Dronov) [1997595] - crypto: ccp - Fix whitespace in sev_cmd_buffer_len() (Vladis Dronov) [1997595] - crypto: ccp - fix resource leaks in ccp_run_aes_gcm_cmd() (Vladis Dronov) [1997595] {CVE-2021-3744 CVE-2021-3764} - net/l2tp: Fix reference count leak in l2tp_udp_recv_core (Guillaume Nault) [2023271] - scsi: megaraid: Clean up some inconsistent indenting (Tomas Henzl) [1879402] - scsi: megaraid: Fix Coccinelle warning (Tomas Henzl) [1879402] - scsi: megaraid_sas: Driver version update to 07.719.03.00-rc1 (Tomas Henzl) [1879402] - scsi: megaraid_sas: Add helper functions for irq_context (Tomas Henzl) [1879402] - scsi: megaraid_sas: Fix concurrent access to ISR between IRQ polling and real interrupt (Tomas Henzl) [1879402] - tpm: ibmvtpm: Avoid error message when process gets signal while waiting (Štěpán Horáček) [1983089] - char: tpm: cr50_i2c: convert to new probe interface (Štěpán Horáček) [1983089] - char: tpm: Kconfig: remove bad i2c cr50 select (Štěpán Horáček) [1983089] Resolves: rhbz#1879402, rhbz#1983089, rhbz#1997595, rhbz#2002637, rhbz#2019472, rhbz#2023271, rhbz#2024572, rhbz#2025457, rhbz#2025959 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-07 23:42:02 +00:00
CONFIG_DEBUG_PREEMPT=y
# CONFIG_DEBUG_RODATA_TEST is not set
CONFIG_DEBUG_RT_MUTEXES=y
CONFIG_DEBUG_RWSEMS=y
CONFIG_DEBUG_SECTION_MISMATCH=y
CONFIG_DEBUG_SG=y
CONFIG_DEBUG_SHIRQ=y
CONFIG_DEBUG_SPINLOCK=y
CONFIG_DEBUG_STACKOVERFLOW=y
CONFIG_DEBUG_STACK_USAGE=y
# CONFIG_DEBUG_TEST_DRIVER_REMOVE is not set
# CONFIG_DEBUG_TIMEKEEPING is not set
# CONFIG_DEBUG_VIRTUAL is not set
kernel-5.14.0-291.el9 * Thu Mar 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-291.el9] - intel_idle: add Emerald Rapids Xeon support (David Arcari) [2156825] - redhat/configs: Disable CONFIG_GCC_PLUGINS (Prarit Bhargava) [2177294] - redhat: define Maple Tree configs (Nico Pache) [2166668] - maple_tree: reduce stack usage with gcc-9 and earlier (Nico Pache) [2166668] - maple_tree: fix mas_prev() and mas_find() state handling (Nico Pache) [2166668] - maple_tree: fix handle of invalidated state in mas_wr_store_setup() (Nico Pache) [2166668] - test_maple_tree: test modifications while iterating (Nico Pache) [2166668] - maple_tree: reduce user error potential (Nico Pache) [2166668] - maple_tree: fix potential rcu issue (Nico Pache) [2166668] - maple_tree: add mas_init() function (Nico Pache) [2166668] - maple_tree: fix comment of mte_destroy_walk (Nico Pache) [2166668] - maple_tree: remove GFP_ZERO from kmem_cache_alloc() and kmem_cache_alloc_bulk() (Nico Pache) [2166668] - maple_tree: refine mab_calc_split function (Nico Pache) [2166668] - maple_tree: refine ma_state init from mas_start() (Nico Pache) [2166668] - maple_tree: remove the redundant code (Nico Pache) [2166668] - maple_tree: use macro MA_ROOT_PARENT instead of number (Nico Pache) [2166668] - maple_tree: use mt_node_max() instead of direct operations mt_max[] (Nico Pache) [2166668] - maple_tree: remove extra return statement (Nico Pache) [2166668] - maple_tree: remove extra space and blank line (Nico Pache) [2166668] - maple_tree: should get pivots boundary by type (Nico Pache) [2166668] - maple_tree: fix mas_empty_area_rev() lower bound validation (Nico Pache) [2166668] - maple_tree: allow TEST_MAPLE_TREE only when DEBUG_KERNEL is set (Nico Pache) [2166668] - test_maple_tree: add test for mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_find_rev() comment (Nico Pache) [2166668] - maple_tree: mte_set_full() and mte_clear_full() clang-analyzer clean up (Nico Pache) [2166668] - maple_tree: don't set a new maximum on the node when not reusing nodes (Nico Pache) [2166668] - maple_tree: fix depth tracking in maple_state (Nico Pache) [2166668] - maple_tree: reorganize testing to restore module testing (Nico Pache) [2166668] - maple_tree: mas_anode_descend() clang-analyzer cleanup (Nico Pache) [2166668] - maple_tree: remove pointer to pointer use in mas_alloc_nodes() (Nico Pache) [2166668] - lib: maple_tree: remove unneeded initialization in mtree_range_walk() (Nico Pache) [2166668] - lib/test_maple_tree: add testing for maple tree (Nico Pache) [2166668] - radix tree test suite: add lockdep_is_held to header (Nico Pache) [2166668] - radix tree test suite: add support for slab bulk APIs (Nico Pache) [2166668] - radix tree test suite: add allocation counts and size to kmem_cache (Nico Pache) [2166668] - radix tree test suite: add kmem_cache_set_non_kernel() (Nico Pache) [2166668] - radix tree test suite: add pr_err define (Nico Pache) [2166668] - Maple Tree: add new data structure (Nico Pache) [2166668] - mips: rename mt_init to mips_mt_init (Nico Pache) [2166668] - redhat/configs: Revert "enable DAMON configs" (Vladis Dronov) - powercap: intel_rapl: add support for Emerald Rapids (David Arcari) [2156805] - gfs2: Improve gfs2_make_fs_rw error handling (Andreas Gruenbacher) [2109548] - Revert "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2109548] - gfs2: Evict inodes cooperatively (Andreas Gruenbacher) [2109548] - gfs2: Flush delete work before shrinking inode cache (Andreas Gruenbacher) [2109548] - gfs2: Cease delete work during unmount (Bob Peterson) [2109548] - gfs2: Add SDF_DEACTIVATING super block flag (Bob Peterson) [2109548] - gfs2: check gl_object in rgrp glops (Bob Peterson) [2109548] - gfs2: Split the two kinds of glock "delete" work (Andreas Gruenbacher) [2109548] - gfs2: Move delete workqueue into super block (Andreas Gruenbacher) [2109548] - gfs2: Get rid of GLF_PENDING_DELETE flag (Andreas Gruenbacher) [2109548] - gfs2: Make glock lru list scanning safer (Andreas Gruenbacher) [2109548] - gfs2: Clean up gfs2_scan_glock_lru (Andreas Gruenbacher) [2109548] - gfs2: Improve gfs2_upgrade_iopen_glock comment (Andreas Gruenbacher) [2109548] - gfs2: gl_object races fix (Andreas Gruenbacher) [2109548] - iomap/gfs2: Unlock and put folio in page_done handler (Andreas Gruenbacher) [2109548] - iomap: Add __iomap_put_folio helper (Andreas Gruenbacher) [2109548] - Revert "gfs2: stop using generic_writepages in gfs2_ail1_start_one" (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (2) (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_try_evict cleanup (Andreas Gruenbacher) [2109548] - gfs2: Partially revert gfs2_inode_lookup change (Andreas Gruenbacher) [2109548] - gfs2: Add gfs2_inode_lookup comment (Andreas Gruenbacher) [2109548] - gfs2: Uninline and improve glock_{set,clear}_object (Andreas Gruenbacher) [2109548] - gfs2: Simply dequeue iopen glock in gfs2_evict_inode (Andreas Gruenbacher) [2109548] - gfs2: Clean up after gfs2_create_inode rework (Andreas Gruenbacher) [2109548] - gfs2: Avoid dequeuing GL_ASYNC glock holders twice (Andreas Gruenbacher) [2109548] - gfs2: Make gfs2_glock_hold return its glock argument (Andreas Gruenbacher) [2109548] - gfs2: Always check inode size of inline inodes (Andreas Gruenbacher) [2109548] - gfs2: Cosmetic gfs2_dinode_{in,out} cleanup (Andreas Gruenbacher) [2109548] - gfs2: Handle -EBUSY result of insert_inode_locked4 (Andreas Gruenbacher) [2109548] - gfs2: Fix and clean up create / evict interaction (Andreas Gruenbacher) [2109548] - gfs2: Clean up initialization of "ip" in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Get rid of ghs[] in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Add extra error check in alloc_dinode (Andreas Gruenbacher) [2109548] - gfs2: Check sb_bsize_shift after reading superblock (Andrew Price) [2109548] - gfs2: Switch from strlcpy to strscpy (Andreas Gruenbacher) [2109548] - gfs2: Clear flags when withdraw prevents xmote (Bob Peterson) [2109548] - gfs2: Dequeue waiters when withdrawn (Bob Peterson) [2109548] - gfs2: Prevent double iput for journal on error (Bob Peterson) [2109548] - gfs2: Convert gfs2_jhead_process_page() to use a folio (Andreas Gruenbacher) [2109548] - gfs2: remove ->writepage (Andreas Gruenbacher) [2109548] - gfs2: stop using generic_writepages in gfs2_ail1_start_one (Andreas Gruenbacher) [2109548] - gfs2: List traversal in do_promote is safe (Andreas Gruenbacher) [2109548] - gfs2: do_promote glock holder stealing fix (Bob Peterson) [2109548] - gfs2: Use better variable name (Andreas Gruenbacher) [2109548] - gfs2: Make go_instantiate take a glock (Andreas Gruenbacher) [2109548] - gfs2: Add new go_held glock operation (Andreas Gruenbacher) [2109548] - gfs2: Revert 'Fix "truncate in progress" hang' (Andreas Gruenbacher) [2109548] - gfs2: Instantiate glocks ouside of glock state engine (Andreas Gruenbacher) [2109548] - gfs2: Fix up gfs2_glock_async_wait (Andreas Gruenbacher) [2109548] - gfs2: Mark the remaining process-independent glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Mark flock glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Add GL_NOPID flag for process-independent glock holders (Andreas Gruenbacher) [2109548] - gfs2: Add flocks to glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Add glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_glock_nq_m cleanup (Andreas Gruenbacher) [2109548] - gfs2: Fix spelling mistake in comment (Andreas Gruenbacher) [2109548] - gfs2: Rewrap overlong comment in do_promote (Bob Peterson) [2109548] - gfs2: Remove redundant NULL check before kfree (Andreas Gruenbacher) [2109548] - gfs2: Use container_of() for gfs2_glock(aspace) (Andreas Gruenbacher) [2109548] - gfs2: dump inode object for iopen glocks (Bob Peterson) [2109548] Resolves: rhbz#2156805, rhbz#2156825, rhbz#2166668, rhbz#2177294 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-23 10:30:58 +00:00
# CONFIG_DEBUG_VM_MAPLE_TREE is not set
# CONFIG_DEBUG_VM_PGFLAGS is not set
CONFIG_DEBUG_VM_PGTABLE=y
# CONFIG_DEBUG_VM_RB is not set # revisit this if performance isn't horrible
# CONFIG_DEBUG_VM_VMACACHE is not set
CONFIG_DEBUG_VM=y
# CONFIG_DEBUG_WQ_FORCE_RR_CPU is not set
CONFIG_DEBUG_WW_MUTEX_SLOWPATH=y
CONFIG_DEBUG_WX=y
# CONFIG_DECNET is not set
CONFIG_DECOMPRESS_LZ4=y
CONFIG_DEFAULT_CUBIC=y
CONFIG_DEFAULT_FQ_CODEL=y
# CONFIG_DEFAULT_FQ is not set
CONFIG_DEFAULT_HOSTNAME="(none)"
CONFIG_DEFAULT_HUNG_TASK_TIMEOUT=120
CONFIG_DEFAULT_INIT=""
CONFIG_DEFAULT_MMAP_MIN_ADDR=4096
CONFIG_DEFAULT_NET_SCH="fq_codel"
# CONFIG_DEFAULT_PFIFO_FAST is not set
# CONFIG_DEFAULT_RENO is not set
# CONFIG_DEFAULT_SECURITY_DAC is not set
CONFIG_DEFAULT_SECURITY_SELINUX=y
# CONFIG_DEFAULT_SFQ is not set
# CONFIG_DEFERRED_STRUCT_PAGE_INIT is not set
kernel-5.14.0-315.el9 * Wed May 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-315.el9] - watchdog: wdat_wdt: Avoid unimplemented get_timeleft (David Arcari) [2189867] - watchdog: wdat_wdt: Set the min and max timeout values properly (David Arcari) [2189867] - watchdog: wdat_wdt: Remove #ifdef guards for PM related functions (David Arcari) [2189867] - watchdog: wdat_wdt: Stop watchdog when uninstalling module (David Arcari) [2189867] - watchdog: wdat_wdt: Stop watchdog when rebooting the system (David Arcari) [2189867] - watchdog: wdat_wdt: Using the existing function to check parameter timeout (David Arcari) [2189867] - watchdog: imx2_wdg: suspend watchdog in WAIT mode (Steve Best) [2192546] - watchdog: imx2_wdg: Alow ping on suspend (Steve Best) [2192546] - watchdog: imx2_wdg: notify wdog core to stop ping worker on suspend (Steve Best) [2192546] - watchdog: introduce watchdog_dev_suspend/resume (Steve Best) [2192546] - scsi: iscsi_tcp: Check that sock is valid before iscsi_set_param() (Chris Leech) [2192404] - scsi: iscsi_tcp: Fix UAF during login when accessing the shost ipaddress (Chris Leech) [2192404] - scsi: iscsi_tcp: Fix UAF during logout when accessing the shost ipaddress (Chris Leech) [2192404] - scsi: iscsi: Fix multiple iSCSI session unbind events sent to userspace (Chris Leech) [2192404] - scsi: iscsi: Rename iscsi_set_param() to iscsi_if_set_param() (Chris Leech) [2192404] - scsi: iscsi: tracing: Use the new __vstring() helper (Chris Leech) [2192404] - md/raid5: remove unused working_disks variable (Nigel Croxon) [2162219] - md/raid10: don't call bio_start_io_acct twice for bio which experienced read error (Nigel Croxon) [2162219] - md/raid10: fix memleak of md thread (Nigel Croxon) [2162219] - md/raid10: fix memleak for 'conf->bio_split' (Nigel Croxon) [2162219] - md/raid10: fix leak of 'r10bio->remaining' for recovery (Nigel Croxon) [2162219] - md/raid10: don't BUG_ON() in raise_barrier() (Nigel Croxon) [2162219] - md: fix soft lockup in status_resync (Nigel Croxon) [2162219] - md: add error_handlers for raid0 and linear (Nigel Croxon) [2162219] - md: Use optimal I/O size for last bitmap page (Nigel Croxon) [2162219] - md: Fix types in sb writer (Nigel Croxon) [2162219] - md: Move sb writer loop to its own function (Nigel Croxon) [2162219] - md/raid10: Fix typo in comment (replacment -> replacement) (Nigel Croxon) [2162219] - md: make kobj_type structures constant (Nigel Croxon) [2162219] - md/raid10: fix null-ptr-deref in raid10_sync_request (Nigel Croxon) [2162219] - md/raid10: fix task hung in raid10d (Nigel Croxon) [2162219] - md: simplify sysctl registration (Nigel Croxon) [2162219] - md: fix regression for null-ptr-deference in __md_stop() (Nigel Croxon) [2162219] - md: avoid signed overflow in slot_store() (Nigel Croxon) [2162219] - md: Free resources in __md_stop (Nigel Croxon) [2162219] - md: account io_acct_set usage with active_io (Nigel Croxon) [2122229 2162219] - md: use MD_RESYNC_* whenever possible (Nigel Croxon) [2162219] - md: Free writes_pending in md_stop (Nigel Croxon) [2162219] - md: Change active_io to percpu (Nigel Croxon) [2162219] - md: Factor out is_md_suspended helper (Nigel Croxon) [2162219] - md: don't update recovery_cp when curr_resync is ACTIVE (Nigel Croxon) [2162219] - md: fix incorrect declaration about claim_rdev in md_import_device (Nigel Croxon) [2162219] - md: fold unbind_rdev_from_array into md_kick_rdev_from_array (Nigel Croxon) [2162219] - md: mark md_kick_rdev_from_array static (Nigel Croxon) [2162219] - md: remove lock_bdev / unlock_bdev (Nigel Croxon) [2162219] - bonding: Fix memory leak when changing bond type to Ethernet (Hangbin Liu) [2189406] - selftests: bonding: add tests for ether type changes (Hangbin Liu) [2189406] - bonding: restore bond's IFF_SLAVE flag if a non-eth dev enslave fails (Hangbin Liu) [2189406] - bonding: restore IFF_MASTER/SLAVE flags on bond enslave ether type change (Hangbin Liu) [2189406] - drivers/net/bonding/bond_3ad: return when there's no aggregator (Hangbin Liu) [2189406] - net: add IFF_NO_ADDRCONF and use it in bonding to prevent ipv6 addrconf (Hangbin Liu) [2189406] - net/core: Allow live renaming when an interface is up (Hangbin Liu) [2189406] - bonding: 3ad: Add support for 800G speed (Hangbin Liu) [2189406] - thermal/drivers/imx: Use generic thermal_zone_get_trip() function (Steve Best) [2185130] - kernel.spec: skip kernel meta package when building without up (Jan Stancek) [2190323] - redhat: configs: enable CONFIG_DELL_WMI_PRIVACY (Foggy Liu) [2186163] - RHEL-only: Enable CONFIG_IO_URING (Jeff Moyer) [2068237 2170014] - RHEL: io_uring: mark tech preview (Jeff Moyer) [2068237] - RHEL: add a boot parameter to enable io_uring (Jeff Moyer) [2068237] - io_uring: fix size calculation when registering buf ring (Jeff Moyer) [2068237] - Revert "io_uring/rsrc: disallow multi-source reg buffers" (Jeff Moyer) [2068237] - net: reclaim skb->scm_io_uring bit (Jeff Moyer) [2068237] - io_uring: complete request via task work in case of DEFER_TASKRUN (Jeff Moyer) [2068237] - io_uring: fix memory leak when removing provided buffers (Jeff Moyer) [2068237] - io_uring: fix return value when removing provided buffers (Jeff Moyer) [2068237] - io_uring: fix poll/netmsg alloc caches (Jeff Moyer) [2068237] - io_uring/rsrc: fix rogue rsrc node grabbing (Jeff Moyer) [2068237] - io_uring/poll: clear single/double poll flags on poll arming (Jeff Moyer) [2068237] - io_uring/rsrc: fix null-ptr-deref in io_file_bitmap_get() (Jeff Moyer) [2068237] - io_uring/net: avoid sending -ECONNABORTED on repeated connection requests (Jeff Moyer) [2068237] - block/io_uring: pass in issue_flags for uring_cmd task_work handling (Jeff Moyer) [2068237] - io_uring/msg_ring: let target know allocated index (Jeff Moyer) [2068237] - io_uring/sqpoll: Do not set PF_NO_SETAFFINITY on sqpoll threads (Jeff Moyer) [2068237] - io_uring/io-wq: stop setting PF_NO_SETAFFINITY on io-wq workers (Jeff Moyer) [2068237] - io_uring/uring_cmd: ensure that device supports IOPOLL (Jeff Moyer) [2068237] - io_uring: fix fget leak when fs don't support nowait buffered read (Jeff Moyer) [2068237] - io_uring/poll: allow some retries for poll triggering spuriously (Jeff Moyer) [2068237] - io_uring: remove MSG_NOSIGNAL from recvmsg (Jeff Moyer) [2068237] - io_uring/rsrc: disallow multi-source reg buffers (Jeff Moyer) [2068237] - io_uring,audit: don't log IORING_OP_MADVISE (Jeff Moyer) [2068237] - io_uring: mark task TASK_RUNNING before handling resume/task work (Jeff Moyer) [2068237] - io_uring: add reschedule point to handle_tw_list() (Jeff Moyer) [2068237] - io_uring: add a conditional reschedule to the IOPOLL cancelation loop (Jeff Moyer) [2068237] - io_uring: use user visible tail in io_uring_poll() (Jeff Moyer) [2068237] - io_uring: handle TIF_NOTIFY_RESUME when checking for task_work (Jeff Moyer) [2068237] - io_uring: Replace 0-length array with flexible array (Jeff Moyer) [2068237] - io_uring: always prep_async for drain requests (Jeff Moyer) [2068237] - io_uring/net: cache provided buffer group value for multishot receives (Jeff Moyer) [2068237] - io_uring/poll: don't reissue in case of poll race on multishot request (Jeff Moyer) [2068237] - io_uring/msg_ring: fix remote queue to disabled ring (Jeff Moyer) [2068237] - io_uring/msg_ring: fix flagging remote execution (Jeff Moyer) [2068237] - io_uring/msg_ring: fix missing lock on overflow for IOPOLL (Jeff Moyer) [2068237] - io_uring/msg_ring: move double lock/unlock helpers higher up (Jeff Moyer) [2068237] - io_uring: lock overflowing for IOPOLL (Jeff Moyer) [2068237] - io_uring/poll: attempt request issue after racy poll wakeup (Jeff Moyer) [2068237] - io_uring/fdinfo: include locked hash table in fdinfo output (Jeff Moyer) [2068237] - io_uring/poll: add hash if ready poll request can't complete inline (Jeff Moyer) [2068237] - io_uring/io-wq: only free worker if it was allocated for creation (Jeff Moyer) [2068237] - io_uring: fix CQ waiting timeout handling (Jeff Moyer) [2068237] - io_uring: move 'poll_multi_queue' bool in io_ring_ctx (Jeff Moyer) [2068237] - io_uring: lockdep annotate CQ locking (Jeff Moyer) [2068237] - io_uring: pin context while queueing deferred tw (Jeff Moyer) [2068237] - io_uring/io-wq: free worker if task_work creation is canceled (Jeff Moyer) [2068237] - uapi:io_uring.h: allow linux/time_types.h to be skipped (Jeff Moyer) [2068237] - io_uring: check for valid register opcode earlier (Jeff Moyer) [2068237] - io_uring/cancel: re-grab ctx mutex after finishing wait (Jeff Moyer) [2068237] - io_uring: finish waiting before flushing overflow entries (Jeff Moyer) [2068237] - io_uring/net: fix cleanup after recycle (Jeff Moyer) [2068237] - io_uring/net: ensure compat import handlers clear free_iov (Jeff Moyer) [2068237] - io_uring: include task_work run after scheduling in wait for events (Jeff Moyer) [2068237] - io_uring: don't use TIF_NOTIFY_SIGNAL to test for availability of task_work (Jeff Moyer) [2068237] - io_uring: fix overflow handling regression (Jeff Moyer) [2068237] - io_uring: ease timeout flush locking requirements (Jeff Moyer) [2068237] - io_uring: revise completion_lock locking (Jeff Moyer) [2068237] - io_uring: protect cq_timeouts with timeout_lock (Jeff Moyer) [2068237] - io_uring/rw: enable bio caches for IRQ rw (Jeff Moyer) [2068237] - io_uring/msg_ring: flag target ring as having task_work, if needed (Jeff Moyer) [2068237] - io_uring: skip spinlocking for ->task_complete (Jeff Moyer) [2068237] - io_uring: do msg_ring in target task via tw (Jeff Moyer) [2068237] - io_uring: extract a io_msg_install_complete helper (Jeff Moyer) [2068237] - io_uring: get rid of double locking (Jeff Moyer) [2068237] - io_uring: never run tw and fallback in parallel (Jeff Moyer) [2068237] - io_uring: use tw for putting rsrc (Jeff Moyer) [2068237] - io_uring: force multishot CQEs into task context (Jeff Moyer) [2068237] - io_uring: complete all requests in task context (Jeff Moyer) [2068237] - io_uring: don't check overflow flush failures (Jeff Moyer) [2068237] - io_uring: skip overflow CQE posting for dying ring (Jeff Moyer) [2068237] - io_uring: improve io_double_lock_ctx fail handling (Jeff Moyer) [2068237] - io_uring: dont remove file from msg_ring reqs (Jeff Moyer) [2068237] - io_uring: reshuffle issue_flags (Jeff Moyer) [2068237] - io_uring: don't reinstall quiesce node for each tw (Jeff Moyer) [2068237] - io_uring: improve rsrc quiesce refs checks (Jeff Moyer) [2068237] - io_uring: don't raw spin unlock to match cq_lock (Jeff Moyer) [2068237] - io_uring: combine poll tw handlers (Jeff Moyer) [2068237] - io_uring: improve poll warning handling (Jeff Moyer) [2068237] - io_uring: remove ctx variable in io_poll_check_events (Jeff Moyer) [2068237] - io_uring: carve io_poll_check_events fast path (Jeff Moyer) [2068237] - io_uring: kill io_poll_issue's PF_EXITING check (Jeff Moyer) [2068237] - io_uring: keep unlock_post inlined in hot path (Jeff Moyer) [2068237] - io_uring: don't use complete_post in kbuf (Jeff Moyer) [2068237] - io_uring: spelling fix (Jeff Moyer) [2068237] - io_uring: remove io_req_complete_post_tw (Jeff Moyer) [2068237] - io_uring: allow multishot polled reqs to defer completion (Jeff Moyer) [2068237] - io_uring: remove overflow param from io_post_aux_cqe (Jeff Moyer) [2068237] - io_uring: add lockdep assertion in io_fill_cqe_aux (Jeff Moyer) [2068237] - io_uring: make io_fill_cqe_aux static (Jeff Moyer) [2068237] - io_uring: add io_aux_cqe which allows deferred completion (Jeff Moyer) [2068237] - io_uring: allow defer completion for aux posted cqes (Jeff Moyer) [2068237] - io_uring: defer all io_req_complete_failed (Jeff Moyer) [2068237] - io_uring: always lock in io_apoll_task_func (Jeff Moyer) [2068237] - io_uring: remove iopoll spinlock (Jeff Moyer) [2068237] - io_uring: iopoll protect complete_post (Jeff Moyer) [2068237] - io_uring: inline __io_req_complete_put() (Jeff Moyer) [2068237] - io_uring: remove io_req_tw_post_queue (Jeff Moyer) [2068237] - io_uring: use io_req_task_complete() in timeout (Jeff Moyer) [2068237] - io_uring: hold locks for io_req_complete_failed (Jeff Moyer) [2068237] - io_uring: add completion locking for iopoll (Jeff Moyer) [2068237] - io_uring: kill io_cqring_ev_posted() and __io_cq_unlock_post() (Jeff Moyer) [2068237] - Revert "io_uring: disallow self-propelled ring polling" (Jeff Moyer) [2068237] - io_uring: pass in EPOLL_URING_WAKE for eventfd signaling and wakeups (Jeff Moyer) [2068237] - eventfd: provide a eventfd_signal_mask() helper (Jeff Moyer) [2068237] - eventpoll: add EPOLL_URING_WAKE poll wakeup flag (Jeff Moyer) [2068237] - io_uring: inline __io_req_complete_post() (Jeff Moyer) [2068237] - io_uring: split tw fallback into a function (Jeff Moyer) [2068237] - io_uring: inline io_req_task_work_add() (Jeff Moyer) [2068237] - io_uring: update outdated comment of callbacks (Jeff Moyer) [2068237] - io_uring/poll: remove outdated comments of caching (Jeff Moyer) [2068237] - io_uring: allow multishot recv CQEs to overflow (Jeff Moyer) [2068237] - io_uring: revert "io_uring fix multishot accept ordering" (Jeff Moyer) [2068237] - io_uring: do not always force run task_work in io_uring_register (Jeff Moyer) [2068237] - io_uring: fix two assignments in if conditions (Jeff Moyer) [2068237] - io_uring/net: move mm accounting to a slower path (Jeff Moyer) [2068237] - io_uring: move zc reporting from the hot path (Jeff Moyer) [2068237] - io_uring/net: inline io_notif_flush() (Jeff Moyer) [2068237] - io_uring/net: rename io_uring_tx_zerocopy_callback (Jeff Moyer) [2068237] - io_uring/net: preset notif tw handler (Jeff Moyer) [2068237] - io_uring/net: remove extra notif rsrc setup (Jeff Moyer) [2068237] - io_uring: move kbuf put out of generic tw complete (Jeff Moyer) [2068237] - io_uring/net: introduce IORING_SEND_ZC_REPORT_USAGE flag (Jeff Moyer) [2068237] - net: shrink struct ubuf_info (Jeff Moyer) [2068237] - vhost/net: use struct ubuf_info_msgzc (Jeff Moyer) [2068237] - xen/netback: use struct ubuf_info_msgzc (Jeff Moyer) [2068237] - net: introduce struct ubuf_info_msgzc (Jeff Moyer) [2068237] - io_uring: Fix a null-ptr-deref in io_tctx_exit_cb() (Jeff Moyer) [2068237] - io_uring: clear TIF_NOTIFY_SIGNAL if set and task_work not available (Jeff Moyer) [2068237] - io_uring/poll: fix poll_refs race with cancelation (Jeff Moyer) [2068237] - io_uring/filetable: fix file reference underflow (Jeff Moyer) [2068237] - io_uring: make poll refs more robust (Jeff Moyer) [2068237] - io_uring: cmpxchg for poll arm refs release (Jeff Moyer) [2068237] - io_uring: disallow self-propelled ring polling (Jeff Moyer) [2068237] - io_uring: fix multishot recv request leaks (Jeff Moyer) [2068237] - io_uring: fix multishot accept request leaks (Jeff Moyer) [2068237] - io_uring: fix tw losing poll events (Jeff Moyer) [2068237] - io_uring: update res mask in io_poll_check_events (Jeff Moyer) [2068237] - io_uring/poll: lockdep annote io_poll_req_insert_locked (Jeff Moyer) [2068237] - io_uring/poll: fix double poll req->flags races (Jeff Moyer) [2068237] - io_uring: check for rollover of buffer ID when providing buffers (Jeff Moyer) [2068237] - io_uring: calculate CQEs from the user visible value (Jeff Moyer) [2068237] - io_uring: fix typo in io_uring.h comment (Jeff Moyer) [2068237] - io_uring: unlock if __io_run_local_work locked inside (Jeff Moyer) [2068237] - io_uring: use io_run_local_work_locked helper (Jeff Moyer) [2068237] - io_uring/net: fail zc sendmsg when unsupported by socket (Jeff Moyer) [2068237] - io_uring/net: fail zc send when unsupported by socket (Jeff Moyer) [2068237] - selftests/net: don't tests batched TCP io_uring zc (Jeff Moyer) [2068237] - net: also flag accepted sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237] - net/ulp: remove SOCK_SUPPORT_ZC from tls sockets (Jeff Moyer) [2068237] - net: remove SOCK_SUPPORT_ZC from sockmap (Jeff Moyer) [2068237] - udp: advertise ipv6 udp support for msghdr::ubuf_info (Jeff Moyer) [2068237] - net: flag sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237] - io-wq: Fix memory leak in worker creation (Jeff Moyer) [2068237] - io_uring/msg_ring: Fix NULL pointer dereference in io_msg_send_fd() (Jeff Moyer) [2068237] - io_uring/rw: remove leftover debug statement (Jeff Moyer) [2068237] - io_uring: don't iopoll from io_ring_ctx_wait_and_kill() (Jeff Moyer) [2068237] - io_uring: reuse io_alloc_req() (Jeff Moyer) [2068237] - io_uring: kill hot path fixed file bitmap debug checks (Jeff Moyer) [2068237] - io_uring: remove FFS_SCM (Jeff Moyer) [2068237] - io_uring/rw: ensure kiocb_end_write() is always called (Jeff Moyer) [2068237] - io_uring: fix fdinfo sqe offsets calculation (Jeff Moyer) [2068237] - io_uring: local variable rw shadows outer variable in io_write (Jeff Moyer) [2068237] - io_uring/opdef: remove 'audit_skip' from SENDMSG_ZC (Jeff Moyer) [2068237] - io_uring: optimise locking for local tw with submit_wait (Jeff Moyer) [2068237] - io_uring: remove redundant memory barrier in io_req_local_work_add (Jeff Moyer) [2068237] - io_uring/net: handle -EINPROGRESS correct for IORING_OP_CONNECT (Jeff Moyer) [2068237] - io_uring: remove notif leftovers (Jeff Moyer) [2068237] - io_uring: correct pinned_vm accounting (Jeff Moyer) [2068237] - io_uring/af_unix: defer registered files gc to io_uring release (Jeff Moyer) [2068237] - io_uring: limit registration w/ SINGLE_ISSUER (Jeff Moyer) [2068237] - io_uring: remove io_register_submitter (Jeff Moyer) [2068237] - io_uring: simplify __io_uring_add_tctx_node (Jeff Moyer) [2068237] - io_uring: Add missing inline to io_uring_cmd_import_fixed() dummy (Jeff Moyer) [2068237] - nvme: wire up fixed buffer support for nvme passthrough (Jeff Moyer) [2068237] - nvme: pass ubuffer as an integer (Jeff Moyer) [2068237] - nvme: refactor nvme_alloc_request (Jeff Moyer) [2068237] - nvme: refactor nvme_add_user_metadata (Jeff Moyer) [2068237] - scsi: Use blk_rq_map_user_io helper (Jeff Moyer) [2068237] - io_uring: introduce fixed buffer support for io_uring_cmd (Jeff Moyer) [2068237] - io_uring: add io_uring_cmd_import_fixed (Jeff Moyer) [2068237] - nvme: enable batched completions of passthrough IO (Jeff Moyer) [2068237] - nvme: split out metadata vs non metadata end_io uring_cmd completions (Jeff Moyer) [2068237] - io_uring/net: fix notif cqe reordering (Jeff Moyer) [2068237] - io_uring/net: don't update msg_name if not provided (Jeff Moyer) [2068237] - io_uring: don't gate task_work run on TIF_NOTIFY_SIGNAL (Jeff Moyer) [2068237] - io_uring/rw: defer fsnotify calls to task context (Jeff Moyer) [2068237] - io_uring/net: fix fast_iov assignment in io_setup_async_msg() (Jeff Moyer) [2068237] - io_uring/net: fix non-zc send with address (Jeff Moyer) [2068237] - io_uring/net: don't skip notifs for failed requests (Jeff Moyer) [2068237] - selftests/net: enable io_uring sendzc testing (Jeff Moyer) [2068237] - io_uring/rw: don't lose short results on io_setup_async_rw() (Jeff Moyer) [2068237] - io_uring/rw: fix unexpected link breakage (Jeff Moyer) [2068237] - io_uring/net: fix cleanup double free free_iov init (Jeff Moyer) [2068237] - io_uring: fix CQE reordering (Jeff Moyer) [2068237] - io_uring/net: fix UAF in io_sendrecv_fail() (Jeff Moyer) [2068237] - selftest/net: adjust io_uring sendzc notif handling (Jeff Moyer) [2068237] - io_uring: ensure local task_work marks task as running (Jeff Moyer) [2068237] - io_uring/net: zerocopy sendmsg (Jeff Moyer) [2068237] - io_uring/net: combine fail handlers (Jeff Moyer) [2068237] - io_uring/net: rename io_sendzc() (Jeff Moyer) [2068237] - io_uring/net: support non-zerocopy sendto (Jeff Moyer) [2068237] - io_uring/net: refactor io_setup_async_addr (Jeff Moyer) [2068237] - io_uring/net: don't lose partial send_zc on fail (Jeff Moyer) [2068237] - io_uring/net: don't lose partial send/recv on fail (Jeff Moyer) [2068237] - io_uring/rw: don't lose partial IO result on fail (Jeff Moyer) [2068237] - io_uring: add custom opcode hooks on fail (Jeff Moyer) [2068237] - io_uring/fdinfo: fix sqe dumping for IORING_SETUP_SQE128 (Jeff Moyer) [2068237] - io_uring/fdinfo: get rid of unnecessary is_cqe32 variable (Jeff Moyer) [2068237] - io_uring: remove unused return from io_disarm_next (Jeff Moyer) [2068237] - io_uring: add fast path for io_run_local_work() (Jeff Moyer) [2068237] - io_uring/iopoll: unify tw breaking logic (Jeff Moyer) [2068237] - io_uring/iopoll: fix unexpected returns (Jeff Moyer) [2068237] - io_uring: disallow defer-tw run w/ no submitters (Jeff Moyer) [2068237] - io_uring: further limit non-owner defer-tw cq waiting (Jeff Moyer) [2068237] - io_uring/net: use io_sr_msg for sendzc (Jeff Moyer) [2068237] - io_uring/net: refactor io_sr_msg types (Jeff Moyer) [2068237] - io_uring/net: add non-bvec sg chunking callback (Jeff Moyer) [2068237] - io_uring/net: io_async_msghdr caches for sendzc (Jeff Moyer) [2068237] - io_uring/net: use async caches for async prep (Jeff Moyer) [2068237] - io_uring/net: reshuffle error handling (Jeff Moyer) [2068237] - io_uring: use io_cq_lock consistently (Jeff Moyer) [2068237] - io_uring: kill an outdated comment (Jeff Moyer) [2068237] - io_uring: allow buffer recycling in READV (Jeff Moyer) [2068237] - fs: add batch and poll flags to the uring_cmd_iopoll() handler (Jeff Moyer) [2068237] - io_uring: ensure iopoll runs local task work as well (Jeff Moyer) [2068237] - io_uring: add local task_work run helper that is entered locked (Jeff Moyer) [2068237] - io_uring: cleanly separate request types for iopoll (Jeff Moyer) [2068237] - nvme: wire up async polling for io passthrough commands (Jeff Moyer) [2068237] - block: export blk_rq_is_poll (Jeff Moyer) [2068237] - io_uring: add iopoll infrastructure for io_uring_cmd (Jeff Moyer) [2068237] - fs: add file_operations->uring_cmd_iopoll (Jeff Moyer) [2068237] - io_uring: trace local task work run (Jeff Moyer) [2068237] - io_uring: signal registered eventfd to process deferred task work (Jeff Moyer) [2068237] - io_uring: move io_eventfd_put (Jeff Moyer) [2068237] - io_uring: add IORING_SETUP_DEFER_TASKRUN (Jeff Moyer) [2068237] - io_uring: do not run task work at the start of io_uring_enter (Jeff Moyer) [2068237] - io_uring: introduce io_has_work (Jeff Moyer) [2068237] - io_uring: remove unnecessary variable (Jeff Moyer) [2068237] - __io_setxattr(): constify path (Jeff Moyer) [2068237] - nvme/host: Use the enum req_op and blk_opf_t types (Jeff Moyer) [2068237] - nvme: enable uring-passthrough for admin commands (Jeff Moyer) [2068237] - nvme: helper for uring-passthrough checks (Jeff Moyer) [2068237] - net: fix kdoc on __dev_queue_xmit() (Jeff Moyer) [2068237] - tcp: add missing tcp_skb_can_collapse() test in tcp_shift_skb_data() (Jeff Moyer) [2068237] - io_uring/poll: disable level triggered poll (Jeff Moyer) [2068237] - io_uring: register single issuer task at creation (Jeff Moyer) [2068237] - io_uring: ensure that cached task references are always put on exit (Jeff Moyer) [2068237] - io_uring/opdef: rename SENDZC_NOTIF to SEND_ZC (Jeff Moyer) [2068237] - io_uring/net: fix zc fixed buf lifetime (Jeff Moyer) [2068237] - io_uring/msg_ring: check file type before putting (Jeff Moyer) [2068237] - io_uring/rw: fix error'ed retry return values (Jeff Moyer) [2068237] - io_uring/rw: fix short rw error handling (Jeff Moyer) [2068237] - io_uring/net: copy addr for zc on POLL_FIRST (Jeff Moyer) [2068237] - io_uring: recycle kbuf recycle on tw requeue (Jeff Moyer) [2068237] - io_uring/kbuf: fix not advancing READV kbuf ring (Jeff Moyer) [2068237] - io_uring/notif: Remove the unused function io_notif_complete() (Jeff Moyer) [2068237] - selftests/net: return back io_uring zc send tests (Jeff Moyer) [2068237] - io_uring/net: simplify zerocopy send user API (Jeff Moyer) [2068237] - io_uring/notif: remove notif registration (Jeff Moyer) [2068237] - Revert "io_uring: rename IORING_OP_FILES_UPDATE" (Jeff Moyer) [2068237] - Revert "io_uring: add zc notification flush requests" (Jeff Moyer) [2068237] - selftests/net: temporarily disable io_uring zc test (Jeff Moyer) [2068237] - io_uring/net: fix overexcessive retries (Jeff Moyer) [2068237] - lsm,io_uring: add LSM hooks for the new uring_cmd file op (Jeff Moyer) [2068237] - io_uring/net: save address for sendzc async execution (Jeff Moyer) [2068237] - io_uring: conditional ->async_data allocation (Jeff Moyer) [2068237] - io_uring/notif: order notif vs send CQEs (Jeff Moyer) [2068237] - io_uring/net: fix indentation (Jeff Moyer) [2068237] - io_uring/net: fix zc send link failing (Jeff Moyer) [2068237] - io_uring/net: fix must_hold annotation (Jeff Moyer) [2068237] - io_uring: fix submission-failure handling for uring-cmd (Jeff Moyer) [2068237] - io_uring: fix off-by-one in sync cancelation file check (Jeff Moyer) [2068237] - io_uring: uapi: Add `extern "C"` in io_uring.h for liburing (Jeff Moyer) [2068237] - MAINTAINERS: Add `include/linux/io_uring_types.h` (Jeff Moyer) [2068237] - io_uring/net: use right helpers for async_data (Jeff Moyer) [2068237] - io_uring/notif: raise limit on notification slots (Jeff Moyer) [2068237] - io_uring/net: improve zc addr import error handling (Jeff Moyer) [2068237] - io_uring/net: use right helpers for async recycle (Jeff Moyer) [2068237] - io_uring: add missing BUILD_BUG_ON() checks for new io_uring_sqe fields (Jeff Moyer) [2068237] - io_uring: make io_kiocb_to_cmd() typesafe (Jeff Moyer) [2068237] - io_uring: consistently make use of io_notif_to_data() (Jeff Moyer) [2068237] - io_uring: fix error handling for io_uring_cmd (Jeff Moyer) [2068237] - io_uring: fix io_recvmsg_prep_multishot sparse warnings (Jeff Moyer) [2068237] - io_uring/net: send retry for zerocopy (Jeff Moyer) [2068237] - io_uring: mem-account pbuf buckets (Jeff Moyer) [2068237] - audit, io_uring, io-wq: Fix memory leak in io_sq_thread() and io_wqe_worker() (Jeff Moyer) [2068237] - io_uring: pass correct parameters to io_req_set_res (Jeff Moyer) [2068237] - io_uring: notification completion optimisation (Jeff Moyer) [2068237] - io_uring: export req alloc from core (Jeff Moyer) [2068237] - io_uring/net: use unsigned for flags (Jeff Moyer) [2068237] - io_uring/net: make page accounting more consistent (Jeff Moyer) [2068237] - io_uring/net: checks errors of zc mem accounting (Jeff Moyer) [2068237] - io_uring/net: improve io_get_notif_slot types (Jeff Moyer) [2068237] - selftests/io_uring: test zerocopy send (Jeff Moyer) [2068237] - io_uring: enable managed frags with register buffers (Jeff Moyer) [2068237] - io_uring: add zc notification flush requests (Jeff Moyer) [2068237] - io_uring: rename IORING_OP_FILES_UPDATE (Jeff Moyer) [2068237] - io_uring: flush notifiers after sendzc (Jeff Moyer) [2068237] - io_uring: sendzc with fixed buffers (Jeff Moyer) [2068237] - io_uring: allow to pass addr into sendzc (Jeff Moyer) [2068237] - io_uring: account locked pages for non-fixed zc (Jeff Moyer) [2068237] - io_uring: wire send zc request type (Jeff Moyer) [2068237] - io_uring: add notification slot registration (Jeff Moyer) [2068237] - io_uring: add rsrc referencing for notifiers (Jeff Moyer) [2068237] - io_uring: complete notifiers in tw (Jeff Moyer) [2068237] - io_uring: cache struct io_notif (Jeff Moyer) [2068237] - io_uring: add zc notification infrastructure (Jeff Moyer) [2068237] - io_uring: export io_put_task() (Jeff Moyer) [2068237] - io_uring: initialise msghdr::msg_ubuf (Jeff Moyer) [2068237] - net: fix uninitialised msghdr->sg_from_iter (Jeff Moyer) [2068237] - tcp: support externally provided ubufs (Jeff Moyer) [2068237] - tcp: take care of mixed splice()/sendmsg(MSG_ZEROCOPY) case (Jeff Moyer) [2068237] - tcp: fix mem under-charging with zerocopy sendmsg() (Jeff Moyer) [2068237] - ipv6/udp: support externally provided ubufs (Jeff Moyer) [2068237] - ipv4/udp: support externally provided ubufs (Jeff Moyer) [2068237] - net: introduce managed frags infrastructure (Jeff Moyer) [2068237] - net: Allow custom iter handler in msghdr (Jeff Moyer) [2068237] - skbuff: carry external ubuf_info in msghdr (Jeff Moyer) [2068237] - skbuff: add SKBFL_DONT_ORPHAN flag (Jeff Moyer) [2068237] - net: avoid double accounting for pure zerocopy skbs (Jeff Moyer) [2068237] - tcp: rename sk_wmem_free_skb (Jeff Moyer) [2068237] - skbuff: don't mix ubuf_info from different sources (Jeff Moyer) [2068237] - ipv6: avoid partial copy for zc (Jeff Moyer) [2068237] - ipv4: avoid partial copy for zc (Jeff Moyer) [2068237] - ipv6: refactor ip6_finish_output2() (Jeff Moyer) [2068237] - ipv6: help __ip6_finish_output() inlining (Jeff Moyer) [2068237] - net: inline dev_queue_xmit() (Jeff Moyer) [2068237] - net: inline skb_zerocopy_iter_dgram (Jeff Moyer) [2068237] - net: inline sock_alloc_send_skb (Jeff Moyer) [2068237] - xfs: Add async buffered write support (Jeff Moyer) [2068237] - xfs: Specify lockmode when calling xfs_ilock_for_iomap() (Jeff Moyer) [2068237] - xfs: Use generic_file_open() (Jeff Moyer) [2068237] - xfs: convert inode lock flags to unsigned. (Jeff Moyer) [2068237] - io_uring: Add tracepoint for short writes (Jeff Moyer) [2068237] - io_uring: fix issue with io_write() not always undoing sb_start_write() (Jeff Moyer) [2068237] - io_uring: Add support for async buffered writes (Jeff Moyer) [2068237] - fs: Add async write file modification handling. (Jeff Moyer) [2068237] - fs: Split off inode_needs_update_time and __file_update_time (Jeff Moyer) [2068237] - fs: __file_remove_privs(): restore call to inode_has_no_xattr() (Jeff Moyer) [2068237] - fs: add __remove_file_privs() with flags parameter (Jeff Moyer) [2068237] - fs: add a FMODE_BUF_WASYNC flags for f_mode (Jeff Moyer) [2068237] - iomap: Return -EAGAIN from iomap_write_iter() (Jeff Moyer) [2068237] - iomap: Add async buffered write support (Jeff Moyer) [2068237] - iomap: Add flags parameter to iomap_page_create() (Jeff Moyer) [2068237] - io_uring: ensure REQ_F_ISREG is set async offload (Jeff Moyer) [2068237] - net: fix compat pointer in get_compat_msghdr() (Jeff Moyer) [2068237] - io_uring: fix types in io_recvmsg_multishot_overflow (Jeff Moyer) [2068237] - io_uring: support multishot in recvmsg (Jeff Moyer) [2068237] - net: copy from user before calling __get_compat_msghdr (Jeff Moyer) [2068237] - net: copy from user before calling __copy_msghdr (Jeff Moyer) [2068237] - io_uring: Don't require reinitable percpu_ref (Jeff Moyer) [2068237] - io_uring: Use atomic_long_try_cmpxchg in __io_account_mem (Jeff Moyer) [2068237] - io_uring: support 0 length iov in buffer select in compat (Jeff Moyer) [2068237] - io_uring: fix multishot ending when not polled (Jeff Moyer) [2068237] - io_uring: add netmsg cache (Jeff Moyer) [2068237] - io_uring: impose max limit on apoll cache (Jeff Moyer) [2068237] - io_uring: add abstraction around apoll cache (Jeff Moyer) [2068237] - io_uring: move apoll cache to poll.c (Jeff Moyer) [2068237] - io_uring: consolidate hash_locked io-wq handling (Jeff Moyer) [2068237] - io_uring: clear REQ_F_HASH_LOCKED on hash removal (Jeff Moyer) [2068237] - io_uring: don't race double poll setting REQ_F_ASYNC_DATA (Jeff Moyer) [2068237] - io_uring: don't miss setting REQ_F_DOUBLE_POLL (Jeff Moyer) [2068237] - io_uring: disable multishot recvmsg (Jeff Moyer) [2068237] - io_uring: only trace one of complete or overflow (Jeff Moyer) [2068237] - io_uring: fix io_uring_cqe_overflow trace format (Jeff Moyer) [2068237] - io_uring: multishot recv (Jeff Moyer) [2068237] - io_uring: fix multishot accept ordering (Jeff Moyer) [2068237] - io_uring: fix multishot poll on overflow (Jeff Moyer) [2068237] - io_uring: add allow_overflow to io_post_aux_cqe (Jeff Moyer) [2068237] - io_uring: add IOU_STOP_MULTISHOT return code (Jeff Moyer) [2068237] - io_uring: clean up io_poll_check_events return values (Jeff Moyer) [2068237] - io_uring: recycle buffers on error (Jeff Moyer) [2068237] - io_uring: allow iov_len = 0 for recvmsg and buffer select (Jeff Moyer) [2068237] - io_uring: restore bgid in io_put_kbuf (Jeff Moyer) [2068237] - io_uring: allow 0 length for buffer select (Jeff Moyer) [2068237] - io_uring: let to set a range for file slot allocation (Jeff Moyer) [2068237] - io_uring: add support for passing fixed file descriptors (Jeff Moyer) [2068237] - io_uring: split out fixed file installation and removal (Jeff Moyer) [2068237] - io_uring: replace zero-length array with flexible-array member (Jeff Moyer) [2068237] - io_uring: remove ctx->refs pinning on enter (Jeff Moyer) [2068237] - io_uring: don't check file ops of registered rings (Jeff Moyer) [2068237] - io_uring: remove extra TIF_NOTIFY_SIGNAL check (Jeff Moyer) [2068237] - io_uring: fuse fallback_node and normal tw node (Jeff Moyer) [2068237] - io_uring: improve io_fail_links() (Jeff Moyer) [2068237] - io_uring: move POLLFREE handling to separate function (Jeff Moyer) [2068237] - io_uring: kbuf: inline io_kbuf_recycle_ring() (Jeff Moyer) [2068237] - io_uring: optimise submission side poll_refs (Jeff Moyer) [2068237] - io_uring: refactor poll arm error handling (Jeff Moyer) [2068237] - io_uring: change arm poll return values (Jeff Moyer) [2068237] - io_uring: add a helper for apoll alloc (Jeff Moyer) [2068237] - io_uring: remove events caching atavisms (Jeff Moyer) [2068237] - io_uring: clean poll ->private flagging (Jeff Moyer) [2068237] - io_uring: add sync cancelation API through io_uring_register() (Jeff Moyer) [2068237] - io_uring: add IORING_ASYNC_CANCEL_FD_FIXED cancel flag (Jeff Moyer) [2068237] - io_uring: have cancelation API accept io_uring_task directly (Jeff Moyer) [2068237] - io_uring: kbuf: kill __io_kbuf_recycle() (Jeff Moyer) [2068237] - io_uring: trace task_work_run (Jeff Moyer) [2068237] - io_uring: add trace event for running task work (Jeff Moyer) [2068237] - io_uring: batch task_work (Jeff Moyer) [2068237] - io_uring: introduce llist helpers (Jeff Moyer) [2068237] - io_uring: lockless task list (Jeff Moyer) [2068237] - io_uring: remove __io_req_task_work_add (Jeff Moyer) [2068237] - io_uring: remove priority tw list optimisation (Jeff Moyer) [2068237] - io_uring: dedup io_run_task_work (Jeff Moyer) [2068237] - io_uring: move list helpers to a separate file (Jeff Moyer) [2068237] - io_uring: improve io_run_task_work() (Jeff Moyer) [2068237] - io_uring: optimize io_uring_task layout (Jeff Moyer) [2068237] - io_uring: add a warn_once for poll_find (Jeff Moyer) [2068237] - io_uring: consistent naming for inline completion (Jeff Moyer) [2068237] - io_uring: move io_import_fixed() (Jeff Moyer) [2068237] - io_uring: opcode independent fixed buf import (Jeff Moyer) [2068237] - io_uring: add io_commit_cqring_flush() (Jeff Moyer) [2068237] - io_uring: introduce locking helpers for CQE posting (Jeff Moyer) [2068237] - io_uring: hide eventfd assumptions in eventfd paths (Jeff Moyer) [2068237] - io_uring: fix io_poll_remove_all clang warnings (Jeff Moyer) [2068237] - io_uring: improve task exit timeout cancellations (Jeff Moyer) [2068237] - io_uring: fix multi ctx cancellation (Jeff Moyer) [2068237] - io_uring: remove ->flush_cqes optimisation (Jeff Moyer) [2068237] - io_uring: move io_eventfd_signal() (Jeff Moyer) [2068237] - io_uring: reshuffle io_uring/io_uring.h (Jeff Moyer) [2068237] - io_uring: remove extra io_commit_cqring() (Jeff Moyer) [2068237] - io_uring: move a few private types to local headers (Jeff Moyer) [2068237] - io_uring: clean up tracing events (Jeff Moyer) [2068237] - io_uring: make io_uring_types.h public (Jeff Moyer) [2068237] - io_uring: kill extra io_uring_types.h includes (Jeff Moyer) [2068237] - io_uring: change ->cqe_cached invariant for CQE32 (Jeff Moyer) [2068237] - io_uring: deduplicate io_get_cqe() calls (Jeff Moyer) [2068237] - io_uring: deduplicate __io_fill_cqe_req tracing (Jeff Moyer) [2068237] - io_uring: introduce io_req_cqe_overflow() (Jeff Moyer) [2068237] - io_uring: don't inline __io_get_cqe() (Jeff Moyer) [2068237] - io_uring: don't expose io_fill_cqe_aux() (Jeff Moyer) [2068237] - io_uring: kbuf: add comments for some tricky code (Jeff Moyer) [2068237] - io_uring: mutex locked poll hashing (Jeff Moyer) [2068237] - io_uring: propagate locking state to poll cancel (Jeff Moyer) [2068237] - io_uring: introduce a struct for hash table (Jeff Moyer) [2068237] - io_uring: pass hash table into poll_find (Jeff Moyer) [2068237] - io_uring: add IORING_SETUP_SINGLE_ISSUER (Jeff Moyer) [2068237] - io_uring: use state completion infra for poll reqs (Jeff Moyer) [2068237] - io_uring: clean up io_ring_ctx_alloc (Jeff Moyer) [2068237] - io_uring: limit the number of cancellation buckets (Jeff Moyer) [2068237] - io_uring: clean up io_try_cancel (Jeff Moyer) [2068237] - io_uring: pass poll_find lock back (Jeff Moyer) [2068237] - io_uring: switch cancel_hash to use per entry spinlock (Jeff Moyer) [2068237] - io_uring: poll: remove unnecessary req->ref set (Jeff Moyer) [2068237] - io_uring: don't inline io_put_kbuf (Jeff Moyer) [2068237] - io_uring: refactor io_req_task_complete() (Jeff Moyer) [2068237] - io_uring: kill REQ_F_COMPLETE_INLINE (Jeff Moyer) [2068237] - io_uring: rw: delegate sync completions to core io_uring (Jeff Moyer) [2068237] - io_uring: remove unused IO_REQ_CACHE_SIZE defined (Jeff Moyer) [2068237] - io_uring: don't set REQ_F_COMPLETE_INLINE in tw (Jeff Moyer) [2068237] - io_uring: remove check_cq checking from hot paths (Jeff Moyer) [2068237] - io_uring: never defer-complete multi-apoll (Jeff Moyer) [2068237] - io_uring: inline ->registered_rings (Jeff Moyer) [2068237] - io_uring: explain io_wq_work::cancel_seq placement (Jeff Moyer) [2068237] - io_uring: move small helpers to headers (Jeff Moyer) [2068237] - io_uring: refactor ctx slow data placement (Jeff Moyer) [2068237] - io_uring: better caching for ctx timeout fields (Jeff Moyer) [2068237] - io_uring: move defer_list to slow data (Jeff Moyer) [2068237] - io_uring: make reg buf init consistent (Jeff Moyer) [2068237] - io_uring: deprecate epoll_ctl support (Jeff Moyer) [2068237] - io_uring: add support for level triggered poll (Jeff Moyer) [2068237] - io_uring: move opcode table to opdef.c (Jeff Moyer) [2068237] - io_uring: move read/write related opcodes to its own file (Jeff Moyer) [2068237] - io_uring: move remaining file table manipulation to filetable.c (Jeff Moyer) [2068237] - io_uring: move rsrc related data, core, and commands (Jeff Moyer) [2068237] - io_uring: split provided buffers handling into its own file (Jeff Moyer) [2068237] - io_uring: move cancelation into its own file (Jeff Moyer) [2068237] - io_uring: move poll handling into its own file (Jeff Moyer) [2068237] - io_uring: add opcode name to io_op_defs (Jeff Moyer) [2068237] - io_uring: include and forward-declaration sanitation (Jeff Moyer) [2068237] - io_uring: move io_uring_task (tctx) helpers into its own file (Jeff Moyer) [2068237] - io_uring: move fdinfo helpers to its own file (Jeff Moyer) [2068237] - io_uring: use io_is_uring_fops() consistently (Jeff Moyer) [2068237] - io_uring: move SQPOLL related handling into its own file (Jeff Moyer) [2068237] - io_uring: move timeout opcodes and handling into its own file (Jeff Moyer) [2068237] - io_uring: move our reference counting into a header (Jeff Moyer) [2068237] - io_uring: move msg_ring into its own file (Jeff Moyer) [2068237] - io_uring: split network related opcodes into its own file (Jeff Moyer) [2068237] - io_uring: move statx handling to its own file (Jeff Moyer) [2068237] - io_uring: move epoll handler to its own file (Jeff Moyer) [2068237] - io_uring: add a dummy -EOPNOTSUPP prep handler (Jeff Moyer) [2068237] - io_uring: move uring_cmd handling to its own file (Jeff Moyer) [2068237] - io_uring: split out open/close operations (Jeff Moyer) [2068237] - io_uring: separate out file table handling code (Jeff Moyer) [2068237] - io_uring: split out fadvise/madvise operations (Jeff Moyer) [2068237] - io_uring: split out fs related sync/fallocate functions (Jeff Moyer) [2068237] - io_uring: split out splice related operations (Jeff Moyer) [2068237] - io_uring: split out filesystem related operations (Jeff Moyer) [2068237] - io_uring: move nop into its own file (Jeff Moyer) [2068237] - io_uring: move xattr related opcodes to its own file (Jeff Moyer) [2068237] - io_uring: handle completions in the core (Jeff Moyer) [2068237] - io_uring: set completion results upfront (Jeff Moyer) [2068237] - io_uring: add io_uring_types.h (Jeff Moyer) [2068237] - io_uring: define a request type cleanup handler (Jeff Moyer) [2068237] - io_uring: unify struct io_symlink and io_hardlink (Jeff Moyer) [2068237] - io_uring: convert iouring_cmd to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert xattr to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert rsrc_update to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert msg and nop to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert splice to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert epoll to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert file system request types to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert madvise/fadvise to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert open/close path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert timeout path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert cancel path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert the sync and fallocate paths to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert net related opcodes to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: remove recvmsg knowledge from io_arm_poll_handler() (Jeff Moyer) [2068237] - io_uring: convert poll_update path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert poll path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert read/write path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: add generic command payload type to struct io_kiocb (Jeff Moyer) [2068237] - io_uring: move req async preparation into opcode handler (Jeff Moyer) [2068237] - io_uring: move to separate directory (Jeff Moyer) [2068237] - io_uring: define a 'prep' and 'issue' handler for each opcode (Jeff Moyer) [2068237] - fs: export rw_verify_area() (Jeff Moyer) [2068237] - thermal/drivers/imx8mm: Add hwmon support (Steve Best) [2185128] - thermal/drivers/imx: Add support for loading calibration data from OCOTP (Steve Best) [2185128] - thermal/drivers/imx8mm_thermal: Validate temperature range (Steve Best) [2185128] - thermal/drivers/imx8mm_thermal: Use GENMASK() when appropriate (Steve Best) [2185128] - tools/power turbostat: update turbostat.8 (David Arcari) [2185900] - tools/power turbostat: version 2023.03.17 (David Arcari) [2185900] - tools/power turbostat: fix decoding of HWP_STATUS (David Arcari) [2185900] - tools/power turbostat: Introduce support for EMR (David Arcari) [2185900] - tools/power turbostat: remove stray newlines from warn/warnx strings (David Arcari) [2185900] - tools/power turbostat: Fix /dev/cpu_dma_latency warnings (David Arcari) [2185900] - tools/power turbostat: Provide better debug messages for failed capabilities accesses (David Arcari) [2185900] - tools/power turbostat: update dump of SECONDARY_TURBO_RATIO_LIMIT (David Arcari) [2185900] - tools/power turbostat: version 2022.10.04 (David Arcari) [2185900] - tools/power turbostat: Use standard Energy Unit for SPR Dram RAPL domain (David Arcari) [2185900] - tools/power turbostat: Do not dump TRL if turbo is not supported (David Arcari) [2185900] - cpufreq: intel_pstate: remove MODULE_LICENSE in non-modules (David Arcari) [2185879] - cpufreq: intel_pstate: Adjust balance_performance EPP for Sapphire Rapids (David Arcari) [2185879] - cpufreq: intel_pstate: Drop ACPI _PSS states table patching (David Arcari) [2185879] - cpufreq: intel_pstate: Allow EPP 0x80 setting by the firmware (David Arcari) [2185879] - cpufreq: intel_pstate: Add Tigerlake support in no-HWP mode (David Arcari) [2185879] - i2c: imx-lpi2c: check only for enabled interrupt flags (Steve Best) [2184917] - i2c: imx-lpi2c: clean rx/tx buffers upon new message (Steve Best) [2184917] - i2c: imx-lpi2c: use bulk clk API (Steve Best) [2184917] - i2c: move drivers from strlcpy to strscpy (Steve Best) [2184917] - i2c: brcmstb: Use dev_name() for adapter name (Steve Best) [2184917] Resolves: rhbz#2068237, rhbz#2122229, rhbz#2162219, rhbz#2170014, rhbz#2184917, rhbz#2185128, rhbz#2185130, rhbz#2185879, rhbz#2185900, rhbz#2186163, rhbz#2189406, rhbz#2189867, rhbz#2190323, rhbz#2192404, rhbz#2192546 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-17 10:11:09 +00:00
CONFIG_DELL_WMI_PRIVACY=y
CONFIG_DELL_WMI_SYSMAN=m
CONFIG_DETECT_HUNG_TASK=y
CONFIG_DEV_DAX_HMEM=m
CONFIG_DEV_DAX_KMEM=m
CONFIG_DEV_DAX=m
CONFIG_DEV_DAX_PMEM_COMPAT=m
CONFIG_DEV_DAX_PMEM=m
CONFIG_DEVICE_PRIVATE=y
CONFIG_DEVMEM=y
# CONFIG_DEVPORT is not set
CONFIG_DEVTMPFS_MOUNT=y
kernel-5.14.0-132.el9 * Tue Jul 19 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-132.el9] - SUNRPC: Don't leak sockets in xs_local_connect() (Jeffrey Layton) [2089430] - SUNRPC: clean up some inconsistent indenting (Jeffrey Layton) [2089430] - SUNRPC: Ensure we flush any closed sockets before xs_xprt_free() (Jeffrey Layton) [2089430] - SUNRPC: Don't call connect() more than once on a TCP socket (Jeffrey Layton) [2089430] - kdump: round up the total memory size to 128M for crashkernel reservation (Tao Liu) [2058040] - topology/sysfs: Add PPIN in sysfs under cpu topology (Mark Langsdorf) [2067284] - x86/cpu: Read/save PPIN MSR during initialization (Mark Langsdorf) [2067284] - x86/cpu: Merge Intel and AMD ppin_init() functions (Mark Langsdorf) [2067284] - x86/build: Use the proper name CONFIG_FW_LOADER (Mark Langsdorf) [2067252] - gpio: mockup: Convert to use software nodes (Mark Langsdorf) [2067252] - of: unittest: update text of expected warnings (Mark Langsdorf) [2067284] - rtc: mc146818-lib: fix signedness bug in mc146818_get_time() (Mark Langsdorf) [2067284] - topology/sysfs: get rid of htmldoc warning (Mark Langsdorf) [2067284] - topology: Fix up build warning in topology_is_visible() (Mark Langsdorf) [2067284] - arch_topology: Do not set llc_sibling if llc_id is invalid (Mark Langsdorf) [2067284] - topology/sysfs: Hide PPIN on systems that do not support it. (Mark Langsdorf) [2067284] - regmap: allow a defined reg_base to be added to every address (Mark Langsdorf) [2067284] - regmap: add configurable downshift for addresses (Mark Langsdorf) [2067284] - drivers/base/dd.c : Remove the initial value of the global variable (Mark Langsdorf) [2067284] - devres: fix typos in comments (Mark Langsdorf) [2067284] - base: soc: Make soc_device_match() simpler and easier to read (Mark Langsdorf) [2067284] - driver core: dd: fix return value of __setup handler (Mark Langsdorf) [2067284] - driver core: Refactor sysfs and drv/bus remove hooks (Mark Langsdorf) [2067284] - driver core: Refactor multiple copies of device cleanup (Mark Langsdorf) [2067284] - arch_topology: obtain cpu capacity using information from CPPC (Mark Langsdorf) [2067284] - PM: core: keep irq flags in device_pm_check_callbacks() (Mark Langsdorf) [2067284] - PM: sleep: Add device name to suspend_report_result() (Mark Langsdorf) [2067284] - PM: runtime: Have devm_pm_runtime_enable() handle pm_runtime_dont_use_autosuspend() (Mark Langsdorf) [2067284] - PM: sleep: wakeup: Fix typos in comments (Mark Langsdorf) [2067284] - PM: domains: Fix sleep-in-atomic bug caused by genpd_debug_remove() (Mark Langsdorf) [2067284] - PM: domains: use dev_err_probe() to simplify error handling (Mark Langsdorf) [2067284] - PM: domains: Prevent power off for parent unless child is in deepest state (Mark Langsdorf) [2067284] - firmware_loader: EXTRA_FIRMWARE does not support compressed files (Mark Langsdorf) [2067284] - driver core: cleanup double words comments (Mark Langsdorf) [2067284] - device property: Don't split fwnode_get_irq*() APIs in the code (Mark Langsdorf) [2067284] - regmap: irq: cleanup comments (Mark Langsdorf) [2067284] - platform: use dev_err_probe() in platform_get_irq_byname() (Mark Langsdorf) [2067284] - devtmpfs: drop redundant fs parameters from internal fs (Mark Langsdorf) [2067284] - topology/sysfs: Add format parameter to macro defining "show" functions for proc (Mark Langsdorf) [2067284] - component: Replace most references to 'master' with 'aggregate device' (Mark Langsdorf) [2067284] - device property: Add fwnode_irq_get_byname (Mark Langsdorf) [2067284] - regmap-irq: Fix typo in comment (Mark Langsdorf) [2067284] - driver core: Free DMA range map when device is released (Mark Langsdorf) [2067284] - regmap-irq: Update interrupt clear register for proper reset (Mark Langsdorf) [2067284] - PM: s2idle: ACPI: Fix wakeup interrupts handling (Mark Langsdorf) [2067284] - devtmpfs regression fix: reconfigure on each mount (Mark Langsdorf) [2067284] - regmap: debugfs: Fix indentation (Mark Langsdorf) [2067284] - regmap: Call regmap_debugfs_exit() prior to _init() (Mark Langsdorf) [2067284] - driver core: Make bus notifiers in right order in really_probe() (Mark Langsdorf) [2067284] - driver core: Move driver_sysfs_remove() after driver_sysfs_add() (Mark Langsdorf) [2067284] - headers/uninline: Uninline single-use function: kobject_has_children() (Mark Langsdorf) [2067284] - redhat: enable CONFIG_DEVTMPFS_SAFE (Mark Langsdorf) [2067284] - devtmpfs: mount with noexec and nosuid (Mark Langsdorf) [2067284] - driver core: Simplify async probe test code by using ktime_ms_delta() (Mark Langsdorf) [2067284] - kobject: remove kset from struct kset_uevent_ops callbacks (Mark Langsdorf) [2067284] - driver core: make kobj_type constant. (Mark Langsdorf) [2067284] - PM: runtime: Simplify locking in pm_runtime_put_suppliers() (Mark Langsdorf) [2067284] - software node: fix wrong node passed to find nargs_prop (Mark Langsdorf) [2067284] - driver core: platform: document registration-failure requirement (Mark Langsdorf) [2067284] - device property: Add fwnode_iomap() (Mark Langsdorf) [2067284] - device property: Drop fwnode_graph_get_remote_node() (Mark Langsdorf) [2067284] - device property: Use fwnode_graph_for_each_endpoint() macro (Mark Langsdorf) [2067284] - device property: Implement fwnode_graph_get_endpoint_count() (Mark Langsdorf) [2067284] - device property: Fix documentation for FWNODE_GRAPH_DEVICE_DISABLED (Mark Langsdorf) [2067284] - device property: Fix fwnode_graph_devcon_match() fwnode leak (Mark Langsdorf) [2067284] - PM: runtime: Add safety net to supplier device release (Mark Langsdorf) [2067284] - PM: runtime: Capture device status before disabling runtime PM (Mark Langsdorf) [2067284] - rtc: Check return value from mc146818_get_time() (Mark Langsdorf) [2067284] - rtc: mc146818-lib: change return values of mc146818_get_time() (Mark Langsdorf) [2067284] - rtc: cmos: take rtc_lock while reading from CMOS (Mark Langsdorf) [2067284] - device property: Check fwnode->secondary when finding properties (Mark Langsdorf) [2067284] - Documentation/auxiliary_bus: Move the text into the code (Mark Langsdorf) [2067284] - Documentation/auxiliary_bus: Clarify the release of devices from find device (Mark Langsdorf) [2067284] - Documentation/auxiliary_bus: Clarify __auxiliary_driver_register (Mark Langsdorf) [2067284] - Documentation/auxiliary_bus: Clarify auxiliary_device creation (Mark Langsdorf) [2067284] - topology/sysfs: rework book and drawer topology ifdefery (Mark Langsdorf) [2067284] - topology/sysfs: export cluster attributes only if an architectures has support (Mark Langsdorf) [2067284] - topology/sysfs: export die attributes only if an architectures has support (Mark Langsdorf) [2067284] - driver core: platform: Make use of the helper function dev_err_probe() (Mark Langsdorf) [2067284] - device property: Remove device_add_properties() API (Mark Langsdorf) [2067284] - PCI: Convert to device_create_managed_software_node() (Mark Langsdorf) [2067284] - driver core: Don't call device_remove_properties() from device_del() (Mark Langsdorf) [2067284] - arch_topology: Remove unused topology_set_thermal_pressure() and related (Mark Langsdorf) [2067284] - thermal: cpufreq_cooling: Use new thermal pressure update function (Mark Langsdorf) [2067284] - arch_topology: Introduce thermal pressure update function (Mark Langsdorf) [2067284] - regmap: allow to define reg_update_bits for no bus configuration (Mark Langsdorf) [2067284] - PM: sleep: Fix error handling in dpm_prepare() (Mark Langsdorf) [2067252] - arch_numa: simplify numa_distance allocation (Mark Langsdorf) [2067252] - arm64: support page mapping percpu first chunk allocator (Mark Langsdorf) [2067252] - PM: sleep: Avoid calling put_device() under dpm_list_mtx (Mark Langsdorf) [2067252] - PM: sleep: Fix runtime PM based cpuidle support (Mark Langsdorf) [2067252] - PM / wakeirq: support enabling wake-up irq after runtime_suspend called (Mark Langsdorf) [2067252] - device property: Drop redundant NULL checks (Mark Langsdorf) [2067252] - PM: sleep: Pause cpuidle later and resume it earlier during system transitions (Mark Langsdorf) [2067252] - PM: suspend: Do not pause cpuidle in the suspend-to-idle path (Mark Langsdorf) [2067252] - regmap: spi: Set regmap max raw r/w from max_transfer_size (Mark Langsdorf) [2067252] - PM: sleep: Do not let "syscore" devices runtime-suspend during system transitions (Mark Langsdorf) [2067252] - x86/build: Tuck away built-in firmware under FW_LOADER (Mark Langsdorf) [2067252] - vmlinux.lds.h: wrap built-in firmware support under FW_LOADER (Mark Langsdorf) [2067252] - firmware_loader: move struct builtin_fw to the only place used (Mark Langsdorf) [2067252] - x86/microcode: Use the firmware_loader built-in API (Mark Langsdorf) [2067252] - firmware_loader: remove old DECLARE_BUILTIN_FIRMWARE() (Mark Langsdorf) [2067252] - firmware_loader: formalize built-in firmware API (Mark Langsdorf) [2067252] - component: do not leave master devres group open after bind (Mark Langsdorf) [2067252] - driver core: Provide device_match_acpi_handle() helper (Mark Langsdorf) [2067252] - regmap: Fix possible double-free in regcache_rbtree_exit() (Mark Langsdorf) [2067252] - device property: build kunit tests without structleak plugin (Mark Langsdorf) [2067252] - driver core: Reject pointless SYNC_STATE_ONLY device links (Mark Langsdorf) [2067252] - firmware_loader: add a sanity check for firmware_request_builtin() (Mark Langsdorf) [2067252] - firmware_loader: split built-in firmware call (Mark Langsdorf) [2067252] - firmware_loader: fix pre-allocated buf built-in firmware use (Mark Langsdorf) [2067252] - drivers/base/component.c: remove superfluous header files from component.c (Mark Langsdorf) [2067252] - drivers/base/arch_topology.c: remove superfluous header (Mark Langsdorf) [2067252] - driver core: use NUMA_NO_NODE during device_initialize (Mark Langsdorf) [2067252] - driver core: Fix possible memory leak in device_link_add() (Mark Langsdorf) [2067252] - driver core: Add debug logs when fwnode links are added/deleted (Mark Langsdorf) [2067252] - driver core: Create __fwnode_link_del() helper function (Mark Langsdorf) [2067252] - driver core: Set deferred probe reason when deferred by driver core (Mark Langsdorf) [2067252] - driver core: fw_devlink: Add support for FWNODE_FLAG_NEEDS_CHILD_BOUND_ON_ADD (Mark Langsdorf) [2067252] - driver core: Clarify that dev_err_probe() is OK even w/out -EPROBE_DEFER (Mark Langsdorf) [2067252] - driver core: fw_devlink: Improve handling of cyclic dependencies (Mark Langsdorf) [2067252] - driver core: platform: Make use of the helper macro SET_RUNTIME_PM_OPS() (Mark Langsdorf) [2067252] - PM: base: power: don't try to use non-existing RTC for storing data (Mark Langsdorf) [2067252] - PM: sleep: core: Avoid setting power.must_resume to false (Mark Langsdorf) [2067252] - PM: sleep: wakeirq: drop useless parameter from dev_pm_attach_wake_irq() (Mark Langsdorf) [2067252] - PM: domains: Fix domain attach for CONFIG_PM_OPP=n (Mark Langsdorf) [2067252] - PM: runtime: add devm_pm_clk_create helper (Mark Langsdorf) [2067252] - PM: runtime: add devm_pm_runtime_enable helper (Mark Langsdorf) [2067252] - regmap: teach regmap to use raw spinlocks if requested in the config (Mark Langsdorf) [2067252] - driver core: platform: Remove platform_device_add_properties() (Mark Langsdorf) [2067252] - PM: domains: Add support for 'required-opps' to set default perf state (Mark Langsdorf) [2067252] - Revert "media: device property: Call fwnode_graph_get_endpoint_by_id() for fwnode->secondary" (Mark Langsdorf) [2067252] - device property: Check fwnode->secondary in fwnode_graph_get_next_endpoint() (Mark Langsdorf) [2067252] - drivers/base/node.c: use bin_attribute to break the size limitation of cpumap ABI (Mark Langsdorf) [2067252] - regmap: allow const array for {devm_,}regmap_field_bulk_alloc reg_fields (Mark Langsdorf) [2067252] - driver: base: Prefer unsigned int to bare use of unsigned (Mark Langsdorf) [2067252] - cacheinfo: clear cache_leaves(cpu) in free_cache_attributes() (Mark Langsdorf) [2067252] - driver core: Fix error return code in really_probe() (Mark Langsdorf) [2067252] - firmware: fix theoretical UAF race with firmware cache and resume (Mark Langsdorf) [2067252] - driver: base: Replace symbolic permissions with octal permissions (Mark Langsdorf) [2067252] - driver core: Split device_platform_notify() (Mark Langsdorf) [2067252] - software nodes: Split software_node_notify() (Mark Langsdorf) [2067252] - regmap: Prefer unsigned int to bare use of unsigned (Mark Langsdorf) [2067252] - regmap: fix the offset of register error log (Mark Langsdorf) [2067252] - nfs: reexport documentation (Dave Wysochanski) [2006399] Resolves: rhbz#2089430, rhbz#2058040, rhbz#2067284, rhbz#2067252, rhbz#2006399 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-19 10:11:43 +00:00
CONFIG_DEVTMPFS_SAFE=y
CONFIG_DEVTMPFS=y
# CONFIG_DHT11 is not set
CONFIG_DIMLIB=y
# CONFIG_DLHL60D is not set
CONFIG_DLM_DEBUG=y
CONFIG_DLM=m
CONFIG_DMA_API_DEBUG_SG=y
CONFIG_DMA_API_DEBUG=y
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_DMABUF_DEBUG=y
# CONFIG_DMABUF_HEAPS_CMA is not set
CONFIG_DMABUF_HEAPS_SYSTEM=y
CONFIG_DMABUF_HEAPS=y
CONFIG_DMABUF_MOVE_NOTIFY=y
# CONFIG_DMABUF_SELFTESTS is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DMABUF_SYSFS_STATS is not set
# CONFIG_DMA_CMA is not set
CONFIG_DMADEVICES_DEBUG=y
CONFIG_DMADEVICES_VDEBUG=y
CONFIG_DMADEVICES=y
CONFIG_DMA_ENGINE=y
# CONFIG_DMA_FENCE_TRACE is not set
# CONFIG_DMA_MAP_BENCHMARK is not set
# CONFIG_DMA_PERNUMA_CMA is not set
# CONFIG_DMARD09 is not set
# CONFIG_DMARD10 is not set
kernel-5.14.0-18.el9 * Thu Nov 18 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-18.el9] - CI: Add template for baseline gcov build (c9s repos) (Michael Hofmann) - PCI: vmd: depend on !UML (Myron Stowe) [1994932] - PCI: vmd: Do not disable MSI-X remapping if interrupt remapping is enabled by IOMMU (Myron Stowe) [1994932] - PCI: vmd: Assign a number to each VMD controller (Myron Stowe) [1994932] - PCI: VMD: ACPI: Make ACPI companion lookup work for VMD bus (Myron Stowe) [1994932] - swiotlb-xen: drop DEFAULT_NSLABS (Jerry Snitselaar) [2004348] - swiotlb-xen: arrange to have buffer info logged (Jerry Snitselaar) [2004348] - swiotlb-xen: drop leftover __ref (Jerry Snitselaar) [2004348] - swiotlb-xen: limit init retries (Jerry Snitselaar) [2004348] - swiotlb-xen: suppress certain init retries (Jerry Snitselaar) [2004348] - swiotlb-xen: maintain slab count properly (Jerry Snitselaar) [2004348] - swiotlb-xen: fix late init retry (Jerry Snitselaar) [2004348] - swiotlb-xen: avoid double free (Jerry Snitselaar) [2004348] - dma-debug: teach add_dma_entry() about DMA_ATTR_SKIP_CPU_SYNC (Jerry Snitselaar) [2004348] - dma-debug: fix sg checks in debug_dma_map_sg() (Jerry Snitselaar) [2004348] - dma-mapping: fix the kerneldoc for dma_map_sgtable() (Jerry Snitselaar) [2004348] - dma-debug: prevent an error message from causing runtime problems (Jerry Snitselaar) [2004348] - dma-mapping: fix the kerneldoc for dma_map_sg_attrs (Jerry Snitselaar) [2004348] - iommu/vt-d: Drop "0x" prefix from PCI bus & device addresses (Jerry Snitselaar) [2004348] - iommu: Clarify default domain Kconfig (Jerry Snitselaar) [2004348] - iommu/vt-d: Fix a deadlock in intel_svm_drain_prq() (Jerry Snitselaar) [2004348] - iommu/vt-d: Fix PASID leak in intel_svm_unbind_mm() (Jerry Snitselaar) [2004348] - iommu/amd: Remove iommu_init_ga() (Jerry Snitselaar) [2004348] - iommu/amd: Relocate GAMSup check to early_enable_iommus (Jerry Snitselaar) [2004348] - iommu/io-pgtable: Abstract iommu_iotlb_gather access (Jerry Snitselaar) [2004348] - iommu/vt-d: Add present bit check in pasid entry setup helpers (Jerry Snitselaar) [2004348] - iommu/vt-d: Use pasid_pte_is_present() helper function (Jerry Snitselaar) [2004348] - iommu/vt-d: Drop the kernel doc annotation (Jerry Snitselaar) [2004348] - iommu/vt-d: Allow devices to have more than 32 outstanding PRs (Jerry Snitselaar) [1921363] - iommu/vt-d: Preset A/D bits for user space DMA usage (Jerry Snitselaar) [2004348] - iomm/vt-d: Enable Intel IOMMU scalable mode by default (Jerry Snitselaar) [2004348] - iommu/vt-d: Refactor Kconfig a bit (Jerry Snitselaar) [2004348] - iommu/vt-d: Remove unnecessary oom message (Jerry Snitselaar) [2004348] - iommu/vt-d: Update the virtual command related registers (Jerry Snitselaar) [2004348] - iommu: Allow enabling non-strict mode dynamically (Jerry Snitselaar) [2004348] - iommu: Merge strictness and domain type configs (Jerry Snitselaar) [2004348] - iommu: Only log strictness for DMA domains (Jerry Snitselaar) [2004348] - iommu: Expose DMA domain strictness via sysfs (Jerry Snitselaar) [2004348] - iommu: Express DMA strictness via the domain type (Jerry Snitselaar) [2004348] - iommu/vt-d: Prepare for multiple DMA domain types (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Prepare for multiple DMA domain types (Jerry Snitselaar) [2004348] - iommu/amd: Prepare for multiple DMA domain types (Jerry Snitselaar) [2004348] - iommu: Introduce explicit type for non-strict DMA domains (Jerry Snitselaar) [2004348] - iommu/io-pgtable: Remove non-strict quirk (Jerry Snitselaar) [2004348] - iommu: Indicate queued flushes via gather data (Jerry Snitselaar) [2004348] - iommu/dma: Remove redundant "!dev" checks (Jerry Snitselaar) [2004348] - iommu/virtio: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu/vt-d: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu/amd: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu: Pull IOVA cookie management into the core (Jerry Snitselaar) [2004348] - iommu/amd: Remove stale amd_iommu_unmap_flush usage (Jerry Snitselaar) [2004348] - iommu/amd: Use only natural aligned flushes in a VM (Jerry Snitselaar) [2004348] - iommu/amd: Sync once for scatter-gather operations (Jerry Snitselaar) [2004348] - iommu/amd: Tailored gather logic for AMD (Jerry Snitselaar) [2004348] - iommu: Factor iommu_iotlb_gather_is_disjoint() out (Jerry Snitselaar) [2004348] - iommu: Improve iommu_iotlb_gather helpers (Jerry Snitselaar) [2004348] - iommu/amd: Do not use flush-queue when NpCache is on (Jerry Snitselaar) [2004348] - iommu/amd: Selective flush on unmap (Jerry Snitselaar) [2004348] - iommu/amd: Fix printing of IOMMU events when rate limiting kicks in (Jerry Snitselaar) [2004348] - iommu/amd: Convert from atomic_t to refcount_t on pasid_state->count (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Fix missing unlock on error in arm_smmu_device_group() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Stop pre-zeroing batch commands (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Extract reusable function __arm_smmu_cmdq_skip_err() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Add and use static helper function arm_smmu_get_cmdq() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Add and use static helper function arm_smmu_cmdq_issue_cmd_with_sync() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Use command queue batching helpers to improve performance (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Optimize ->tlb_flush_walk() for qcom implementation (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Fix race condition during iommu_group creation (Jerry Snitselaar) [2004348] - iommu: Fix race condition during default domain allocation (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Add clk_bulk_{prepare/unprepare} to system pm callbacks (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Remove some unneeded init in arm_smmu_cmdq_issue_cmdlist() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Implement the map_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/arm-smmu-v3: Implement the unmap_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/vt-d: Move clflush'es from iotlb_sync_map() to map_pages() (Jerry Snitselaar) [1971978] - iommu/vt-d: Implement map/unmap_pages() iommu_ops callback (Jerry Snitselaar) [1971978] - iommu/vt-d: Report real pgsize bitmap to iommu core (Jerry Snitselaar) [1971978] - iommu: Streamline iommu_iova_to_phys() (Jerry Snitselaar) [2004348] - iommu: Remove mode argument from iommu_set_dma_strict() (Jerry Snitselaar) [2004348] - redhat/configs: Use new iommu default dma config options (Jerry Snitselaar) [2004348] - iommu/amd: Add support for IOMMU default DMA mode build options (Jerry Snitselaar) [2004348] - iommu/vt-d: Add support for IOMMU default DMA mode build options (Jerry Snitselaar) [2004348] - iommu: Enhance IOMMU default DMA mode build options (Jerry Snitselaar) [2004348] - iommu: Print strict or lazy mode at init time (Jerry Snitselaar) [2004348] - iommu: Deprecate Intel and AMD cmdline methods to enable strict mode (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Implement the map_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/arm-smmu: Implement the unmap_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm-v7s: Implement arm_v7s_map_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm-v7s: Implement arm_v7s_unmap_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm: Implement arm_lpae_map_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm: Implement arm_lpae_unmap_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm: Prepare PTE methods for handling multiple entries (Jerry Snitselaar) [1971978] - iommu/io-pgtable: Introduce map_pages() as a page table op (Jerry Snitselaar) [1971978] - iommu/io-pgtable: Introduce unmap_pages() as a page table op (Jerry Snitselaar) [1971978] - iommu: Add support for the map_pages() callback (Jerry Snitselaar) [1971978] - iommu: Hook up '->unmap_pages' driver callback (Jerry Snitselaar) [1971978] - iommu: Split 'addr_merge' argument to iommu_pgsize() into separate parts (Jerry Snitselaar) [1971978] - iommu: Use bitmap to calculate page size in iommu_pgsize() (Jerry Snitselaar) [1971978] - iommu: Add a map_pages() op for IOMMU drivers (Jerry Snitselaar) [1971978] - iommu: Add an unmap_pages() op for IOMMU drivers (Jerry Snitselaar) [1971978] - swiotlb: use depends on for DMA_RESTRICTED_POOL (Jerry Snitselaar) [2004348] - swiotlb: Free tbl memory in swiotlb_exit() (Jerry Snitselaar) [2004348] - swiotlb: Emit diagnostic in swiotlb_exit() (Jerry Snitselaar) [2004348] - swiotlb: Convert io_default_tlb_mem to static allocation (Jerry Snitselaar) [2004348] - swiotlb: add overflow checks to swiotlb_bounce (Jerry Snitselaar) [2004348] - swiotlb: fix implicit debugfs declarations (Jerry Snitselaar) [2004348] - swiotlb: Add restricted DMA pool initialization (Jerry Snitselaar) [2004348] - redhat/configs: Add CONFIG_DMA_RESTRICTED_POOL (Jerry Snitselaar) [2004348] - swiotlb: Add restricted DMA alloc/free support (Jerry Snitselaar) [2004348] - swiotlb: Refactor swiotlb_tbl_unmap_single (Jerry Snitselaar) [2004348] - swiotlb: Move alloc_size to swiotlb_find_slots (Jerry Snitselaar) [2004348] - swiotlb: Use is_swiotlb_force_bounce for swiotlb data bouncing (Jerry Snitselaar) [2004348] - swiotlb: Update is_swiotlb_active to add a struct device argument (Jerry Snitselaar) [2004348] - swiotlb: Update is_swiotlb_buffer to add a struct device argument (Jerry Snitselaar) [2004348] - swiotlb: Set dev->dma_io_tlb_mem to the swiotlb pool used (Jerry Snitselaar) [2004348] - swiotlb: Refactor swiotlb_create_debugfs (Jerry Snitselaar) [2004348] - swiotlb: Refactor swiotlb init functions (Jerry Snitselaar) [2004348] - dma-mapping: make the global coherent pool conditional (Jerry Snitselaar) [2004348] - dma-mapping: add a dma_init_global_coherent helper (Jerry Snitselaar) [2004348] - dma-mapping: simplify dma_init_coherent_memory (Jerry Snitselaar) [2004348] - dma-mapping: allow using the global coherent pool for !ARM (Jerry Snitselaar) [2004348] - dma-direct: add support for dma_coherent_default_memory (Jerry Snitselaar) [2004348] - dma-mapping: return an unsigned int from dma_map_sg{,_attrs} (Jerry Snitselaar) [2004348] - dma-mapping: disallow .map_sg operations from returning zero on error (Jerry Snitselaar) [2004348] - dma-mapping: return error code from dma_dummy_map_sg() (Jerry Snitselaar) [2004348] - xen: swiotlb: return error code from xen_swiotlb_map_sg() (Jerry Snitselaar) [2004348] - s390/pci: don't set failed sg dma_address to DMA_MAPPING_ERROR (Jerry Snitselaar) [2004348] - s390/pci: return error code from s390_dma_map_sg() (Jerry Snitselaar) [2004348] - powerpc/iommu: don't set failed sg dma_address to DMA_MAPPING_ERROR (Jerry Snitselaar) [2004348] - powerpc/iommu: return error code from .map_sg() ops (Jerry Snitselaar) [2004348] - iommu/dma: return error code from iommu_dma_map_sg() (Jerry Snitselaar) [2004348] - iommu: return full error code from iommu_map_sg[_atomic]() (Jerry Snitselaar) [2004348] - dma-direct: return appropriate error code from dma_direct_map_sg() (Jerry Snitselaar) [2004348] - dma-mapping: allow map_sg() ops to return negative error codes (Jerry Snitselaar) [2004348] - dma-debug: fix debugfs initialization order (Jerry Snitselaar) [2004348] - dma-debug: use memory_intersects() directly (Jerry Snitselaar) [2004348] Resolves: rhbz#1921363, rhbz#1971978, rhbz#1994932, rhbz#2004348 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-18 22:21:04 +00:00
# CONFIG_DMA_RESTRICTED_POOL is not set
CONFIG_DMATEST=m
CONFIG_DM_CACHE=m
CONFIG_DM_CACHE_SMQ=m
# CONFIG_DM_CLONE is not set
CONFIG_DM_CRYPT=m
# CONFIG_DM_DEBUG_BLOCK_MANAGER_LOCKING is not set
CONFIG_DM_DEBUG=y
CONFIG_DM_DELAY=m
# CONFIG_DM_DUST is not set
# CONFIG_DM_EBS is not set
CONFIG_DM_ERA=m
CONFIG_DM_FLAKEY=m
CONFIG_DMIID=y
CONFIG_DM_INTEGRITY=m
CONFIG_DMI_SYSFS=y
CONFIG_DMI=y
CONFIG_DM_LOG_USERSPACE=m
CONFIG_DM_LOG_WRITES=m
CONFIG_DM_MIRROR=m
kernel-5.14.0-51.el9 * Mon Jan 31 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-51.el9] - selftests: bpf: Fix bind on used port (Felix Maurer) [2043528] - Add packaged but empty /lib/modules/<kver>/systemtap (Herton R. Krzesinski) [2012908] - powerpc/bpf: Update ldimm64 instructions during extra pass (Yauheni Kaliuta) [2040549] - RDMA/irdma: Don't arm the CQ more than two times if no CE for this CQ (Kamal Heib) [2039426] - RDMA/irdma: Report correct WC errors (Kamal Heib) [2039426] - RDMA/irdma: Fix a potential memory allocation issue in 'irdma_prm_add_pble_mem()' (Kamal Heib) [2039426] - RDMA/irdma: Fix a user-after-free in add_pble_prm (Kamal Heib) [2039426] - RDMA/irdma: Do not hold qos mutex twice on QP resume (Kamal Heib) [2039426] - RDMA/irdma: Set VLAN in UD work completion correctly (Kamal Heib) [2039426] - RDMA/irdma: Process extended CQ entries correctly (Kamal Heib) [2039426] - RDMA/irdma: Report correct WC error when there are MW bind errors (Kamal Heib) [2039426] - RDMA/irdma: Report correct WC error when transport retry counter is exceeded (Kamal Heib) [2039426] - RDMA/irdma: Validate number of CQ entries on create CQ (Kamal Heib) [2039426] - RDMA/irdma: Skip CQP ring during a reset (Kamal Heib) [2039426] - redhat/configs: Enable CONFIG_DM_MULTIPATH_HST (Benjamin Marzinski) [2000835] - RDMA/core: Don't infoleak GRH fields (Kamal Heib) [2036599] - RDMA/uverbs: Check for null return of kmalloc_array (Kamal Heib) [2036599] - RDMA/sa_query: Use strscpy_pad instead of memcpy to copy a string (Kamal Heib) [2036599] - RDMA/cma: Ensure rdma_addr_cancel() happens before issuing more requests (Kamal Heib) [2036599] - RDMA/cma: Fix listener leak in rdma_cma_listen_on_all() failure (Kamal Heib) [2036599] - IB/cma: Do not send IGMP leaves for sendonly Multicast groups (Kamal Heib) [2036599] - IB/core: Remove deprecated current_seq comments (Kamal Heib) [2036599] - RDMA/iwcm: Release resources if iw_cm module initialization fails (Kamal Heib) [2036599] - sched: padding for user_struct for KABI (Phil Auld) [2033084] - sched: padding for signal_struct in linux/sched/signal.h (Phil Auld) [2033084] - sched: padding for struct rq and related (Phil Auld) [2033084] - sched: Padding for sched_domain and root_domain (Phil Auld) [2033084] - sched: Padding for task_struct and related in include/linux/sched.h (Phil Auld) [2033084] - hwmon: (k10temp) Support up to 12 CCDs on AMD Family of processors (David Arcari) [2022526] - hwmon: (k10temp) Add support for AMD Family 19h Models 10h-1Fh and A0h-AFh (David Arcari) [2022526] - hwmon: (k10temp) Remove unused definitions (David Arcari) [2022526] - x86/amd_nb: Add AMD Family 19h Models (10h-1Fh) and (A0h-AFh) PCI IDs (David Arcari) [2022526] - hwmon: (k10temp) Remove residues of current and voltage (David Arcari) [2022526] - tipc: check for null after calling kmemdup (Xin Long) [2024993] - tipc: only accept encrypted MSG_CRYPTO msgs (Xin Long) [2024993] - tipc: constify dev_addr passing (Xin Long) [2024993] - tipc: increase timeout in tipc_sk_enqueue() (Xin Long) [2024993] - tipc: clean up inconsistent indenting (Xin Long) [2024993] - redhat: configs: add CONFIG_NTB and related items (John Linville) [1874186] Resolves: rhbz#1874186, rhbz#2000835, rhbz#2012908, rhbz#2022526, rhbz#2024993, rhbz#2033084, rhbz#2036599, rhbz#2039426, rhbz#2040549, rhbz#2043528 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-31 17:05:21 +00:00
CONFIG_DM_MULTIPATH_HST=m
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_DM_MULTIPATH_IOA=m
CONFIG_DM_MULTIPATH=m
CONFIG_DM_MULTIPATH_QL=m
CONFIG_DM_MULTIPATH_ST=m
CONFIG_DM_RAID=m
CONFIG_DM_SNAPSHOT=m
CONFIG_DM_SWITCH=m
CONFIG_DM_THIN_PROVISIONING=m
CONFIG_DM_UEVENT=y
# CONFIG_DM_UNSTRIPED is not set
CONFIG_DM_VERITY_FEC=y
CONFIG_DM_VERITY=m
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_DM_VERITY_VERIFY_ROOTHASH_SIG_SECONDARY_KEYRING is not set
CONFIG_DM_VERITY_VERIFY_ROOTHASH_SIG=y
CONFIG_DM_WRITECACHE=m
CONFIG_DM_ZERO=m
# CONFIG_DM_ZONED is not set
kernel-5.14.0-50.el9 * Fri Jan 28 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-50.el9] - net: fix possible NULL deref in sock_reserve_memory (Paolo Abeni) [2028420] - mptcp: fix per socket endpoint accounting (Paolo Abeni) [2028420] - mptcp: Check reclaim amount before reducing allocation (Paolo Abeni) [2028420] - mptcp: fix a DSS option writing error (Paolo Abeni) [2028420] - mptcp: fix opt size when sending DSS + MP_FAIL (Paolo Abeni) [2028420] - mptcp: clean up harmless false expressions (Paolo Abeni) [2028420] - selftests: mptcp: try to set mptcp ulp mode in different sk states (Paolo Abeni) [2028420] - mptcp: enforce HoL-blocking estimation (Paolo Abeni) [2028420] - mptcp: fix deadlock in __mptcp_push_pending() (Paolo Abeni) [2028420] - mptcp: clear 'kern' flag from fallback sockets (Paolo Abeni) [2028420] - mptcp: remove tcp ulp setsockopt support (Paolo Abeni) [2028420] - mptcp: never allow the PM to close a listener subflow (Paolo Abeni) [2028420] - selftests: mptcp: remove duplicate include in mptcp_inq.c (Paolo Abeni) [2028420] - mptcp: support TCP_CORK and TCP_NODELAY (Paolo Abeni) [2028420] - mptcp: expose mptcp_check_and_set_pending (Paolo Abeni) [2028420] - tcp: expose __tcp_sock_set_cork and __tcp_sock_set_nodelay (Paolo Abeni) [2028420] - selftests: mptcp: check IP_TOS in/out are the same (Paolo Abeni) [2028420] - mptcp: getsockopt: add support for IP_TOS (Paolo Abeni) [2028420] - mptcp: allow changing the "backup" bit by endpoint id (Paolo Abeni) [2028420] - selftests: mptcp: add inq test case (Paolo Abeni) [2028420] - mptcp: add SIOCINQ, OUTQ and OUTQNSD ioctls (Paolo Abeni) [2028420] - selftests: mptcp: add TCP_INQ support (Paolo Abeni) [2028420] - mptcp: add TCP_INQ cmsg support (Paolo Abeni) [2028420] - mptcp: use delegate action to schedule 3rd ack retrans (Paolo Abeni) [2028420] - mptcp: fix delack timer (Paolo Abeni) [2028420] - selftests: mptcp: add tproxy test case (Paolo Abeni) [2028420] - mptcp: sockopt: add SOL_IP freebind & transparent options (Paolo Abeni) [2028420] - mptcp: Support for IP_TOS for MPTCP setsockopt() (Paolo Abeni) [2028420] - ipv4: Exposing __ip_sock_set_tos() in ip.h (Paolo Abeni) [2028420] - selftests: mptcp: more stable simult_flows tests (Paolo Abeni) [2028420] - selftests: mptcp: fix proto type in link_failure tests (Paolo Abeni) [2028420] - mptcp: fix corrupt receiver key in MPC + data + checksum (Paolo Abeni) [2028420] - mptcp: drop unused sk in mptcp_push_release (Paolo Abeni) [2028420] - mptcp: allocate fwd memory separately on the rx and tx path (Paolo Abeni) [2028420] - net: introduce sk_forward_alloc_get() (Paolo Abeni) [2028420] - tcp: define macros for a couple reclaim thresholds (Paolo Abeni) [2028420] - net: add new socket option SO_RESERVE_MEM (Paolo Abeni) [2028420] - mptcp: Make mptcp_pm_nl_mp_prio_send_ack() static (Paolo Abeni) [2028420] - mptcp: increase default max additional subflows to 2 (Paolo Abeni) [2028420] - mptcp: Avoid NULL dereference in mptcp_getsockopt_subflow_addrs() (Paolo Abeni) [2028420] - mptcp: fix possible stall on recvmsg() (Paolo Abeni) [2028420] - mptcp: use batch snmp operations in mptcp_seq_show() (Paolo Abeni) [2028420] - net: snmp: inline snmp_get_cpu_field() (Paolo Abeni) [2028420] - net: introduce and use lock_sock_fast_nested() (Paolo Abeni) [2028420] - net: core: Correct the sock::sk_lock.owned lockdep annotations (Paolo Abeni) [2028420] - mptcp: re-arm retransmit timer if data is pending (Paolo Abeni) [2028420] - mptcp: remove tx_pending_data (Paolo Abeni) [2028420] - mptcp: use lockdep_assert_held_once() instead of open-coding it (Paolo Abeni) [2028420] - mptcp: use OPTIONS_MPTCP_MPC (Paolo Abeni) [2028420] - mptcp: do not shrink snd_nxt when recovering (Paolo Abeni) [2028420] - mptcp: allow changing the 'backup' bit when no sockets are open (Paolo Abeni) [2028420] - mptcp: don't return sockets in foreign netns (Paolo Abeni) [2028420] - tcp: remove sk_{tr}x_skb_cache (Paolo Abeni) [2028420] - tcp: make tcp_build_frag() static (Paolo Abeni) [2028420] - mptcp: stop relying on tcp_tx_skb_cache (Paolo Abeni) [2028420] - tcp: expose the tcp_mark_push() and tcp_skb_entail() helpers (Paolo Abeni) [2028420] - selftests: mptcp: add mptcp getsockopt test cases (Paolo Abeni) [2028420] - mptcp: add MPTCP_SUBFLOW_ADDRS getsockopt support (Paolo Abeni) [2028420] - mptcp: add MPTCP_TCPINFO getsockopt support (Paolo Abeni) [2028420] - mptcp: add MPTCP_INFO getsockopt (Paolo Abeni) [2028420] - mptcp: add new mptcp_fill_diag helper (Paolo Abeni) [2028420] - mptcp: Only send extra TCP acks in eligible socket states (Paolo Abeni) [2028420] - selftests: mptcp: clean tmp files in simult_flows (Paolo Abeni) [2028420] - mptcp: ensure tx skbs always have the MPTCP ext (Paolo Abeni) [2028420] - mptcp: fix possible divide by zero (Paolo Abeni) [2028420] - mptcp: Fix duplicated argument in protocol.h (Paolo Abeni) [2028420] - mptcp: make the locking tx schema more readable (Paolo Abeni) [2028420] - mptcp: optimize the input options processing (Paolo Abeni) [2028420] - mptcp: consolidate in_opt sub-options fields in a bitmask (Paolo Abeni) [2028420] - mptcp: better binary layout for mptcp_options_received (Paolo Abeni) [2028420] - mptcp: do not set unconditionally csum_reqd on incoming opt (Paolo Abeni) [2028420] - selftests: mptcp: add MP_FAIL mibs check (Paolo Abeni) [2028420] - mptcp: add the mibs for MP_FAIL (Paolo Abeni) [2028420] - mptcp: send out MP_FAIL when data checksum fails (Paolo Abeni) [2028420] - mptcp: MP_FAIL suboption receiving (Paolo Abeni) [2028420] - mptcp: MP_FAIL suboption sending (Paolo Abeni) [2028420] - mptcp: shrink mptcp_out_options struct (Paolo Abeni) [2028420] - mptcp: optimize out option generation (Paolo Abeni) [2028420] - selftests: mptcp: add_addr and echo race test (Paolo Abeni) [2028420] - mptcp: remove MPTCP_ADD_ADDR_IPV6 and MPTCP_ADD_ADDR_PORT (Paolo Abeni) [2028420] - mptcp: build ADD_ADDR/echo-ADD_ADDR option according pm.add_signal (Paolo Abeni) [2028420] - mptcp: fix ADD_ADDR and RM_ADDR maybe flush addr_signal each other (Paolo Abeni) [2028420] - mptcp: make MPTCP_ADD_ADDR_SIGNAL and MPTCP_ADD_ADDR_ECHO separate (Paolo Abeni) [2028420] - mptcp: move drop_other_suboptions check under pm lock (Paolo Abeni) [2028420] - selftests: mptcp: delete uncontinuous removing ids (Paolo Abeni) [2028420] - selftests: mptcp: add fullmesh testcases (Paolo Abeni) [2028420] - selftests: mptcp: set and print the fullmesh flag (Paolo Abeni) [2028420] - mptcp: local addresses fullmesh (Paolo Abeni) [2028420] - mptcp: remote addresses fullmesh (Paolo Abeni) [2028420] - mptcp: drop flags and ifindex arguments (Paolo Abeni) [2028420] - selftests: mptcp: add testcase for active-back (Paolo Abeni) [2028420] - mptcp: backup flag from incoming MPJ ack option (Paolo Abeni) [2028420] - mptcp: add mibs for stale subflows processing (Paolo Abeni) [2028420] - mptcp: faster active backup recovery (Paolo Abeni) [2028420] - mptcp: cleanup sysctl data and helpers (Paolo Abeni) [2028420] - mptcp: handle pending data on closed subflow (Paolo Abeni) [2028420] - mptcp: less aggressive retransmission strategy (Paolo Abeni) [2028420] - mptcp: more accurate timeout (Paolo Abeni) [2028420] - ionic: no devlink_unregister if not registered (Petr Oros) [2032260] - devlink: fix netns refcount leak in devlink_nl_cmd_reload() (Petr Oros) [2032260] - devlink: Don't throw an error if flash notification sent before devlink visible (Petr Oros) [2032260] - devlink: make all symbols GPL-only (Petr Oros) [2032260] - devlink: Simplify internal devlink params implementation (Petr Oros) [2032260] - devlink: Clean not-executed param notifications (Petr Oros) [2032260] - devlink: Delete obsolete parameters publish API (Petr Oros) [2032260] - devlink: Remove extra device_lock assert checks (Petr Oros) [2032260] - devlink: Delete reload enable/disable interface (Petr Oros) [2032260] - net/mlx5: Set devlink reload feature bit for supported devices only (Petr Oros) [2032260] - devlink: Allow control devlink ops behavior through feature mask (Petr Oros) [2032260] - devlink: Annotate devlink API calls (Petr Oros) [2032260] - devlink: Move netdev_to_devlink helpers to devlink.c (Petr Oros) [2032260] - devlink: Reduce struct devlink exposure (Petr Oros) [2032260] - devlink: report maximum number of snapshots with regions (Petr Oros) [2032260] - devlink: Add missed notifications iterators (Petr Oros) [2032260] - netdevsim: Move devlink registration to be last devlink command (Petr Oros) [2032260] - qed: Move devlink registration to be last devlink command (Petr Oros) [2032260] - ionic: Move devlink registration to be last devlink command (Petr Oros) [2032260] - nfp: Move delink_register to be last command (Petr Oros) [2032260] - mlxsw: core: Register devlink instance last (Petr Oros) [2032260] - net/mlx5: Accept devlink user input after driver initialization complete (Petr Oros) [2032260] - net/mlx4: Move devlink_register to be the last initialization command (Petr Oros) [2032260] - ice: Open devlink when device is ready (Petr Oros) [2032260] - net: hinic: Open device for the user access when it is ready (Petr Oros) [2032260] - bnxt_en: Register devlink instance at the end devlink configuration (Petr Oros) [2032260] - devlink: Notify users when objects are accessible (Petr Oros) [2032260] - net/mlx5: Fix rdma aux device on devlink reload (Petr Oros) [2032260] - qed: Don't ignore devlink allocation failures (Petr Oros) [2032260] - ice: Delete always true check of PF pointer (Petr Oros) [2032260] - devlink: Remove single line function obfuscations (Petr Oros) [2032260] - devlink: Delete not used port parameters APIs (Petr Oros) [2032260] - bnxt_en: Properly remove port parameter support (Petr Oros) [2032260] - bnxt_en: Check devlink allocation and registration status (Petr Oros) [2032260] - devlink: Make devlink_register to be void (Petr Oros) [2032260] - devlink: Delete not-used devlink APIs (Petr Oros) [2032260] - devlink: Delete not-used single parameter notification APIs (Petr Oros) [2032260] - net/mlx5: Publish and unpublish all devlink parameters at once (Petr Oros) [2032260] - devlink: Use xarray to store devlink instances (Petr Oros) [2032260] - devlink: Count struct devlink consumers (Petr Oros) [2032260] - devlink: Remove check of always valid devlink pointer (Petr Oros) [2032260] - devlink: Simplify devlink_pernet_pre_exit call (Petr Oros) [2032260] - net/mlx5: Support enable_vnet devlink dev param (Petr Oros) [2032260] - net/mlx5: Support enable_rdma devlink dev param (Petr Oros) [2032260] - net/mlx5: Support enable_eth devlink dev param (Petr Oros) [2032260] - net/mlx5: Fix unpublish devlink parameters (Petr Oros) [2032260] - devlink: Add APIs to publish, unpublish individual parameter (Petr Oros) [2032260] - devlink: Add API to register and unregister single parameter (Petr Oros) [2032260] - devlink: Create a helper function for one parameter registration (Petr Oros) [2032260] - devlink: Add new "enable_vnet" generic device param (Petr Oros) [2032260] - devlink: Add new "enable_rdma" generic device param (Petr Oros) [2032260] - devlink: Add new "enable_eth" generic device param (Petr Oros) [2032260] - devlink: Fix port_type_set function pointer check (Petr Oros) [2032260] - devlink: Set device as early as possible (Petr Oros) [2032260] - devlink: Simplify devlink port API calls (Petr Oros) [2032260] - devlink: Allocate devlink directly in requested net namespace (Petr Oros) [2032260] - devlink: Remove duplicated registration check (Petr Oros) [2032260] - netdevsim: Protect both reload_down and reload_up paths (Petr Oros) [2032260] - netdevsim: Forbid devlink reload when adding or deleting ports (Petr Oros) [2032260] - net/mlx5: Don't rely on always true registered field (Petr Oros) [2032260] - ionic: cleanly release devlink instance (Petr Oros) [2032260] - selftests: net: bridge: fix typo in vlan_filtering dependency test (Ivan Vecera) [2037335] - selftests: net: bridge: add test for vlan_filtering dependency (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_router tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast query and query response interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_querier_interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_membership_interval test (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_startup_query_count/interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_last_member_count/interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast igmp/mld version tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast querier test (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast snooping control test (Ivan Vecera) [2037335] - net: bridge: mcast: fix br_multicast_ctx_vlan_global_disabled helper (Ivan Vecera) [2037335] - net: bridge: mcast: add and enforce startup query interval minimum (Ivan Vecera) [2037335] - net: bridge: mcast: add and enforce query interval minimum (Ivan Vecera) [2037335] - net: bridge: fix ioctl old_deviceless bridge argument (Ivan Vecera) [2037335] - net: bridge: Use array_size() helper in copy_to_user() (Ivan Vecera) [2037335] - net: bridge: fix uninitialized variables when BRIDGE_CFM is disabled (Ivan Vecera) [2037335] - net: bridge: mcast: use multicast_membership_interval for IGMPv3 (Ivan Vecera) [2037335] - net: bridge: fix under estimation in br_get_linkxstats_size() (Ivan Vecera) [2037335] - net: bridge: use nla_total_size_64bit() in br_get_linkxstats_size() (Ivan Vecera) [2037335] - net: bridge: mcast: Associate the seqcount with its protecting lock. (Ivan Vecera) [2037335] - net: bridge: mcast: fix vlan port router deadlock (Ivan Vecera) [2037335] - net: bridge: use mld2r_ngrec instead of icmpv6_dataun (Ivan Vecera) [2037335] - net: bridge: change return type of br_handle_ingress_vlan_tunnel (Ivan Vecera) [2037335] - net: bridge: vlan: convert mcast router global option to per-vlan entry (Ivan Vecera) [2037335] - net: bridge: mcast: br_multicast_set_port_router takes multicast context as argument (Ivan Vecera) [2037335] - net: bridge: mcast: toggle also host vlan state in br_multicast_toggle_vlan (Ivan Vecera) [2037335] - net: bridge: mcast: use the correct vlan group helper (Ivan Vecera) [2037335] - net: bridge: vlan: account for router port lists when notifying (Ivan Vecera) [2037335] - net: bridge: vlan: enable mcast snooping for existing master vlans (Ivan Vecera) [2037335] - net: bridge: mcast: account for ipv6 size when dumping querier state (Ivan Vecera) [2037335] - net: bridge: mcast: drop sizeof for nest attribute's zero size (Ivan Vecera) [2037335] - net: bridge: mcast: don't dump querier state if snooping is disabled (Ivan Vecera) [2037335] - net: bridge: vlan: dump mcast ctx querier state (Ivan Vecera) [2037335] - net: bridge: mcast: dump ipv6 querier state (Ivan Vecera) [2037335] - net: bridge: mcast: dump ipv4 querier state (Ivan Vecera) [2037335] - net: bridge: mcast: consolidate querier selection for ipv4 and ipv6 (Ivan Vecera) [2037335] - net: bridge: mcast: make sure querier port/address updates are consistent (Ivan Vecera) [2037335] - net: bridge: mcast: record querier port device ifindex instead of pointer (Ivan Vecera) [2037335] - net: bridge: vlan: use br_rports_fill_info() to export mcast router ports (Ivan Vecera) [2037335] - net: bridge: mcast: use the proper multicast context when dumping router ports (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast router global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast querier global option (Ivan Vecera) [2037335] - net: bridge: mcast: querier and query state affect only current context type (Ivan Vecera) [2037335] - net: bridge: mcast: move querier state to the multicast context (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast startup query interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast query response interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast query interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast querier interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast membership interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast last member interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast startup query count global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast last member count global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast igmp/mld version global options (Ivan Vecera) [2037335] - net: bridge: vlan: fix global vlan option range dumping (Ivan Vecera) [2037335] - net: make switchdev_bridge_port_{,unoffload} loosely coupled with the bridge (Ivan Vecera) [2037335] - net: bridge: switchdev: fix incorrect use of FDB flags when picking the dst device (Ivan Vecera) [2037335] - net: bridge: switchdev: treat local FDBs the same as entries towards the bridge (Ivan Vecera) [2037335] - net: bridge: switchdev: replay the entire FDB for each port (Ivan Vecera) [2037335] - net: bridge: add a helper for retrieving port VLANs from the data path (Ivan Vecera) [2037335] - net: bridge: update BROPT_VLAN_ENABLED before notifying switchdev in br_vlan_filter_toggle (Ivan Vecera) [2037335] - net: bridge: fix build when setting skb->offload_fwd_mark with CONFIG_NET_SWITCHDEV=n (Ivan Vecera) [2037335] - net: bridge: switchdev: allow the TX data plane forwarding to be offloaded (Ivan Vecera) [2037335] - net: switchdev: fix FDB entries towards foreign ports not getting propagated to us (Ivan Vecera) [2037335] - net: bridge: move the switchdev object replay helpers to "push" mode (Ivan Vecera) [2037335] - net: bridge: guard the switchdev replay helpers against a NULL notifier block (Ivan Vecera) [2037335] - net: bridge: switchdev: let drivers inform which bridge ports are offloaded (Ivan Vecera) [2037335] - net: bridge: switchdev: recycle unused hwdoms (Ivan Vecera) [2037335] - net: bridge: disambiguate offload_fwd_mark (Ivan Vecera) [2037335] - net: bridge: multicast: add context support for host-joined groups (Ivan Vecera) [2037335] - net: bridge: multicast: add mdb context support (Ivan Vecera) [2037335] - net: bridge: multicast: fix igmp/mld port context null pointer dereferences (Ivan Vecera) [2037335] - net: switchdev: recurse into __switchdev_handle_fdb_del_to_device (Ivan Vecera) [2037335] - net: switchdev: remove stray semicolon in switchdev_handle_fdb_del_to_device shim (Ivan Vecera) [2037335] - net: bridge: vlan: add mcast snooping control (Ivan Vecera) [2037335] - net: bridge: vlan: notify when global options change (Ivan Vecera) [2037335] - net: bridge: vlan: add support for dumping global vlan options (Ivan Vecera) [2037335] - net: bridge: vlan: add support for global options (Ivan Vecera) [2037335] - net: bridge: multicast: include router port vlan id in notifications (Ivan Vecera) [2037335] - net: bridge: multicast: add vlan querier and query support (Ivan Vecera) [2037335] - net: bridge: multicast: check if should use vlan mcast ctx (Ivan Vecera) [2037335] - net: bridge: multicast: use the port group to port context helper (Ivan Vecera) [2037335] - net: bridge: multicast: add helper to get port mcast context from port group (Ivan Vecera) [2037335] - net: bridge: add vlan mcast snooping knob (Ivan Vecera) [2037335] - net: bridge: multicast: add vlan state initialization and control (Ivan Vecera) [2037335] - net: bridge: vlan: add global and per-port multicast context (Ivan Vecera) [2037335] - net: bridge: multicast: use multicast contexts instead of bridge or port (Ivan Vecera) [2037335] - net: bridge: multicast: factor out bridge multicast context (Ivan Vecera) [2037335] - net: bridge: multicast: factor out port multicast context (Ivan Vecera) [2037335] - net: switchdev: introduce a fanout helper for SWITCHDEV_FDB_{ADD,DEL}_TO_DEVICE (Ivan Vecera) [2037335] - net: switchdev: introduce helper for checking dynamically learned FDB entries (Ivan Vecera) [2037335] - kernel: Add redhat code (Prarit Bhargava) [2047259] - nvme: Mark NVMe over FC Target support unmaintained (Prarit Bhargava) [2019379] - hdlc_fr: Mark driver unmaintained (Prarit Bhargava) [2019379] - sfc: Mark siena driver unmaintained (Prarit Bhargava) [2019379] - qla3xxx: Mark driver unmaintained (Prarit Bhargava) [2019379] - netxen: Mark nic driver unmaintained (Prarit Bhargava) [2019379] - redhat/configs: Disable ethoc driver (Prarit Bhargava) [2019379] - redhat/configs: Disable dnet driver (Prarit Bhargava) [2019379] - drivers/pci/pci-driver.c: Fix if/ifdef typo (Prarit Bhargava) [2019379] - kernel/rh_taint.c: Update to new messaging (Prarit Bhargava) [2019379] Resolves: rhbz#2019379, rhbz#2028420, rhbz#2032260, rhbz#2037335, rhbz#2047259 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-28 14:57:58 +00:00
# CONFIG_DNET is not set
CONFIG_DNOTIFY=y
CONFIG_DNS_RESOLVER=m
CONFIG_DP83640_PHY=m
CONFIG_DP83822_PHY=m
CONFIG_DP83848_PHY=m
CONFIG_DP83867_PHY=m
# CONFIG_DP83869_PHY is not set
CONFIG_DP83TC811_PHY=m
# CONFIG_DPS310 is not set
# CONFIG_DRAGONRISE_FF is not set
CONFIG_DRM_AMD_ACP=y
# CONFIG_DRM_AMD_DC_HDCP is not set
# CONFIG_DRM_AMD_DC_SI is not set
CONFIG_DRM_AMD_DC=y
# CONFIG_DRM_AMDGPU_CIK is not set
CONFIG_DRM_AMDGPU=m
# CONFIG_DRM_AMDGPU_SI is not set
CONFIG_DRM_AMDGPU_USERPTR=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_DRM_AMD_SECURE_DISPLAY is not set
# CONFIG_DRM_ANALOGIX_ANX6345 is not set
# CONFIG_DRM_ANALOGIX_ANX7625 is not set
# CONFIG_DRM_ANALOGIX_ANX78XX is not set
# CONFIG_DRM_ARCPGU is not set
CONFIG_DRM_AST=m
CONFIG_DRM_BOCHS=m
# CONFIG_DRM_CDNS_DSI is not set
# CONFIG_DRM_CDNS_MHDP8546 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_DRM_CHIPONE_ICN6211 is not set
# CONFIG_DRM_CHRONTEL_CH7033 is not set
CONFIG_DRM_CIRRUS_QEMU=m
# CONFIG_DRM_DEBUG_SELFTEST is not set
# CONFIG_DRM_DISPLAY_CONNECTOR is not set
CONFIG_DRM_DP_AUX_CHARDEV=y
CONFIG_DRM_DP_CEC=y
# CONFIG_DRM_ETNAVIV is not set
CONFIG_DRM_FBDEV_EMULATION=y
CONFIG_DRM_FBDEV_OVERALLOC=100
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_DRM_FSL_LDB is not set
CONFIG_DRM_GM12U320=m
CONFIG_DRM_GUD=m
# CONFIG_DRM_HDLCD is not set
# CONFIG_DRM_HISI_HIBMC is not set
# CONFIG_DRM_HISI_KIRIN is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_DRM_HYPERV=m
# CONFIG_DRM_I2C_ADV7511 is not set
CONFIG_DRM_I2C_CH7006=m
# CONFIG_DRM_I2C_NXP_TDA9950 is not set
# CONFIG_DRM_I2C_NXP_TDA998X is not set
CONFIG_DRM_I2C_SIL164=m
CONFIG_DRM_I915_CAPTURE_ERROR=y
CONFIG_DRM_I915_COMPRESS_ERROR=y
CONFIG_DRM_I915=m
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
CONFIG_DRM_I915_PREEMPT_TIMEOUT_COMPUTE=7500
CONFIG_DRM_I915_USERPTR=y
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_DRM_IMX8QM_LDB is not set
# CONFIG_DRM_IMX8QXP_LDB is not set
# CONFIG_DRM_IMX8QXP_PIXEL_COMBINER is not set
# CONFIG_DRM_IMX8QXP_PIXEL_LINK_TO_DPI is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_ITE_IT6505 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_DRM_ITE_IT66121 is not set
# CONFIG_DRM_KOMEDA is not set
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_DRM_KUNIT_TEST=m
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
# CONFIG_DRM_LEGACY is not set
# CONFIG_DRM_LIMA is not set
CONFIG_DRM_LOAD_EDID_FIRMWARE=y
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_DRM_LOGICVC is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_DRM_LONTIUM_LT8912B is not set
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_DRM_LONTIUM_LT9211 is not set
# CONFIG_DRM_LONTIUM_LT9611 is not set
# CONFIG_DRM_LONTIUM_LT9611UXC is not set
# CONFIG_DRM_LVDS_CODEC is not set
CONFIG_DRM=m
# CONFIG_DRM_MALI_DISPLAY is not set
# CONFIG_DRM_MEGACHIPS_STDPXXXX_GE_B850V3_FW is not set
CONFIG_DRM_MGAG200=m
# CONFIG_DRM_MXSFB is not set
CONFIG_DRM_NOUVEAU_BACKLIGHT=y
CONFIG_DRM_NOUVEAU=m
# CONFIG_DRM_NWL_MIPI_DSI is not set
# CONFIG_DRM_NXP_PTN3460 is not set
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
# CONFIG_DRM_OFDRM is not set
# CONFIG_DRM_PANEL_ABT_Y030XX067A is not set
# CONFIG_DRM_PANEL_ARM_VERSATILE is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_ASUS_Z00T_TM5P5_NT35596 is not set
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
# CONFIG_DRM_PANEL_AUO_A030JTN01 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_BOE_BF060Y8M_AJ0 is not set
# CONFIG_DRM_PANEL_BOE_HIMAX8279D is not set
# CONFIG_DRM_PANEL_BOE_TV101WUM_NL6 is not set
# CONFIG_DRM_PANEL_DSI_CM is not set
# CONFIG_DRM_PANEL_EBBG_FT8719 is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_PANEL_EDP is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_ELIDA_KD35T133 is not set
# CONFIG_DRM_PANEL_FEIXIN_K101_IM2BA02 is not set
# CONFIG_DRM_PANEL_FEIYANG_FY07024DI26A30D is not set
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
# CONFIG_DRM_PANEL_HIMAX_HX8394 is not set
# CONFIG_DRM_PANEL_ILITEK_IL9322 is not set
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_DRM_PANEL_ILITEK_ILI9341 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_ILITEK_ILI9881C is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_PANEL_INNOLUX_EJ030NA is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_INNOLUX_P079ZCA is not set
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
# CONFIG_DRM_PANEL_JADARD_JD9365DA_H3 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_JDI_LT070ME05000 is not set
# CONFIG_DRM_PANEL_JDI_R63452 is not set
# CONFIG_DRM_PANEL_KHADAS_TS050 is not set
# CONFIG_DRM_PANEL_KINGDISPLAY_KD097D04 is not set
# CONFIG_DRM_PANEL_LEADTEK_LTK050H3146W is not set
# CONFIG_DRM_PANEL_LEADTEK_LTK500HD1829 is not set
# CONFIG_DRM_PANEL_LG_LB035Q02 is not set
# CONFIG_DRM_PANEL_LVDS is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_MANTIX_MLAF057WE51 is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_PANEL_MIPI_DBI is not set
# CONFIG_DRM_PANEL_NEC_NL8048HL11 is not set
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
# CONFIG_DRM_PANEL_NEWVISION_NV3051D is not set
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_DRM_PANEL_NEWVISION_NV3052C is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_NOVATEK_NT35510 is not set
# CONFIG_DRM_PANEL_NOVATEK_NT35560 is not set
# CONFIG_DRM_PANEL_NOVATEK_NT35950 is not set
# CONFIG_DRM_PANEL_NOVATEK_NT36672A is not set
# CONFIG_DRM_PANEL_NOVATEK_NT39016 is not set
# CONFIG_DRM_PANEL_OLIMEX_LCD_OLINUXINO is not set
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
# CONFIG_DRM_PANEL_ORISETECH_OTA5601A is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_ORISETECH_OTM8009A is not set
# CONFIG_DRM_PANEL_OSD_OSD101T2587_53TS is not set
# CONFIG_DRM_PANEL_PANASONIC_VVX10F034N00 is not set
# CONFIG_DRM_PANEL_RASPBERRYPI_TOUCHSCREEN is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_RAYDIUM_RM67191 is not set
# CONFIG_DRM_PANEL_RAYDIUM_RM68200 is not set
# CONFIG_DRM_PANEL_RONBO_RB070D30 is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_PANEL_SAMSUNG_ATNA33XC20 is not set
# CONFIG_DRM_PANEL_SAMSUNG_DB7430 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_SAMSUNG_S6D16D0 is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_PANEL_SAMSUNG_S6D27A1 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_SAMSUNG_S6E3HA2 is not set
# CONFIG_DRM_PANEL_SAMSUNG_S6E63J0X03 is not set
# CONFIG_DRM_PANEL_SAMSUNG_S6E63M0 is not set
# CONFIG_DRM_PANEL_SAMSUNG_S6E88A0_AMS452EF01 is not set
# CONFIG_DRM_PANEL_SAMSUNG_S6E8AA0 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_SAMSUNG_SOFEF00 is not set
# CONFIG_DRM_PANEL_SEIKO_43WVF1G is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_SHARP_LQ101R1SX01 is not set
# CONFIG_DRM_PANEL_SHARP_LS043T1LE01 is not set
# CONFIG_DRM_PANEL_SHARP_LS060T1SX01 is not set
# CONFIG_DRM_PANEL_SIMPLE is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_SITRONIX_ST7701 is not set
# CONFIG_DRM_PANEL_SITRONIX_ST7703 is not set
# CONFIG_DRM_PANEL_SITRONIX_ST7789V is not set
# CONFIG_DRM_PANEL_SONY_ACX565AKM is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_SONY_TULIP_TRULY_NT35521 is not set
# CONFIG_DRM_PANEL_TDO_TL070WSH30 is not set
# CONFIG_DRM_PANEL_TPO_TD028TTEC1 is not set
# CONFIG_DRM_PANEL_TPO_TPG110 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_TRULY_NT35597_WQXGA is not set
# CONFIG_DRM_PANEL_VISIONOX_RM69299 is not set
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
# CONFIG_DRM_PANEL_VISIONOX_VTDR6130 is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_PANEL_WIDECHIPS_WS2401 is not set
kernel-5.14.0-249.el9 * Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9] - DRM: add new config options (Mika Penttilä) [2154295] - gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295] - gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295] - gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295] - gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295] - gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295] - gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295] - gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295] - gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295] - gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295] - gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295] - gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295] - gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295] - gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295] - gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295] - gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295] - gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295] - drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295] - drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295] - drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295] - drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295] - drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295] - drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295] - drm/tegra: Support context isolation (Mika Penttilä) [2154295] - gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295] - gpu: host1x: Add context device management code (Mika Penttilä) [2154295] - gpu: host1x: Add context bus (Mika Penttilä) [2154295] - gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295] - gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295] - gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295] - gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295] - gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295] - gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295] - gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295] - gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295] - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295] - gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295] - drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295] - drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295] - drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295] - drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295] - drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295] - drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295] - drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295] - drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295] - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295] - drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295] - drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295] - drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295] - drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295] - drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295] - drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295] - drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295] - drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295] - drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295] - gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295] - drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295] - drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295] - drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295] - drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295] - drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295] - drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295] - drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295] - drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295] - drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295] - drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295] - drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295] - drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295] - drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295] - drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295] - drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295] - drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295] - drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295] - drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295] - drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295] - drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295] - drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295] - drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295] - drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295] - drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295] - drm/tegra: Bump driver version (Mika Penttilä) [2154295] - drm/tegra: Add job firewall (Mika Penttilä) [2154295] - drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295] - gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295] - drm/tegra: Implement new UAPI (Mika Penttilä) [2154295] - drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295] - drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295] - drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295] - gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295] - gpu: host1x: Add job release callback (Mika Penttilä) [2154295] - gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295] - gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295] - drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295] - drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295] - drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295] - Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295] Resolves: rhbz#2154295 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
# CONFIG_DRM_PANEL_XINPENG_XPP055C272 is not set
# CONFIG_DRM_PANFROST is not set
# CONFIG_DRM_PARADE_PS8622 is not set
# CONFIG_DRM_PARADE_PS8640 is not set
# CONFIG_DRM_PL111 is not set
CONFIG_DRM_QXL=m
CONFIG_DRM_RADEON=m
CONFIG_DRM_RADEON_USERPTR=y
# CONFIG_DRM_RCAR_DW_HDMI is not set
# CONFIG_DRM_RCAR_LVDS is not set
# CONFIG_DRM_SII902X is not set
# CONFIG_DRM_SII9234 is not set
# CONFIG_DRM_SIL_SII8620 is not set
# CONFIG_DRM_SIMPLE_BRIDGE is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_DRM_SIMPLEDRM is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_SSD130X is not set
# CONFIG_DRM_THINE_THC63LVD1024 is not set
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_DRM_TI_DLPC3433 is not set
# CONFIG_DRM_TIDSS is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_DRM_TI_SN65DSI83 is not set
# CONFIG_DRM_TI_SN65DSI86 is not set
# CONFIG_DRM_TI_TFP410 is not set
# CONFIG_DRM_TI_TPD12S015 is not set
# CONFIG_DRM_TOSHIBA_TC358762 is not set
# CONFIG_DRM_TOSHIBA_TC358764 is not set
# CONFIG_DRM_TOSHIBA_TC358767 is not set
# CONFIG_DRM_TOSHIBA_TC358768 is not set
# CONFIG_DRM_TOSHIBA_TC358775 is not set
CONFIG_DRM_UDL=m
# CONFIG_DRM_VBOXVIDEO is not set
# CONFIG_DRM_VGEM is not set
CONFIG_DRM_VIRTIO_GPU=m
CONFIG_DRM_VKMS=m
CONFIG_DRM_VMWGFX_FBCON=y
CONFIG_DRM_VMWGFX=m
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_DRM_VMWGFX_MKSSTATS is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_DRM_XEN_FRONTEND is not set
# CONFIG_DS1682 is not set
# CONFIG_DS1803 is not set
# CONFIG_DS4424 is not set
CONFIG_DTL=y
kernel-5.14.0-197.el9 * Tue Nov 15 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-197.el9] - rhel: Provide default powercap and DTPM config settings for Arm (Al Stone) [2126952] - powercap: DTPM: Check for Energy Model type (Al Stone) [2126952] - sched, drivers: Remove max param from effective_cpu_util()/sched_cpu_util() (Al Stone) [2126952] - PM: EM: Change the order of arguments in the .active_power() callback (Al Stone) [2126952] - PM: EM: Add artificial EM flag (Al Stone) [2126952] - PM: EM: Add .get_cost() callback (Al Stone) [2126952] - powercap: DTPM: Fix spelling mistake "initialze" -> "initialize" (Al Stone) [2126952] - powercap: DTPM: dtpm_node_callback[] can be static (Al Stone) [2126952] - powercap/dtpm_cpu: Add exit function (Al Stone) [2126952] - powercap/dtpm: Move the 'root' reset place (Al Stone) [2126952] - powercap/dtpm: Destroy hierarchy function (Al Stone) [2126952] - powercap/dtpm: Fixup kfree for virtual node (Al Stone) [2126952] - powercap/dtpm_cpu: Reset per_cpu variable in the release function (Al Stone) [2126952] - powercap/dtpm: Change locking scheme (Al Stone) [2126952] - powercap/drivers/dtpm: Add dtpm devfreq with energy model support (Al Stone) [2126952] - powercap/drivers/dtpm: Add CPU DT initialization support (Al Stone) [2126952] - powercap/drivers/dtpm: Add hierarchy creation (Al Stone) [2126952] - powercap/drivers/dtpm: Remove unused function definition (Al Stone) [2126952] - powercap/drivers/dtpm: Convert the init table section to a simple array (Al Stone) [2126952] - powercap/drivers/dtpm: Reduce trace verbosity (Al Stone) [2126952] - powercap: fix typo in a comment in idle_inject.c (Al Stone) [2126952] - powercap: DTPM: Drop unused local variable from init_dtpm() (Al Stone) [2126952] - powercap/drivers/dtpm: Disable DTPM at boot time (Al Stone) [2126952] - powercap: DTPM: Fix suspend failure and kernel warning (Al Stone) [2126952] - powercap/drivers/dtpm: Fix power limit initialization (Al Stone) [2126952] - powercap/drivers/dtpm: Scale the power with the load (Al Stone) [2126952] - powercap/drivers/dtpm: Use container_of instead of a private data field (Al Stone) [2126952] - powercap/drivers/dtpm: Simplify the dtpm table (Al Stone) [2126952] - powercap/drivers/dtpm: Encapsulate even more the code (Al Stone) [2126952] - scsi: megaraid_sas: Clean up some inconsistent indenting (Tomas Henzl) [2120068] - scsi: megaraid_sas: Move megasas_dbg_lvl init to megasas_init() (Tomas Henzl) [2120068] - scsi: megaraid_sas: Remove unnecessary memset() (Tomas Henzl) [2120068] - scsi: megaraid_sas: Simplify megasas_update_device_list (Tomas Henzl) [2120068] - scsi: megaraid_sas: Correct an error message (Tomas Henzl) [2120068] - scsi: megaraid_sas: Correct value passed to scsi_device_lookup() (Tomas Henzl) [2120068] - scsi: megaraid_sas: Use struct_size() in code related to struct MR_PD_CFG_SEQ_NUM_SYNC (Tomas Henzl) [2120068] - scsi: megaraid_sas: Use struct_size() in code related to struct MR_FW_RAID_MAP (Tomas Henzl) [2120068] - scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_PD_CFG_SEQ_NUM_SYNC (Tomas Henzl) [2120068] - scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_DRV_RAID_MAP (Tomas Henzl) [2120068] - scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_FW_RAID_MAP_DYNAMIC (Tomas Henzl) [2120068] - scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_FW_RAID_MAP (Tomas Henzl) [2120068] - scsi: megaraid: Remove redundant assignment to variable mfiStatus (Tomas Henzl) [2120068] - scsi: megaraid_sas: Remove redundant variable cmd_type (Tomas Henzl) [2120068] - scsi: megaraid: Remove the static variable initialisation (Tomas Henzl) [2120068] - scsi: megaraid_sas: Call scsi_done() directly (Tomas Henzl) [2120068] - netfilter: nf_ct_ftp: fix deadlock when nat rewrite is needed (Ivan Vecera) [2139501] - netfilter: nf_ct_irc: cap packet search space to 4k (Ivan Vecera) [2139501] - netfilter: nf_ct_ftp: prefer skb_linearize (Ivan Vecera) [2139501] - netfilter: nf_ct_h323: cap packet size at 64k (Ivan Vecera) [2139501] - netfilter: nf_ct_sane: remove pseudo skb linearization (Ivan Vecera) [2139501] - veth: enable BIG TCP packets (Ivan Vecera) [2139501] - net: loopback: enable BIG TCP packets (Ivan Vecera) [2139501] - ipv6: Add hop-by-hop header to jumbograms in ip6_output (Ivan Vecera) [2139501] - net: allow gro_max_size to exceed 65536 (Ivan Vecera) [2139501] - ipv6/gro: insert temporary HBH/jumbo header (Ivan Vecera) [2139501] - ipv6/gso: remove temporary HBH/jumbo header (Ivan Vecera) [2139501] - ipv6: add struct hop_jumbo_hdr definition (Ivan Vecera) [2139501] - tcp_cubic: make hystart_ack_delay() aware of BIG TCP (Ivan Vecera) [2139501] - net: limit GSO_MAX_SIZE to 524280 bytes (Ivan Vecera) [2139501] - net: allow gso_max_size to exceed 65536 (Ivan Vecera) [2139501] - net: Adjust sk_gso_max_size once when set (Ivan Vecera) [2139501] - net: add IFLA_TSO_{MAX_SIZE|SEGS} attributes (Ivan Vecera) [2139501] - gro: add ability to control gro max packet size (Ivan Vecera) [2139501] - net: add extack arg for link ops (Ivan Vecera) [2139501] - net/mlx5e: Fix wrong use of skb_tcp_all_headers() with encapsulation (Petr Oros) [2128182] - net: add skb_[inner_]tcp_all_headers helpers (Petr Oros) [2128182] - net: marvell: clean up trigraph warning on ??! string (Petr Oros) [2128182] - sched: Always clear user_cpus_ptr in do_set_cpus_allowed() (Waiman Long) [2107354] - sched: Enforce user requested affinity (Waiman Long) [2107354] - sched: Always preserve the user requested cpumask (Waiman Long) [2107354] - sched: Introduce affinity_context (Waiman Long) [2107354] - sched: Add __releases annotations to affine_move_task() (Waiman Long) [2107354] - net: ethernet: move from strlcpy with unused retval to strscpy (Ken Cox) [2112183] - tg3: Disable tg3 device on system reboot to avoid triggering AER (Ken Cox) [2112183] - scsi: mpt3sas: Revert "scsi: mpt3sas: Fix ioc->base_readl() use" (Tomas Henzl) [2115764] - scsi: mpt3sas: Revert "scsi: mpt3sas: Fix writel() use" (Tomas Henzl) [2115764] - scsi: mpt3sas: Fix return value check of dma_get_required_mask() (Tomas Henzl) [2115764] - scsi: mpt3sas: Fix use-after-free warning (Tomas Henzl) [2115764] - scsi: mpt3sas: Update driver version to 43.100.00.00 (Tomas Henzl) [2115764] - scsi: mpt3sas: Increase cmd_per_lun to 128 (Tomas Henzl) [2115764] - scsi: mpt3sas: Fix trace buffer registration failed (Tomas Henzl) [2115764] - scsi: mpt3sas: Don't change DMA mask while reallocating pools (Tomas Henzl) [2115764] - scsi: mpt3sas: Prevent error handler escalation when device removed (Tomas Henzl) [2115764] - scsi: mpt3sas: Disable MPI2_FUNCTION_FW_DOWNLOAD for ATTO devices (Tomas Henzl) [2115764] - scsi: mpt3sas: Add support for ATTO ExpressSAS H12xx GT devices (Tomas Henzl) [2115764] - scsi: mpt3sas: Remove flush_scheduled_work() call (Tomas Henzl) [2115764] - scsi: mpt3sas: Fix whitespace and spelling mistake (Tomas Henzl) [2115764] - scsi: mpt3sas: Fix typo in comment (Tomas Henzl) [2115764] - scsi: mpt3sas: Fix out-of-bounds compiler warning (Tomas Henzl) [2115764] - scsi: mpt3sas: Update driver version to 42.100.00.00 (Tomas Henzl) [2115764] - scsi: mpt3sas: Call scsi_done() directly (Tomas Henzl) [2115764] - scsi: mpi3mr: Select CONFIG_SCSI_SAS_ATTRS (Tomas Henzl) [2115750] - scsi: mpi3mr: Remove unnecessary cast (Tomas Henzl) [2115750] - scsi: mpi3mr: Update driver version to 8.2.0.3.0 (Tomas Henzl) [2115750] - scsi: mpi3mr: Fix scheduling while atomic type bug (Tomas Henzl) [2115750] - scsi: mpi3mr: Scan the devices during resume time (Tomas Henzl) [2115750] - scsi: mpi3mr: Free enclosure objects during driver unload (Tomas Henzl) [2115750] - scsi: mpi3mr: Handle 0xF003 Fault Code (Tomas Henzl) [2115750] - scsi: mpi3mr: Graceful handling of surprise removal of PCIe HBA (Tomas Henzl) [2115750] - scsi: mpi3mr: Support new power management framework (Tomas Henzl) [2115750] - scsi: mpi3mr: Update mpi3 header files (Tomas Henzl) [2115750] - scsi: mpi3mr: Fix error code in mpi3mr_transport_smp_handler() (Tomas Henzl) [2115750] - scsi: mpi3mr: Fix error codes in mpi3mr_report_manufacture() (Tomas Henzl) [2115750] - scsi: mpi3mr: Block I/Os while refreshing target dev objects (Tomas Henzl) [2115750] - scsi: mpi3mr: Refresh SAS ports during soft reset (Tomas Henzl) [2115750] - scsi: mpi3mr: Support SAS transport class callbacks (Tomas Henzl) [2115750] - scsi: mpi3mr: Add framework to issue MPT transport cmds (Tomas Henzl) [2115750] - scsi: mpi3mr: Add SAS SATA end devices to STL (Tomas Henzl) [2115750] - scsi: mpi3mr: Get target object based on rphy (Tomas Henzl) [2115750] - scsi: mpi3mr: Add expander devices to STL (Tomas Henzl) [2115750] - scsi: mpi3mr: Enable STL on HBAs where multipath is disabled (Tomas Henzl) [2115750] - scsi: mpi3mr: Add helper functions to manage device's port (Tomas Henzl) [2115750] - scsi: mpi3mr: Add helper functions to retrieve device objects (Tomas Henzl) [2115750] - scsi: mpi3mr: Add framework to add phys to STL (Tomas Henzl) [2115750] - scsi: mpi3mr: Enable Enclosure device add event (Tomas Henzl) [2115750] - scsi: mpi3mr: Add helper functions to retrieve config pages (Tomas Henzl) [2115750] - scsi: mpi3mr: Add framework to issue config requests (Tomas Henzl) [2115750] - scsi: mpi3mr: Add config and transport related debug flags (Tomas Henzl) [2115750] - scsi: mpi3mr: Delete a stray tab (Tomas Henzl) [2115750] - scsi: mpi3mr: Unlock on error path (Tomas Henzl) [2115750] - scsi: mpi3mr: Reduce VD queue depth on detecting throttling (Tomas Henzl) [2115750] - scsi: mpi3mr: Resource Based Metering (Tomas Henzl) [2115750] - scsi: mpi3mr: Increase cmd_per_lun to 128 (Tomas Henzl) [2115750] - scsi: mpi3mr: Enable shared host tagset (Tomas Henzl) [2115750] - scsi: mpi3mr: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Tomas Henzl) [2115750] - scsi: mpi3mr: Call scsi_done() directly (Tomas Henzl) [2115750] Resolves: rhbz#2126952, rhbz#2120068, rhbz#2139501, rhbz#2128182, rhbz#2107354, rhbz#2112183, rhbz#2115764, rhbz#2115750 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-15 12:36:16 +00:00
# CONFIG_DTPM_CPU is not set
# CONFIG_DTPM_DEVFREQ is not set
# CONFIG_DTPM is not set
CONFIG_DUMMY_CONSOLE_COLUMNS=80
CONFIG_DUMMY_CONSOLE_ROWS=25
CONFIG_DUMMY_CONSOLE=y
# CONFIG_DUMMY_IRQ is not set
CONFIG_DUMMY=m
# CONFIG_DVB_AS102 is not set
CONFIG_DVB_B2C2_FLEXCOP=m
# CONFIG_DVB_B2C2_FLEXCOP_PCI_DEBUG is not set
CONFIG_DVB_B2C2_FLEXCOP_PCI=m
# CONFIG_DVB_B2C2_FLEXCOP_USB_DEBUG is not set
CONFIG_DVB_B2C2_FLEXCOP_USB=m
CONFIG_DVB_BUDGET_AV=m
CONFIG_DVB_BUDGET_CORE=m
CONFIG_DVB_BUDGET=m
CONFIG_DVB_CORE=m
CONFIG_DVB_CX24120=m
CONFIG_DVB_CXD2099=m
CONFIG_DVB_DDBRIDGE=m
# CONFIG_DVB_DDBRIDGE_MSIENABLE is not set
# CONFIG_DVB_DEMUX_SECTION_LOSS_LOG is not set
CONFIG_DVB_DRX39XYJ=m
CONFIG_DVB_DYNAMIC_MINORS=y
CONFIG_DVB_LGDT3306A=m
CONFIG_DVB_M88DS3103=m
CONFIG_DVB_MAX_ADAPTERS=8
# CONFIG_DVB_MMAP is not set
CONFIG_DVB_MN88472=m
CONFIG_DVB_MN88473=m
# CONFIG_DVB_NETUP_UNIDVB is not set
CONFIG_DVB_NET=y
CONFIG_DVB_NGENE=m
CONFIG_DVB_PLUTO2=m
CONFIG_DVB_PT1=m
# CONFIG_DVB_PT3 is not set
CONFIG_DVB_SI2165=m
CONFIG_DVB_SI2168=m
CONFIG_DVB_TC90522=m
CONFIG_DVB_TTUSB_BUDGET=m
CONFIG_DVB_TTUSB_DEC=m
# CONFIG_DVB_ULE_DEBUG is not set
CONFIG_DVB_USB_AF9015=m
CONFIG_DVB_USB_AF9035=m
CONFIG_DVB_USB_ANYSEE=m
CONFIG_DVB_USB_AU6610=m
CONFIG_DVB_USB_AZ6007=m
CONFIG_DVB_USB_CE6230=m
# CONFIG_DVB_USB_DVBSKY is not set
CONFIG_DVB_USB_EC168=m
CONFIG_DVB_USB_GL861=m
CONFIG_DVB_USB_MXL111SF=m
CONFIG_DVB_USB_RTL28XXU=m
CONFIG_DVB_USB_V2=m
# CONFIG_DVB_USB_ZD1301 is not set
# CONFIG_DW_AXI_DMAC is not set
CONFIG_DW_DMAC=m
# CONFIG_DW_DMAC_PCI is not set
# CONFIG_DW_EDMA is not set
# CONFIG_DW_EDMA_PCIE is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_DWMAC_LOONGSON is not set
# CONFIG_DW_WATCHDOG is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_DW_XDATA_PCIE is not set
CONFIG_DYNAMIC_DEBUG=y
CONFIG_DYNAMIC_FTRACE=y
CONFIG_E1000E_HWTS=y
CONFIG_E1000E=m
CONFIG_E1000=m
# CONFIG_E100 is not set
CONFIG_EARLY_PRINTK_DBGP=y
CONFIG_EARLY_PRINTK_USB_XDBC=y
# CONFIG_EBC_C384_WDT is not set
# CONFIG_ECHO is not set
# CONFIG_ECRYPT_FS is not set
CONFIG_EDAC_CPC925=m
CONFIG_EDAC_DEBUG=y
CONFIG_EDAC_DMC520=m
CONFIG_EDAC_GHES=y
CONFIG_EDAC_I10NM=m
CONFIG_EDAC_IGEN6=m
CONFIG_EDAC_LEGACY_SYSFS=y
CONFIG_EDAC_PND2=m
CONFIG_EDAC=y
CONFIG_EEPROM_93CX6=m
# CONFIG_EEPROM_93XX46 is not set
CONFIG_EEPROM_AT24=m
# CONFIG_EEPROM_AT25 is not set
# CONFIG_EEPROM_EE1004 is not set
# CONFIG_EEPROM_IDT_89HPESX is not set
CONFIG_EEPROM_LEGACY=m
CONFIG_EEPROM_MAX6875=m
# CONFIG_EFI_ARMSTUB_DTB_LOADER is not set
# CONFIG_EFI_BOOTLOADER_CONTROL is not set
# CONFIG_EFI_CAPSULE_LOADER is not set
kernel-5.14.0-163.el9 * Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9] - x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362] - rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827] - s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827] - s390: make command line configurable (Mete Durlu) [2060827] - s390: support command lines longer than 896 bytes (Mete Durlu) [2060827] - s390/kexec_file: move kernel image size check (Mete Durlu) [2060827] - s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827] - rhel: set previously unused GPIO config items (Al Stone) [2071835] - gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835] - gpio: sim: check the label length when setting up device properties (Al Stone) [2071835] - gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835] - gpio: sim: fix a typo (Al Stone) [2071835] - gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835] - gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835] - gpio: sim: fix the chip_name configfs item (Al Stone) [2071835] - gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835] - gpio: virtio: Add IRQ support (Al Stone) [2071835] - gpio: virtio: remove timeout (Al Stone) [2071835] - gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835] - gpio: mxs: Fix header comment (Al Stone) [2071835] - gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835] - gpio: grgpio: Fix device removing (Al Stone) [2071835] - gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835] - gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835] - gpio: sch: make irq_chip immutable (Al Stone) [2071835] - gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835] - gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpio: tegra186: Add HTE support (Al Stone) [2071835] - gpiolib: Add HTE support (Al Stone) [2071835] - hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835] - hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835] - hte: Add Tegra HTE test driver (Al Stone) [2071835] - hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835] - gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835] - gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835] - gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835] - gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835] - gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835] - gpio: pl061: Make the irqchip immutable (Al Stone) [2071835] - gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835] - gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835] - gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835] - gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835] - gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835] - gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835] - gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835] - gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835] - gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835] - gpiolib: Move error message out of a spinlock (Al Stone) [2071835] - gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835] - gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835] - gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835] - gpiolib: acpi: use correct format characters (Al Stone) [2071835] - gpiolib: Get rid of redundant 'else' (Al Stone) [2071835] - gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835] - gpio: Properly document parent data union (Al Stone) [2071835] - gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835] - gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835] - gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835] - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835] - gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835] - gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835] - gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: make struct comments into real kernel docs (Al Stone) [2071835] - gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835] - gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835] - gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835] - gpiolib: Never return internal error codes to user space (Al Stone) [2071835] - gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835] - gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835] - gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835] - gpio: Remove unused local OF node pointers (Al Stone) [2071835] - gpio: Propagate firmware node from a parent device (Al Stone) [2071835] - gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835] - gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835] - gpiolib: allow line names from device props to override driver names (Al Stone) [2071835] - gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835] - gpio: sim: new testing module (Al Stone) [2071835] - gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835] - gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835] - gpio: sch: fix typo in a comment (Al Stone) [2071835] - gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835] - gpiolib: improve coding style for local variables (Al Stone) [2071835] - gpio: aggregator: Add interrupt support (Al Stone) [2071835] - gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835] - gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835] - gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835] - gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835] - gpio: bd70528 Drop BD70528 support (Al Stone) [2071835] - gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835] - gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835] - gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835] - gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835] - gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835] - gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835] - gpio: virtio: Fix sparse warnings (Al Stone) [2071835] - gpio: clean up Kconfig file (Al Stone) [2071835] - gpio: Allow per-parent interrupt data (Al Stone) [2071835] - gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835] - gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835] - gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835] - gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835] - gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835] - gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835] - gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835] - gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835] - gpio: Add virtio-gpio driver (Al Stone) [2071835] - gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835] - gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835] - gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835] - gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835] - gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835] - gpio: tegra186: Add ACPI support (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835] - dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835] - gpiolib: of: constify few local device_node variables (Al Stone) [2071835] - gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835] - devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713] - netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713] - netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713] - devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713] - bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713] - devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713] - devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713] - eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713] - eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713] - eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713] - devlink: expose instance locking and add locked port registering (Petr Oros) [2101713] - mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713] - vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809] - vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809] - intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036] - intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036] - rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830] - soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830] - fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830] - rhel: Enable EFI DXE memory attributes (Al Stone) [2071830] - rhel: Enable EFI COCO secret (Al Stone) [2071830] - firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830] - firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830] - efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830] - powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830] - firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830] - firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830] - video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830] - efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830] - efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830] - edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830] - firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830] - printk: stop including cache.h from printk.h (Al Stone) [2071830] - efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830] - efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830] - efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830] - efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830] - efi: libstub: declare DXE services table (Al Stone) [2071830] - firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830] - firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830] - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830] - firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830] - firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830] - firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830] - firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830] - firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830] - firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830] - firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830] - firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830] - firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830] - firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830] - firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830] - firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830] - firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830] - firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830] - efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830] - efi: Save location of EFI confidential computing area (Al Stone) [2071830] - efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830] - efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830] - EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830] - efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830] - firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830] - firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830] - firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830] - firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830] - rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830] - firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830] - pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830] - efi/mokvar: move up init order (Al Stone) [2071830] - sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830] - sysfb: Make config option dependencies explicit (Al Stone) [2071830] - efi: fix return value of __setup handlers (Al Stone) [2071830] - efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830] - firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830] - firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830] - firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830] - firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830] - firmware: imx: add get resource owner api (Al Stone) [2071830] - firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830] - firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830] - drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830] - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830] - efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830] - virtio: wrap config->reset calls (Al Stone) [2071830] - efi: use default_groups in kobj_type (Al Stone) [2071830] - efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830] - efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830] - drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830] - firmware: edd: remove empty default_attrs array (Al Stone) [2071830] - firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830] - qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830] - firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830] - firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830] - firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830] - firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830] - firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830] - firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830] - firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830] - firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830] - include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830] - firmware: arm_scmi: Refactor message response path (Al Stone) [2071830] - firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830] - firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830] - firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830] - firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830] - firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830] - efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830] - efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830] - firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830] - firmware: arm_scmi: Add optee transport (Al Stone) [2071830] - firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830] - firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830] - firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830] - firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830] - exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830] - firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830] - firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830] - firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830] - firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830] - firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830] - firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830] - efi/cper: use stack buffer for error record decoding (Al Stone) [2071830] - efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830] - firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830] - firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830] - firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830] - firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830] - firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830] - efi: cper: check section header more appropriately (Al Stone) [2071830] - efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830] - rhel: Enable Simple FrameBuffer support (Al Stone) [2071830] - rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830] - firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830] - firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830] - firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830] - firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830] - firmware: arm_scmi: Add virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830] - firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830] - firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830] - firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830] - firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830] - firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830] - firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830] - firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830] - firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830] - firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830] - firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830] - firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830] - firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830] - efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830] - drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830] - drivers: firmware: Add PDI load API support (Al Stone) [2071830] - drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830] - drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830] - bus: Make remove callback return void (Al Stone) [2071830] - s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830] - PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830] - s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830] - s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830] - redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata) - redhat: add missing CVE reference to latest changelog entries (Patrick Talbert) Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 10:37:47 +00:00
CONFIG_EFI_COCO_SECRET=y
CONFIG_EFI_CUSTOM_SSDT_OVERLAYS=y
# CONFIG_EFI_DISABLE_PCI_DMA is not set
kernel-5.14.0-93.el9 * Tue May 17 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-93.el9] - NFSv4 only print the label when its queried (Benjamin Coddington) [2057327] - nvme: expose cntrltype and dctype through sysfs (John Meneghini) [2045550] - nvme: send uevent on connection up (John Meneghini) [2045550] - xfs: punch out data fork delalloc blocks on COW writeback failure (Andrey Albershteyn) [2039058] - macvlan: Fix leaking skb in source mode with nodst option (Davide Caratti) [2079415] - net: macvlan: fix potential UAF problem for lowerdev (Davide Caratti) [2079415] - net: ipvtap: fix template string argument of device_create() call (Davide Caratti) [2079415] - net: macvtap: fix template string argument of device_create() call (Davide Caratti) [2079415] - redhat/configs: Set CONFIG_EFI_DISABLE_RUNTIME Kconfig symbol (Javier Martinez Canillas) [2070196] - efi: Allow to enable EFI runtime services by default on RT (Javier Martinez Canillas) [2070196] - arm64: Restore forced disabling of KPTI on ThunderX (Mark Salter) [2043737] - devlink: Clarifies max_macs generic devlink param (Petr Oros) [2073210] - devlink: Add new "event_eq_size" generic device param (Petr Oros) [2073210] - devlink: Add new "io_eq_size" generic device param (Petr Oros) [2073210] - devlink: Simplify devlink resources unregister call (Petr Oros) [2073210] - devlink: Remove misleading internal_flags from health reporter dump (Petr Oros) [2073210] - devlink: fix flexible_array.cocci warning (Petr Oros) [2073210] - devlink: Add 'enable_iwarp' generic device param (Petr Oros) [2073210] - eth: fwnode: remove the addr len from mac helpers (Íñigo Huguet) [2069566] - eth: fwnode: change the return type of mac address helpers (Íñigo Huguet) [2069566] - device property: move mac addr helpers to eth.c (Íñigo Huguet) [2069566] Resolves: rhbz#2057327, rhbz#2045550, rhbz#2039058, rhbz#2079415, rhbz#2070196, rhbz#2043737, rhbz#2073210, rhbz#2069566 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-17 07:58:37 +00:00
# CONFIG_EFI_DISABLE_RUNTIME is not set
kernel-5.14.0-163.el9 * Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9] - x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362] - rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827] - s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827] - s390: make command line configurable (Mete Durlu) [2060827] - s390: support command lines longer than 896 bytes (Mete Durlu) [2060827] - s390/kexec_file: move kernel image size check (Mete Durlu) [2060827] - s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827] - rhel: set previously unused GPIO config items (Al Stone) [2071835] - gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835] - gpio: sim: check the label length when setting up device properties (Al Stone) [2071835] - gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835] - gpio: sim: fix a typo (Al Stone) [2071835] - gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835] - gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835] - gpio: sim: fix the chip_name configfs item (Al Stone) [2071835] - gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835] - gpio: virtio: Add IRQ support (Al Stone) [2071835] - gpio: virtio: remove timeout (Al Stone) [2071835] - gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835] - gpio: mxs: Fix header comment (Al Stone) [2071835] - gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835] - gpio: grgpio: Fix device removing (Al Stone) [2071835] - gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835] - gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835] - gpio: sch: make irq_chip immutable (Al Stone) [2071835] - gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835] - gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpio: tegra186: Add HTE support (Al Stone) [2071835] - gpiolib: Add HTE support (Al Stone) [2071835] - hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835] - hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835] - hte: Add Tegra HTE test driver (Al Stone) [2071835] - hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835] - gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835] - gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835] - gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835] - gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835] - gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835] - gpio: pl061: Make the irqchip immutable (Al Stone) [2071835] - gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835] - gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835] - gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835] - gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835] - gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835] - gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835] - gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835] - gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835] - gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835] - gpiolib: Move error message out of a spinlock (Al Stone) [2071835] - gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835] - gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835] - gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835] - gpiolib: acpi: use correct format characters (Al Stone) [2071835] - gpiolib: Get rid of redundant 'else' (Al Stone) [2071835] - gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835] - gpio: Properly document parent data union (Al Stone) [2071835] - gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835] - gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835] - gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835] - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835] - gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835] - gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835] - gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: make struct comments into real kernel docs (Al Stone) [2071835] - gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835] - gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835] - gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835] - gpiolib: Never return internal error codes to user space (Al Stone) [2071835] - gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835] - gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835] - gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835] - gpio: Remove unused local OF node pointers (Al Stone) [2071835] - gpio: Propagate firmware node from a parent device (Al Stone) [2071835] - gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835] - gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835] - gpiolib: allow line names from device props to override driver names (Al Stone) [2071835] - gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835] - gpio: sim: new testing module (Al Stone) [2071835] - gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835] - gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835] - gpio: sch: fix typo in a comment (Al Stone) [2071835] - gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835] - gpiolib: improve coding style for local variables (Al Stone) [2071835] - gpio: aggregator: Add interrupt support (Al Stone) [2071835] - gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835] - gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835] - gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835] - gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835] - gpio: bd70528 Drop BD70528 support (Al Stone) [2071835] - gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835] - gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835] - gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835] - gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835] - gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835] - gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835] - gpio: virtio: Fix sparse warnings (Al Stone) [2071835] - gpio: clean up Kconfig file (Al Stone) [2071835] - gpio: Allow per-parent interrupt data (Al Stone) [2071835] - gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835] - gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835] - gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835] - gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835] - gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835] - gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835] - gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835] - gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835] - gpio: Add virtio-gpio driver (Al Stone) [2071835] - gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835] - gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835] - gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835] - gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835] - gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835] - gpio: tegra186: Add ACPI support (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835] - dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835] - gpiolib: of: constify few local device_node variables (Al Stone) [2071835] - gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835] - devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713] - netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713] - netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713] - devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713] - bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713] - devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713] - devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713] - eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713] - eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713] - eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713] - devlink: expose instance locking and add locked port registering (Petr Oros) [2101713] - mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713] - vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809] - vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809] - intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036] - intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036] - rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830] - soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830] - fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830] - rhel: Enable EFI DXE memory attributes (Al Stone) [2071830] - rhel: Enable EFI COCO secret (Al Stone) [2071830] - firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830] - firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830] - efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830] - powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830] - firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830] - firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830] - video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830] - efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830] - efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830] - edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830] - firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830] - printk: stop including cache.h from printk.h (Al Stone) [2071830] - efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830] - efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830] - efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830] - efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830] - efi: libstub: declare DXE services table (Al Stone) [2071830] - firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830] - firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830] - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830] - firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830] - firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830] - firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830] - firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830] - firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830] - firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830] - firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830] - firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830] - firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830] - firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830] - firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830] - firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830] - firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830] - firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830] - efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830] - efi: Save location of EFI confidential computing area (Al Stone) [2071830] - efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830] - efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830] - EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830] - efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830] - firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830] - firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830] - firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830] - firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830] - rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830] - firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830] - pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830] - efi/mokvar: move up init order (Al Stone) [2071830] - sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830] - sysfb: Make config option dependencies explicit (Al Stone) [2071830] - efi: fix return value of __setup handlers (Al Stone) [2071830] - efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830] - firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830] - firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830] - firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830] - firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830] - firmware: imx: add get resource owner api (Al Stone) [2071830] - firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830] - firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830] - drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830] - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830] - efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830] - virtio: wrap config->reset calls (Al Stone) [2071830] - efi: use default_groups in kobj_type (Al Stone) [2071830] - efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830] - efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830] - drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830] - firmware: edd: remove empty default_attrs array (Al Stone) [2071830] - firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830] - qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830] - firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830] - firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830] - firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830] - firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830] - firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830] - firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830] - firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830] - firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830] - include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830] - firmware: arm_scmi: Refactor message response path (Al Stone) [2071830] - firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830] - firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830] - firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830] - firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830] - firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830] - efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830] - efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830] - firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830] - firmware: arm_scmi: Add optee transport (Al Stone) [2071830] - firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830] - firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830] - firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830] - firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830] - exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830] - firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830] - firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830] - firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830] - firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830] - firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830] - firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830] - efi/cper: use stack buffer for error record decoding (Al Stone) [2071830] - efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830] - firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830] - firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830] - firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830] - firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830] - firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830] - efi: cper: check section header more appropriately (Al Stone) [2071830] - efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830] - rhel: Enable Simple FrameBuffer support (Al Stone) [2071830] - rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830] - firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830] - firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830] - firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830] - firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830] - firmware: arm_scmi: Add virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830] - firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830] - firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830] - firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830] - firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830] - firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830] - firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830] - firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830] - firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830] - firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830] - firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830] - firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830] - firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830] - efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830] - drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830] - drivers: firmware: Add PDI load API support (Al Stone) [2071830] - drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830] - drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830] - bus: Make remove callback return void (Al Stone) [2071830] - s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830] - PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830] - s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830] - s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830] - redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata) - redhat: add missing CVE reference to latest changelog entries (Patrick Talbert) Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 10:37:47 +00:00
CONFIG_EFI_DXE_MEM_ATTRIBUTES=y
# CONFIG_EFI_FAKE_MEMMAP is not set
CONFIG_EFI_GENERIC_STUB_INITRD_CMDLINE_LOADER=y
CONFIG_EFI_PARTITION=y
# CONFIG_EFI_PGT_DUMP is not set
# CONFIG_EFI_RCI2_TABLE is not set
CONFIG_EFI_SOFT_RESERVE=y
# CONFIG_EFI_TEST is not set
# CONFIG_EFS_FS is not set
# CONFIG_EISA is not set
# CONFIG_EMBEDDED is not set
# CONFIG_ENA_ETHERNET is not set
CONFIG_ENCLOSURE_SERVICES=m
CONFIG_ENCRYPTED_KEYS=y
CONFIG_ENERGY_MODEL=y
CONFIG_ENIC=m
CONFIG_EPIC100=m
CONFIG_EPOLL=y
# CONFIG_EQUALIZER is not set
# CONFIG_EROFS_FS is not set
CONFIG_ETHERNET=y
kernel-5.14.0-50.el9 * Fri Jan 28 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-50.el9] - net: fix possible NULL deref in sock_reserve_memory (Paolo Abeni) [2028420] - mptcp: fix per socket endpoint accounting (Paolo Abeni) [2028420] - mptcp: Check reclaim amount before reducing allocation (Paolo Abeni) [2028420] - mptcp: fix a DSS option writing error (Paolo Abeni) [2028420] - mptcp: fix opt size when sending DSS + MP_FAIL (Paolo Abeni) [2028420] - mptcp: clean up harmless false expressions (Paolo Abeni) [2028420] - selftests: mptcp: try to set mptcp ulp mode in different sk states (Paolo Abeni) [2028420] - mptcp: enforce HoL-blocking estimation (Paolo Abeni) [2028420] - mptcp: fix deadlock in __mptcp_push_pending() (Paolo Abeni) [2028420] - mptcp: clear 'kern' flag from fallback sockets (Paolo Abeni) [2028420] - mptcp: remove tcp ulp setsockopt support (Paolo Abeni) [2028420] - mptcp: never allow the PM to close a listener subflow (Paolo Abeni) [2028420] - selftests: mptcp: remove duplicate include in mptcp_inq.c (Paolo Abeni) [2028420] - mptcp: support TCP_CORK and TCP_NODELAY (Paolo Abeni) [2028420] - mptcp: expose mptcp_check_and_set_pending (Paolo Abeni) [2028420] - tcp: expose __tcp_sock_set_cork and __tcp_sock_set_nodelay (Paolo Abeni) [2028420] - selftests: mptcp: check IP_TOS in/out are the same (Paolo Abeni) [2028420] - mptcp: getsockopt: add support for IP_TOS (Paolo Abeni) [2028420] - mptcp: allow changing the "backup" bit by endpoint id (Paolo Abeni) [2028420] - selftests: mptcp: add inq test case (Paolo Abeni) [2028420] - mptcp: add SIOCINQ, OUTQ and OUTQNSD ioctls (Paolo Abeni) [2028420] - selftests: mptcp: add TCP_INQ support (Paolo Abeni) [2028420] - mptcp: add TCP_INQ cmsg support (Paolo Abeni) [2028420] - mptcp: use delegate action to schedule 3rd ack retrans (Paolo Abeni) [2028420] - mptcp: fix delack timer (Paolo Abeni) [2028420] - selftests: mptcp: add tproxy test case (Paolo Abeni) [2028420] - mptcp: sockopt: add SOL_IP freebind & transparent options (Paolo Abeni) [2028420] - mptcp: Support for IP_TOS for MPTCP setsockopt() (Paolo Abeni) [2028420] - ipv4: Exposing __ip_sock_set_tos() in ip.h (Paolo Abeni) [2028420] - selftests: mptcp: more stable simult_flows tests (Paolo Abeni) [2028420] - selftests: mptcp: fix proto type in link_failure tests (Paolo Abeni) [2028420] - mptcp: fix corrupt receiver key in MPC + data + checksum (Paolo Abeni) [2028420] - mptcp: drop unused sk in mptcp_push_release (Paolo Abeni) [2028420] - mptcp: allocate fwd memory separately on the rx and tx path (Paolo Abeni) [2028420] - net: introduce sk_forward_alloc_get() (Paolo Abeni) [2028420] - tcp: define macros for a couple reclaim thresholds (Paolo Abeni) [2028420] - net: add new socket option SO_RESERVE_MEM (Paolo Abeni) [2028420] - mptcp: Make mptcp_pm_nl_mp_prio_send_ack() static (Paolo Abeni) [2028420] - mptcp: increase default max additional subflows to 2 (Paolo Abeni) [2028420] - mptcp: Avoid NULL dereference in mptcp_getsockopt_subflow_addrs() (Paolo Abeni) [2028420] - mptcp: fix possible stall on recvmsg() (Paolo Abeni) [2028420] - mptcp: use batch snmp operations in mptcp_seq_show() (Paolo Abeni) [2028420] - net: snmp: inline snmp_get_cpu_field() (Paolo Abeni) [2028420] - net: introduce and use lock_sock_fast_nested() (Paolo Abeni) [2028420] - net: core: Correct the sock::sk_lock.owned lockdep annotations (Paolo Abeni) [2028420] - mptcp: re-arm retransmit timer if data is pending (Paolo Abeni) [2028420] - mptcp: remove tx_pending_data (Paolo Abeni) [2028420] - mptcp: use lockdep_assert_held_once() instead of open-coding it (Paolo Abeni) [2028420] - mptcp: use OPTIONS_MPTCP_MPC (Paolo Abeni) [2028420] - mptcp: do not shrink snd_nxt when recovering (Paolo Abeni) [2028420] - mptcp: allow changing the 'backup' bit when no sockets are open (Paolo Abeni) [2028420] - mptcp: don't return sockets in foreign netns (Paolo Abeni) [2028420] - tcp: remove sk_{tr}x_skb_cache (Paolo Abeni) [2028420] - tcp: make tcp_build_frag() static (Paolo Abeni) [2028420] - mptcp: stop relying on tcp_tx_skb_cache (Paolo Abeni) [2028420] - tcp: expose the tcp_mark_push() and tcp_skb_entail() helpers (Paolo Abeni) [2028420] - selftests: mptcp: add mptcp getsockopt test cases (Paolo Abeni) [2028420] - mptcp: add MPTCP_SUBFLOW_ADDRS getsockopt support (Paolo Abeni) [2028420] - mptcp: add MPTCP_TCPINFO getsockopt support (Paolo Abeni) [2028420] - mptcp: add MPTCP_INFO getsockopt (Paolo Abeni) [2028420] - mptcp: add new mptcp_fill_diag helper (Paolo Abeni) [2028420] - mptcp: Only send extra TCP acks in eligible socket states (Paolo Abeni) [2028420] - selftests: mptcp: clean tmp files in simult_flows (Paolo Abeni) [2028420] - mptcp: ensure tx skbs always have the MPTCP ext (Paolo Abeni) [2028420] - mptcp: fix possible divide by zero (Paolo Abeni) [2028420] - mptcp: Fix duplicated argument in protocol.h (Paolo Abeni) [2028420] - mptcp: make the locking tx schema more readable (Paolo Abeni) [2028420] - mptcp: optimize the input options processing (Paolo Abeni) [2028420] - mptcp: consolidate in_opt sub-options fields in a bitmask (Paolo Abeni) [2028420] - mptcp: better binary layout for mptcp_options_received (Paolo Abeni) [2028420] - mptcp: do not set unconditionally csum_reqd on incoming opt (Paolo Abeni) [2028420] - selftests: mptcp: add MP_FAIL mibs check (Paolo Abeni) [2028420] - mptcp: add the mibs for MP_FAIL (Paolo Abeni) [2028420] - mptcp: send out MP_FAIL when data checksum fails (Paolo Abeni) [2028420] - mptcp: MP_FAIL suboption receiving (Paolo Abeni) [2028420] - mptcp: MP_FAIL suboption sending (Paolo Abeni) [2028420] - mptcp: shrink mptcp_out_options struct (Paolo Abeni) [2028420] - mptcp: optimize out option generation (Paolo Abeni) [2028420] - selftests: mptcp: add_addr and echo race test (Paolo Abeni) [2028420] - mptcp: remove MPTCP_ADD_ADDR_IPV6 and MPTCP_ADD_ADDR_PORT (Paolo Abeni) [2028420] - mptcp: build ADD_ADDR/echo-ADD_ADDR option according pm.add_signal (Paolo Abeni) [2028420] - mptcp: fix ADD_ADDR and RM_ADDR maybe flush addr_signal each other (Paolo Abeni) [2028420] - mptcp: make MPTCP_ADD_ADDR_SIGNAL and MPTCP_ADD_ADDR_ECHO separate (Paolo Abeni) [2028420] - mptcp: move drop_other_suboptions check under pm lock (Paolo Abeni) [2028420] - selftests: mptcp: delete uncontinuous removing ids (Paolo Abeni) [2028420] - selftests: mptcp: add fullmesh testcases (Paolo Abeni) [2028420] - selftests: mptcp: set and print the fullmesh flag (Paolo Abeni) [2028420] - mptcp: local addresses fullmesh (Paolo Abeni) [2028420] - mptcp: remote addresses fullmesh (Paolo Abeni) [2028420] - mptcp: drop flags and ifindex arguments (Paolo Abeni) [2028420] - selftests: mptcp: add testcase for active-back (Paolo Abeni) [2028420] - mptcp: backup flag from incoming MPJ ack option (Paolo Abeni) [2028420] - mptcp: add mibs for stale subflows processing (Paolo Abeni) [2028420] - mptcp: faster active backup recovery (Paolo Abeni) [2028420] - mptcp: cleanup sysctl data and helpers (Paolo Abeni) [2028420] - mptcp: handle pending data on closed subflow (Paolo Abeni) [2028420] - mptcp: less aggressive retransmission strategy (Paolo Abeni) [2028420] - mptcp: more accurate timeout (Paolo Abeni) [2028420] - ionic: no devlink_unregister if not registered (Petr Oros) [2032260] - devlink: fix netns refcount leak in devlink_nl_cmd_reload() (Petr Oros) [2032260] - devlink: Don't throw an error if flash notification sent before devlink visible (Petr Oros) [2032260] - devlink: make all symbols GPL-only (Petr Oros) [2032260] - devlink: Simplify internal devlink params implementation (Petr Oros) [2032260] - devlink: Clean not-executed param notifications (Petr Oros) [2032260] - devlink: Delete obsolete parameters publish API (Petr Oros) [2032260] - devlink: Remove extra device_lock assert checks (Petr Oros) [2032260] - devlink: Delete reload enable/disable interface (Petr Oros) [2032260] - net/mlx5: Set devlink reload feature bit for supported devices only (Petr Oros) [2032260] - devlink: Allow control devlink ops behavior through feature mask (Petr Oros) [2032260] - devlink: Annotate devlink API calls (Petr Oros) [2032260] - devlink: Move netdev_to_devlink helpers to devlink.c (Petr Oros) [2032260] - devlink: Reduce struct devlink exposure (Petr Oros) [2032260] - devlink: report maximum number of snapshots with regions (Petr Oros) [2032260] - devlink: Add missed notifications iterators (Petr Oros) [2032260] - netdevsim: Move devlink registration to be last devlink command (Petr Oros) [2032260] - qed: Move devlink registration to be last devlink command (Petr Oros) [2032260] - ionic: Move devlink registration to be last devlink command (Petr Oros) [2032260] - nfp: Move delink_register to be last command (Petr Oros) [2032260] - mlxsw: core: Register devlink instance last (Petr Oros) [2032260] - net/mlx5: Accept devlink user input after driver initialization complete (Petr Oros) [2032260] - net/mlx4: Move devlink_register to be the last initialization command (Petr Oros) [2032260] - ice: Open devlink when device is ready (Petr Oros) [2032260] - net: hinic: Open device for the user access when it is ready (Petr Oros) [2032260] - bnxt_en: Register devlink instance at the end devlink configuration (Petr Oros) [2032260] - devlink: Notify users when objects are accessible (Petr Oros) [2032260] - net/mlx5: Fix rdma aux device on devlink reload (Petr Oros) [2032260] - qed: Don't ignore devlink allocation failures (Petr Oros) [2032260] - ice: Delete always true check of PF pointer (Petr Oros) [2032260] - devlink: Remove single line function obfuscations (Petr Oros) [2032260] - devlink: Delete not used port parameters APIs (Petr Oros) [2032260] - bnxt_en: Properly remove port parameter support (Petr Oros) [2032260] - bnxt_en: Check devlink allocation and registration status (Petr Oros) [2032260] - devlink: Make devlink_register to be void (Petr Oros) [2032260] - devlink: Delete not-used devlink APIs (Petr Oros) [2032260] - devlink: Delete not-used single parameter notification APIs (Petr Oros) [2032260] - net/mlx5: Publish and unpublish all devlink parameters at once (Petr Oros) [2032260] - devlink: Use xarray to store devlink instances (Petr Oros) [2032260] - devlink: Count struct devlink consumers (Petr Oros) [2032260] - devlink: Remove check of always valid devlink pointer (Petr Oros) [2032260] - devlink: Simplify devlink_pernet_pre_exit call (Petr Oros) [2032260] - net/mlx5: Support enable_vnet devlink dev param (Petr Oros) [2032260] - net/mlx5: Support enable_rdma devlink dev param (Petr Oros) [2032260] - net/mlx5: Support enable_eth devlink dev param (Petr Oros) [2032260] - net/mlx5: Fix unpublish devlink parameters (Petr Oros) [2032260] - devlink: Add APIs to publish, unpublish individual parameter (Petr Oros) [2032260] - devlink: Add API to register and unregister single parameter (Petr Oros) [2032260] - devlink: Create a helper function for one parameter registration (Petr Oros) [2032260] - devlink: Add new "enable_vnet" generic device param (Petr Oros) [2032260] - devlink: Add new "enable_rdma" generic device param (Petr Oros) [2032260] - devlink: Add new "enable_eth" generic device param (Petr Oros) [2032260] - devlink: Fix port_type_set function pointer check (Petr Oros) [2032260] - devlink: Set device as early as possible (Petr Oros) [2032260] - devlink: Simplify devlink port API calls (Petr Oros) [2032260] - devlink: Allocate devlink directly in requested net namespace (Petr Oros) [2032260] - devlink: Remove duplicated registration check (Petr Oros) [2032260] - netdevsim: Protect both reload_down and reload_up paths (Petr Oros) [2032260] - netdevsim: Forbid devlink reload when adding or deleting ports (Petr Oros) [2032260] - net/mlx5: Don't rely on always true registered field (Petr Oros) [2032260] - ionic: cleanly release devlink instance (Petr Oros) [2032260] - selftests: net: bridge: fix typo in vlan_filtering dependency test (Ivan Vecera) [2037335] - selftests: net: bridge: add test for vlan_filtering dependency (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_router tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast query and query response interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_querier_interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_membership_interval test (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_startup_query_count/interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast_last_member_count/interval tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast igmp/mld version tests (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast querier test (Ivan Vecera) [2037335] - selftests: net: bridge: add vlan mcast snooping control test (Ivan Vecera) [2037335] - net: bridge: mcast: fix br_multicast_ctx_vlan_global_disabled helper (Ivan Vecera) [2037335] - net: bridge: mcast: add and enforce startup query interval minimum (Ivan Vecera) [2037335] - net: bridge: mcast: add and enforce query interval minimum (Ivan Vecera) [2037335] - net: bridge: fix ioctl old_deviceless bridge argument (Ivan Vecera) [2037335] - net: bridge: Use array_size() helper in copy_to_user() (Ivan Vecera) [2037335] - net: bridge: fix uninitialized variables when BRIDGE_CFM is disabled (Ivan Vecera) [2037335] - net: bridge: mcast: use multicast_membership_interval for IGMPv3 (Ivan Vecera) [2037335] - net: bridge: fix under estimation in br_get_linkxstats_size() (Ivan Vecera) [2037335] - net: bridge: use nla_total_size_64bit() in br_get_linkxstats_size() (Ivan Vecera) [2037335] - net: bridge: mcast: Associate the seqcount with its protecting lock. (Ivan Vecera) [2037335] - net: bridge: mcast: fix vlan port router deadlock (Ivan Vecera) [2037335] - net: bridge: use mld2r_ngrec instead of icmpv6_dataun (Ivan Vecera) [2037335] - net: bridge: change return type of br_handle_ingress_vlan_tunnel (Ivan Vecera) [2037335] - net: bridge: vlan: convert mcast router global option to per-vlan entry (Ivan Vecera) [2037335] - net: bridge: mcast: br_multicast_set_port_router takes multicast context as argument (Ivan Vecera) [2037335] - net: bridge: mcast: toggle also host vlan state in br_multicast_toggle_vlan (Ivan Vecera) [2037335] - net: bridge: mcast: use the correct vlan group helper (Ivan Vecera) [2037335] - net: bridge: vlan: account for router port lists when notifying (Ivan Vecera) [2037335] - net: bridge: vlan: enable mcast snooping for existing master vlans (Ivan Vecera) [2037335] - net: bridge: mcast: account for ipv6 size when dumping querier state (Ivan Vecera) [2037335] - net: bridge: mcast: drop sizeof for nest attribute's zero size (Ivan Vecera) [2037335] - net: bridge: mcast: don't dump querier state if snooping is disabled (Ivan Vecera) [2037335] - net: bridge: vlan: dump mcast ctx querier state (Ivan Vecera) [2037335] - net: bridge: mcast: dump ipv6 querier state (Ivan Vecera) [2037335] - net: bridge: mcast: dump ipv4 querier state (Ivan Vecera) [2037335] - net: bridge: mcast: consolidate querier selection for ipv4 and ipv6 (Ivan Vecera) [2037335] - net: bridge: mcast: make sure querier port/address updates are consistent (Ivan Vecera) [2037335] - net: bridge: mcast: record querier port device ifindex instead of pointer (Ivan Vecera) [2037335] - net: bridge: vlan: use br_rports_fill_info() to export mcast router ports (Ivan Vecera) [2037335] - net: bridge: mcast: use the proper multicast context when dumping router ports (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast router global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast querier global option (Ivan Vecera) [2037335] - net: bridge: mcast: querier and query state affect only current context type (Ivan Vecera) [2037335] - net: bridge: mcast: move querier state to the multicast context (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast startup query interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast query response interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast query interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast querier interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast membership interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast last member interval global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast startup query count global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast last member count global option (Ivan Vecera) [2037335] - net: bridge: vlan: add support for mcast igmp/mld version global options (Ivan Vecera) [2037335] - net: bridge: vlan: fix global vlan option range dumping (Ivan Vecera) [2037335] - net: make switchdev_bridge_port_{,unoffload} loosely coupled with the bridge (Ivan Vecera) [2037335] - net: bridge: switchdev: fix incorrect use of FDB flags when picking the dst device (Ivan Vecera) [2037335] - net: bridge: switchdev: treat local FDBs the same as entries towards the bridge (Ivan Vecera) [2037335] - net: bridge: switchdev: replay the entire FDB for each port (Ivan Vecera) [2037335] - net: bridge: add a helper for retrieving port VLANs from the data path (Ivan Vecera) [2037335] - net: bridge: update BROPT_VLAN_ENABLED before notifying switchdev in br_vlan_filter_toggle (Ivan Vecera) [2037335] - net: bridge: fix build when setting skb->offload_fwd_mark with CONFIG_NET_SWITCHDEV=n (Ivan Vecera) [2037335] - net: bridge: switchdev: allow the TX data plane forwarding to be offloaded (Ivan Vecera) [2037335] - net: switchdev: fix FDB entries towards foreign ports not getting propagated to us (Ivan Vecera) [2037335] - net: bridge: move the switchdev object replay helpers to "push" mode (Ivan Vecera) [2037335] - net: bridge: guard the switchdev replay helpers against a NULL notifier block (Ivan Vecera) [2037335] - net: bridge: switchdev: let drivers inform which bridge ports are offloaded (Ivan Vecera) [2037335] - net: bridge: switchdev: recycle unused hwdoms (Ivan Vecera) [2037335] - net: bridge: disambiguate offload_fwd_mark (Ivan Vecera) [2037335] - net: bridge: multicast: add context support for host-joined groups (Ivan Vecera) [2037335] - net: bridge: multicast: add mdb context support (Ivan Vecera) [2037335] - net: bridge: multicast: fix igmp/mld port context null pointer dereferences (Ivan Vecera) [2037335] - net: switchdev: recurse into __switchdev_handle_fdb_del_to_device (Ivan Vecera) [2037335] - net: switchdev: remove stray semicolon in switchdev_handle_fdb_del_to_device shim (Ivan Vecera) [2037335] - net: bridge: vlan: add mcast snooping control (Ivan Vecera) [2037335] - net: bridge: vlan: notify when global options change (Ivan Vecera) [2037335] - net: bridge: vlan: add support for dumping global vlan options (Ivan Vecera) [2037335] - net: bridge: vlan: add support for global options (Ivan Vecera) [2037335] - net: bridge: multicast: include router port vlan id in notifications (Ivan Vecera) [2037335] - net: bridge: multicast: add vlan querier and query support (Ivan Vecera) [2037335] - net: bridge: multicast: check if should use vlan mcast ctx (Ivan Vecera) [2037335] - net: bridge: multicast: use the port group to port context helper (Ivan Vecera) [2037335] - net: bridge: multicast: add helper to get port mcast context from port group (Ivan Vecera) [2037335] - net: bridge: add vlan mcast snooping knob (Ivan Vecera) [2037335] - net: bridge: multicast: add vlan state initialization and control (Ivan Vecera) [2037335] - net: bridge: vlan: add global and per-port multicast context (Ivan Vecera) [2037335] - net: bridge: multicast: use multicast contexts instead of bridge or port (Ivan Vecera) [2037335] - net: bridge: multicast: factor out bridge multicast context (Ivan Vecera) [2037335] - net: bridge: multicast: factor out port multicast context (Ivan Vecera) [2037335] - net: switchdev: introduce a fanout helper for SWITCHDEV_FDB_{ADD,DEL}_TO_DEVICE (Ivan Vecera) [2037335] - net: switchdev: introduce helper for checking dynamically learned FDB entries (Ivan Vecera) [2037335] - kernel: Add redhat code (Prarit Bhargava) [2047259] - nvme: Mark NVMe over FC Target support unmaintained (Prarit Bhargava) [2019379] - hdlc_fr: Mark driver unmaintained (Prarit Bhargava) [2019379] - sfc: Mark siena driver unmaintained (Prarit Bhargava) [2019379] - qla3xxx: Mark driver unmaintained (Prarit Bhargava) [2019379] - netxen: Mark nic driver unmaintained (Prarit Bhargava) [2019379] - redhat/configs: Disable ethoc driver (Prarit Bhargava) [2019379] - redhat/configs: Disable dnet driver (Prarit Bhargava) [2019379] - drivers/pci/pci-driver.c: Fix if/ifdef typo (Prarit Bhargava) [2019379] - kernel/rh_taint.c: Update to new messaging (Prarit Bhargava) [2019379] Resolves: rhbz#2019379, rhbz#2028420, rhbz#2032260, rhbz#2037335, rhbz#2047259 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-28 14:57:58 +00:00
# CONFIG_ETHOC is not set
CONFIG_ETHTOOL_NETLINK=y
# CONFIG_EUROTECH_WDT is not set
CONFIG_EVENT_TRACING=y
# CONFIG_EVM_ADD_XATTRS is not set
CONFIG_EVM_ATTR_FSUUID=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_EVM_LOAD_X509 is not set
CONFIG_EVM=y
CONFIG_EXFAT_DEFAULT_IOCHARSET="utf8"
CONFIG_EXFAT_FS=m
# CONFIG_EXPERT is not set
CONFIG_EXPORTFS_BLOCK_OPS=y
CONFIG_EXPORTFS=y
# CONFIG_EXT2_FS is not set
# CONFIG_EXT3_FS is not set
CONFIG_EXT4_DEBUG=y
CONFIG_EXT4_FS=m
CONFIG_EXT4_FS_POSIX_ACL=y
CONFIG_EXT4_FS_SECURITY=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_EXT4_KUNIT_TESTS=m
CONFIG_EXT4_USE_FOR_EXT2=y
# CONFIG_EXTCON_FSA9480 is not set
# CONFIG_EXTCON is not set
# CONFIG_EXTCON_MAX3355 is not set
# CONFIG_EXTCON_PTN5150 is not set
# CONFIG_EXTCON_QCOM_SPMI_MISC is not set
# CONFIG_EXTCON_RT8973A is not set
# CONFIG_EXTCON_SM5502 is not set
# CONFIG_EXTCON_USBC_TUSB320 is not set
# CONFIG_EXTCON_USB_GPIO is not set
CONFIG_EXTRA_FIRMWARE=""
CONFIG_EXTRA_TARGETS=""
# CONFIG_EZX_PCAP is not set
# CONFIG_F2FS_FS is not set
CONFIG_FA_DUMP=y
# CONFIG_FAIL_FUNCTION is not set
# CONFIG_FAIL_FUTEX is not set
# CONFIG_FAIL_IOMMU is not set
CONFIG_FAIL_IO_TIMEOUT=y
CONFIG_FAIL_MAKE_REQUEST=y
CONFIG_FAIL_MMC_REQUEST=y
CONFIG_FAILOVER=m
CONFIG_FAIL_PAGE_ALLOC=y
CONFIG_FAILSLAB=y
kernel-5.14.0-66.el9 * Mon Feb 21 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-66.el9] - lockd: fix failure to cleanup client locks (Scott Mayhew) [2049200] - lockd: fix server crash on reboot of client holding lock (Scott Mayhew) [2049200] - SUNRPC: Don't dereference xprt->snd_task if it's a cookie (Scott Mayhew) [2049200] - tracing: Fix mismatched comment in __string_len (Scott Mayhew) [2049200] - nfs: block notification on fs with its own ->lock (Scott Mayhew) [2049200] - NFSD: Fix READDIR buffer overflow (Scott Mayhew) [2049200] - nfsd: fix use-after-free due to delegation race (Scott Mayhew) [2049200] - nfsd: Fix nsfd startup race (again) (Scott Mayhew) [2049200] - SUNRPC: use different lock keys for INET6 and LOCAL (Scott Mayhew) [2049200] - NFSv4.1: handle NFS4ERR_NOSPC by CREATE_SESSION (Scott Mayhew) [2049200] - NFSv42: Fix pagecache invalidation after COPY/CLONE (Scott Mayhew) [2049200] - NFS: Add a tracepoint to show the results of nfs_set_cache_invalid() (Scott Mayhew) [2049200] - NFSv42: Don't fail clone() unless the OP_CLONE operation failed (Scott Mayhew) [2049200] - NFSD: Fix exposure in nfsd4_decode_bitmap() (Scott Mayhew) [2049200] - NFSv4: Sanity check the parameters in nfs41_update_target_slotid() (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label argument from decode_getattr_*() functions (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label argument from nfs_setsecurity (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label argument from nfs_fhget() (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label argument from nfs_add_or_obtain() (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label argument from nfs_instantiate() (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label from the nfs_setattrres (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label from the nfs4_getattr_res (Scott Mayhew) [2049200] - NFS: Remove the f_label from the nfs4_opendata and nfs_openres (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label from the nfs4_lookupp_res struct (Scott Mayhew) [2049200] - NFS: Remove the label from the nfs4_lookup_res struct (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label from the nfs4_link_res struct (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label from the nfs4_create_res struct (Scott Mayhew) [2049200] - NFS: Remove the nfs4_label from the nfs_entry struct (Scott Mayhew) [2049200] - NFS: Create a new nfs_alloc_fattr_with_label() function (Scott Mayhew) [2049200] - NFS: Always initialise fattr->label in nfs_fattr_alloc() (Scott Mayhew) [2049200] - NFSv4.2: alloc_file_pseudo() takes an open flag, not an f_mode (Scott Mayhew) [2049200] - NFS: Don't allocate nfs_fattr on the stack in __nfs42_ssc_open() (Scott Mayhew) [2049200] - NFSv4: Remove unnecessary 'minor version' check (Scott Mayhew) [2049200] - NFSv4: Fix potential Oops in decode_op_map() (Scott Mayhew) [2049200] - NFSv4: Ensure decode_compound_hdr() sanity checks the tag (Scott Mayhew) [2049200] - NFS: Don't trace an uninitialised value (Scott Mayhew) [2049200] - SUNRPC: Prevent immediate close+reconnect (Scott Mayhew) [2049200] - SUNRPC: Fix races when closing the socket (Scott Mayhew) [2049200] - NFSv4.2 add tracepoint to OFFLOAD_CANCEL (Scott Mayhew) [2049200] - NFSv4.2 add tracepoint to COPY_NOTIFY (Scott Mayhew) [2049200] - NFSv4.2 add tracepoint to CB_OFFLOAD (Scott Mayhew) [2049200] - NFSv4.2 add tracepoint to CLONE (Scott Mayhew) [2049200] - NFSv4.2 add tracepoint to COPY (Scott Mayhew) [2049200] - NFSv4.2 add tracepoints to FALLOCATE and DEALLOCATE (Scott Mayhew) [2049200] - NFSv4.2 add tracepoint to SEEK (Scott Mayhew) [2049200] - SUNRPC: Check if the xprt is connected before handling sysfs reads (Scott Mayhew) [2049200] - nfs4: take a reference on the nfs_client when running FREE_STATEID (Scott Mayhew) [2049200] - NFS: Add offset to nfs_aop_readahead tracepoint (Scott Mayhew) [2049200] - xprtrdma: Fix a maybe-uninitialized compiler warning (Scott Mayhew) [2049200] - NFS: Move NFS protocol display macros to global header (Scott Mayhew) [2049200] - NFS: Move generic FS show macros to global header (Scott Mayhew) [2049200] - nfsd4: remove obselete comment (Scott Mayhew) [2049200] - SUNRPC: Clean up xs_tcp_setup_sock() (Scott Mayhew) [2049200] - SUNRPC: Replace use of socket sk_callback_lock with sock_lock (Scott Mayhew) [2049200] - NFSv4: Fix a regression in nfs_set_open_stateid_locked() (Scott Mayhew) [2049200] - fsnotify: Protect fsnotify_handle_inode_event from no-inode events (Scott Mayhew) [2049200] - NFS: Remove redundant call to __set_page_dirty_nobuffers (Scott Mayhew) [2049200] - sunrpc: remove unnecessary test in rpc_task_set_client() (Scott Mayhew) [2049200] - NFS: Unexport nfs_probe_fsinfo() (Scott Mayhew) [2049200] - NFS: Call nfs_probe_server() during a fscontext-reconfigure event (Scott Mayhew) [2049200] - NFS: Replace calls to nfs_probe_fsinfo() with nfs_probe_server() (Scott Mayhew) [2049200] - NFS: Move nfs_probe_destination() into the generic client (Scott Mayhew) [2049200] - NFS: Create an nfs4_server_set_init_caps() function (Scott Mayhew) [2049200] - NFS: Remove --> and <-- dprintk call sites (Scott Mayhew) [2049200] - SUNRPC: Trace calls to .rpc_call_done (Scott Mayhew) [2049200] - NFS: Replace dprintk callsites in nfs_readpage(s) (Scott Mayhew) [2049200] - SUNRPC: Use BIT() macro in rpc_show_xprt_state() (Scott Mayhew) [2049200] - SUNRPC: Tracepoints should display tk_pid and cl_clid as a fixed-size field (Scott Mayhew) [2049200] - xprtrdma: Remove rpcrdma_ep::re_implicit_roundup (Scott Mayhew) [2049200] - xprtrdma: Provide a buffer to pad Write chunks of unaligned length (Scott Mayhew) [2049200] - Fix user namespace leak (Scott Mayhew) [2049200] - NFS: Save some space in the inode (Scott Mayhew) [2049200] - NFS: Fix WARN_ON due to unionization of nfs_inode.nrequests (Scott Mayhew) [2049200] - NFSv4: Fixes for nfs4_inode_return_delegation() (Scott Mayhew) [2049200] - NFS: Fix an Oops in pnfs_mark_request_commit() (Scott Mayhew) [2049200] - NFS: Fix up commit deadlocks (Scott Mayhew) [2049200] - NFSD:fix boolreturn.cocci warning (Scott Mayhew) [2049200] - nfsd: update create verifier comment (Scott Mayhew) [2049200] - SUNRPC: Change return value type of .pc_encode (Scott Mayhew) [2049200] - SUNRPC: Replace the "__be32 *p" parameter to .pc_encode (Scott Mayhew) [2049200] - NFSD: Save location of NFSv4 COMPOUND status (Scott Mayhew) [2049200] - SUNRPC: Change return value type of .pc_decode (Scott Mayhew) [2049200] - SUNRPC: Replace the "__be32 *p" parameter to .pc_decode (Scott Mayhew) [2049200] - SUNRPC: De-duplicate .pc_release() call sites (Scott Mayhew) [2049200] - SUNRPC: Simplify the SVC dispatch code path (Scott Mayhew) [2049200] - NFS: Fix deadlocks in nfs_scan_commit_list() (Scott Mayhew) [2049200] - NFS: Instrument i_size_write() (Scott Mayhew) [2049200] - SUNRPC: Per-rpc_clnt task PIDs (Scott Mayhew) [2049200] - NFS: Remove unnecessary TRACE_DEFINE_ENUM()s (Scott Mayhew) [2049200] - NFSD: Keep existing listeners on portlist error (Scott Mayhew) [2049200] - nfs: Fix kerneldoc warning shown up by W=1 (Scott Mayhew) [2049200] - SUNRPC: Capture value of xdr_buf::page_base (Scott Mayhew) [2049200] - SUNRPC: Add trace event when alloc_pages_bulk() makes no progress (Scott Mayhew) [2049200] - svcrdma: Split svcrmda_wc_{read,write} tracepoints (Scott Mayhew) [2049200] - svcrdma: Split the svcrdma_wc_send() tracepoint (Scott Mayhew) [2049200] - svcrdma: Split the svcrdma_wc_receive() tracepoint (Scott Mayhew) [2049200] - pnfs/flexfiles: Fix misplaced barrier in nfs4_ff_layout_prepare_ds (Scott Mayhew) [2049200] - NFS: Remove unnecessary page cache invalidations (Scott Mayhew) [2049200] - NFS: Do not flush the readdir cache in nfs_dentry_iput() (Scott Mayhew) [2049200] - NFS: Fix dentry verifier races (Scott Mayhew) [2049200] - NFS: Further optimisations for 'ls -l' (Scott Mayhew) [2049200] - NFS: Fix up nfs_readdir_inode_mapping_valid() (Scott Mayhew) [2049200] - NFS: Ignore the directory size when marking for revalidation (Scott Mayhew) [2049200] - NFS: Don't set NFS_INO_DATA_INVAL_DEFER and NFS_INO_INVALID_DATA (Scott Mayhew) [2049200] - NFS: Default change_attr_type to NFS4_CHANGE_TYPE_IS_UNDEFINED (Scott Mayhew) [2049200] - NFSv4: Retrieve ACCESS on open if we're not using NFS4_CREATE_EXCLUSIVE (Scott Mayhew) [2049200] - NFS: Fix a few more clear_bit() instances that need release semantics (Scott Mayhew) [2049200] - SUNRPC: xprt_clear_locked() only needs release memory semantics (Scott Mayhew) [2049200] - SUNRPC: Remove unnecessary memory barriers (Scott Mayhew) [2049200] - SUNRPC: Remove WQ_HIGHPRI from xprtiod (Scott Mayhew) [2049200] - SUNRPC: Add cond_resched() at the appropriate point in __rpc_execute() (Scott Mayhew) [2049200] - SUNRPC: Partial revert of commit 6f9f17287e78 (Scott Mayhew) [2049200] - NFS: Fix up nfs_ctx_key_to_expire() (Scott Mayhew) [2049200] - NFS: Label the dentry with a verifier in nfs_rmdir() and nfs_unlink() (Scott Mayhew) [2049200] - NFS: Label the dentry with a verifier in nfs_link(), nfs_symlink() (Scott Mayhew) [2049200] - NFSD: Have legacy NFSD WRITE decoders use xdr_stream_subsegment() (Scott Mayhew) [2049200] - SUNRPC: xdr_stream_subsegment() must handle non-zero page_bases (Scott Mayhew) [2049200] - NFSD: Initialize pointer ni with NULL and not plain integer 0 (Scott Mayhew) [2049200] - NFSD: simplify struct nfsfh (Scott Mayhew) [2049200] - NFSD: drop support for ancient filehandles (Scott Mayhew) [2049200] - NFSD: move filehandle format declarations out of "uapi". (Scott Mayhew) [2049200] - SUNRPC: fix sign error causing rpcsec_gss drops (Scott Mayhew) [2049200] - nfsd: Fix a warning for nfsd_file_close_inode (Scott Mayhew) [2049200] - nfsd4: Handle the NFSv4 READDIR 'dircount' hint being zero (Scott Mayhew) [2049200] - nfsd: fix error handling of register_pernet_subsys() in init_nfsd() (Scott Mayhew) [2049200] - UNRPC: Return specific error code on kmalloc failure (Scott Mayhew) [2049200] - NFSD: Optimize DRC bucket pruning (Scott Mayhew) [2049200] - nfsd: don't alloc under spinlock in rpc_parse_scope_id (Scott Mayhew) [2049200] - nfsd: back channel stuck in SEQ4_STATUS_CB_PATH_DOWN (Scott Mayhew) [2049200] - NLM: Fix svcxdr_encode_owner() (Scott Mayhew) [2049200] - SUNRPC: improve error response to over-size gss credential (Scott Mayhew) [2049200] - SUNRPC: don't pause on incomplete allocation (Scott Mayhew) [2049200] - NFS: Always provide aligned buffers to the RPC read layers (Scott Mayhew) [2049200] - NFSv4.1 add network transport when session trunking is detected (Scott Mayhew) [2049200] - SUNRPC enforce creation of no more than max_connect xprts (Scott Mayhew) [2049200] - NFSv4 introduce max_connect mount options (Scott Mayhew) [2049200] - SUNRPC add xps_nunique_destaddr_xprts to xprt_switch_info in sysfs (Scott Mayhew) [2049200] - SUNRPC keep track of number of transports to unique addresses (Scott Mayhew) [2049200] - NFSv3: Delete duplicate judgement in nfs3_async_handle_jukebox (Scott Mayhew) [2049200] - SUNRPC: Tweak TCP socket shutdown in the RPC client (Scott Mayhew) [2049200] - SUNRPC: Simplify socket shutdown when not reusing TCP ports (Scott Mayhew) [2049200] - nfsd: fix crash on LOCKT on reexported NFSv3 (Scott Mayhew) [2049200] - nfs: don't allow reexport reclaims (Scott Mayhew) [2049200] - lockd: don't attempt blocking locks on nfs reexports (Scott Mayhew) [2049200] - nfs: don't atempt blocking locks on nfs reexports (Scott Mayhew) [2049200] - Keep read and write fds with each nlm_file (Scott Mayhew) [2049200] - lockd: update nlm_lookup_file reexport comment (Scott Mayhew) [2049200] - nlm: minor refactoring (Scott Mayhew) [2049200] - nlm: minor nlm_lookup_file argument change (Scott Mayhew) [2049200] - lockd: lockd server-side shouldn't set fl_ops (Scott Mayhew) [2049200] - SUNRPC: Server-side disconnect injection (Scott Mayhew) [2049200] - SUNRPC: Move client-side disconnect injection (Scott Mayhew) [2049200] - SUNRPC: Add a /sys/kernel/debug/fail_sunrpc/ directory (Scott Mayhew) [2049200] - redhat/configs: Add CONFIG_FAIL_SUNRPC (Scott Mayhew) [2049200] - svcrdma: xpt_bc_xprt is already clear in __svc_rdma_free() (Scott Mayhew) [2049200] - nfsd4: Fix forced-expiry locking (Scott Mayhew) [2049200] - rpc: fix gss_svc_init cleanup on failure (Scott Mayhew) [2049200] - SUNRPC: Add RPC_AUTH_TLS protocol numbers (Scott Mayhew) [2049200] - lockd: change the proc_handler for nsm_use_hostnames (Scott Mayhew) [2049200] - sysctl: introduce new proc handler proc_dobool (Scott Mayhew) [2049200] - SUNRPC: Fix a NULL pointer deref in trace_svc_stats_latency() (Scott Mayhew) [2049200] - NFSD: remove vanity comments (Scott Mayhew) [2049200] - svcrdma: Convert rdma->sc_rw_ctxts to llist (Scott Mayhew) [2049200] - svcrdma: Relieve contention on sc_send_lock. (Scott Mayhew) [2049200] - svcrdma: Fewer calls to wake_up() in Send completion handler (Scott Mayhew) [2049200] - lockd: Fix invalid lockowner cast after vfs_test_lock (Scott Mayhew) [2049200] - NFSD: Use new __string_len C macros for nfsd_clid_class (Scott Mayhew) [2049200] - NFSD: Use new __string_len C macros for the nfs_dirent tracepoint (Scott Mayhew) [2049200] - tracing: Add trace_event helper macros __string_len() and __assign_str_len() (Scott Mayhew) [2049200] - NFSD: Batch release pages during splice read (Scott Mayhew) [2049200] - SUNRPC: Add svc_rqst_replace_page() API (Scott Mayhew) [2049200] - NFSD: Clean up splice actor (Scott Mayhew) [2049200] - NFSv4.2: remove restriction of copy size for inter-server copy. (Scott Mayhew) [2049200] - NFS: Clean up the synopsis of callback process_op() (Scott Mayhew) [2049200] - NFS: Extract the xdr_init_encode/decode() calls from decode_compound (Scott Mayhew) [2049200] - NFS: Remove unused callback void decoder (Scott Mayhew) [2049200] - NFS: Add a private local dispatcher for NFSv4 callback operations (Scott Mayhew) [2049200] - SUNRPC: Eliminate the RQ_AUTHERR flag (Scott Mayhew) [2049200] - SUNRPC: Set rq_auth_stat in the pg_authenticate() callout (Scott Mayhew) [2049200] - SUNRPC: Add svc_rqst::rq_auth_stat (Scott Mayhew) [2049200] - SUNRPC: Add dst_port to the sysfs xprt info file (Scott Mayhew) [2049200] - SUNRPC: Add srcaddr as a file in sysfs (Scott Mayhew) [2049200] - sunrpc: Fix return value of get_srcport() (Scott Mayhew) [2049200] - SUNRPC/xprtrdma: Fix reconnection locking (Scott Mayhew) [2049200] - SUNRPC: Clean up scheduling of autoclose (Scott Mayhew) [2049200] - SUNRPC: Fix potential memory corruption (Scott Mayhew) [2049200] - NFSv4/pnfs: The layout barrier indicate a minimal value for the seqid (Scott Mayhew) [2049200] - NFSv4/pNFS: Always allow update of a zero valued layout barrier (Scott Mayhew) [2049200] - NFSv4/pNFS: Remove dead code (Scott Mayhew) [2049200] - NFSv4/pNFS: Fix a layoutget livelock loop (Scott Mayhew) [2049200] - SUNRPC: Convert rpc_client refcount to use refcount_t (Scott Mayhew) [2049200] - xprtrdma: Eliminate rpcrdma_post_sends() (Scott Mayhew) [2049200] - xprtrdma: Add an xprtrdma_post_send_err tracepoint (Scott Mayhew) [2049200] - xprtrdma: Add xprtrdma_post_recvs_err() tracepoint (Scott Mayhew) [2049200] - xprtrdma: Put rpcrdma_reps before waking the tear-down completion (Scott Mayhew) [2049200] - xprtrdma: Disconnect after an ib_post_send() immediate error (Scott Mayhew) [2049200] - SUNRPC: Record timeout value in xprt_retransmit tracepoint (Scott Mayhew) [2049200] - SUNRPC: xprt_retransmit() displays the the NULL procedure incorrectly (Scott Mayhew) [2049200] - SUNRPC: Update trace flags (Scott Mayhew) [2049200] - SUNRPC: Remove unneeded TRACE_DEFINE_ENUMs (Scott Mayhew) [2049200] - SUNRPC: Unset RPC_TASK_NO_RETRANS_TIMEOUT for NULL RPCs (Scott Mayhew) [2049200] - SUNRPC: Refactor rpc_ping() (Scott Mayhew) [2049200] Resolves: rhbz#2049200 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-21 14:46:09 +00:00
CONFIG_FAIL_SUNRPC=y
CONFIG_FAIR_GROUP_SCHED=y
CONFIG_FANOTIFY_ACCESS_PERMISSIONS=y
CONFIG_FANOTIFY=y
# CONFIG_FARSYNC is not set
CONFIG_FAT_DEFAULT_CODEPAGE=437
CONFIG_FAT_DEFAULT_IOCHARSET="ascii"
# CONFIG_FAT_DEFAULT_UTF8 is not set
CONFIG_FAT_FS=m
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_FAT_KUNIT_TEST=m
kernel-5.14.0-369.el9 * Mon Sep 25 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-369.el9] - devlink: add missing unregister linecard notification (Petr Oros) [RHEL-945] - devlink: fix kernel-doc notation warnings (Petr Oros) [RHEL-945] - devlink: report devlink_port_type_warn source device (Petr Oros) [RHEL-945] - devlink: bring port new reply back (Petr Oros) [RHEL-945] - devlink: make health report on unregistered instance warn just once (Petr Oros) [RHEL-945] - devlink: Spelling corrections (Petr Oros) [RHEL-945] - devlink: save devlink_port_ops into a variable in devlink_port_function_validate() (Petr Oros) [RHEL-945] - devlink: move port_del() to devlink_port_ops (Petr Oros) [RHEL-945] - devlink: move port_fn_state_get/set() to devlink_port_ops (Petr Oros) [RHEL-945] - devlink: move port_fn_migratable_get/set() to devlink_port_ops (Petr Oros) [RHEL-945] - devlink: move port_fn_roce_get/set() to devlink_port_ops (Petr Oros) [RHEL-945] - devlink: move port_fn_hw_addr_get/set() to devlink_port_ops (Petr Oros) [RHEL-945] - mlx5: register devlink ports with ops (Petr Oros) [RHEL-945] - sfc: register devlink port with ops (Petr Oros) [RHEL-945] - devlink: move port_type_set() op into devlink_port_ops (Petr Oros) [RHEL-945] - mlx4: register devlink port with ops (Petr Oros) [RHEL-945] - devlink: move port_split/unsplit() ops into devlink_port_ops (Petr Oros) [RHEL-945] - nfp: devlink: register devlink port with ops (Petr Oros) [RHEL-945] - mlxsw_core: register devlink port with ops (Petr Oros) [RHEL-945] - ice: register devlink port for PF with ops (Petr Oros) [RHEL-945] - devlink: introduce port ops placeholder (Petr Oros) [RHEL-945] - devlink: pass devlink_port pointer to ops->port_del() instead of index (Petr Oros) [RHEL-945] - devlink: remove no longer true locking comment from port_new/del() (Petr Oros) [RHEL-945] - devlink: remove duplicate port notification (Petr Oros) [RHEL-945] - mm, netfs, fscache: stop read optimisation when folio removed from pagecache (Dave Wysochanski) [2209756] - mm: merge folio_has_private()/filemap_release_folio() call pairs (Dave Wysochanski) [2209756] - mm: release private data before split THP (Dave Wysochanski) [2209756] - khugepage: replace try_to_release_page() with filemap_release_folio() (Dave Wysochanski) [2209756] - memory-failure: convert truncate_error_page() to use folio (Dave Wysochanski) [2209756] - ext4: convert move_extent_per_page() to use folios (Dave Wysochanski) [2209756] - s390: add z16 elf platform (Tobias Huschle) [RHEL-2856] - s390/dasd: fix hanging device after quiesce/resume (Tobias Huschle) [RHEL-2837] - s390/dasd: fix command reject error on ESE devices (Tobias Huschle) [RHEL-2851] - s390/ipl: add missing secure/has_secure file to ipl type 'unknown' (Tobias Huschle) [RHEL-2853] - s390/qeth: Don't call dev_close/dev_open (DOWN/UP) (Tobias Huschle) [RHEL-2412] - s390/dasd: print copy pair message only for the correct error (Tobias Huschle) [RHEL-2833] - s390/dasd: fix hanging device after request requeue (Tobias Huschle) [RHEL-2832] - RDMA/umem: Set iova in ODP flow (Kamal Heib) [RHEL-1029] - RDMA/core: Update CMA destination address on rdma_resolve_addr (Kamal Heib) [RHEL-1029] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [RHEL-1029] - RDMA/cma: Remove NULL check before dev_{put, hold} (Kamal Heib) [RHEL-1029] - IB/isert: Fix possible list corruption in CMA handler (Kamal Heib) [RHEL-956] - IB/isert: Fix dead lock in ib_isert (Kamal Heib) [RHEL-956] - RDMA/srpt: Add a check for valid 'mad_agent' pointer (Kamal Heib) [RHEL-956] - IB/iser: remove redundant new line (Kamal Heib) [RHEL-956] - IB/iser: centralize setting desc type and done callback (Kamal Heib) [RHEL-956] - IB/iser: remove unused macros (Kamal Heib) [RHEL-956] - IB/uverbs: Fix to consider event queue closing also upon non-blocking mode (Kamal Heib) [RHEL-956] - RDMA/uverbs: Restrict usage of privileged QKEYs (Kamal Heib) [RHEL-956] - RDMA/cma: Always set static rate to 0 for RoCE (Kamal Heib) [RHEL-956] - RDMA/core: Fix GID entry ref leak when create_ah fails (Kamal Heib) [RHEL-956] - RDMA/cm: Trace icm_send_rej event before the cm state is reset (Kamal Heib) [RHEL-956] - RDMA/cma: Remove NULL check before dev_{put, hold} (Kamal Heib) [RHEL-956] - RDMA/core: Fix multiple -Warray-bounds warnings (Kamal Heib) [RHEL-956] - RDMA/cma: Allow UD qp_type to join multicast only (Kamal Heib) [RHEL-956] - RDMA: Add missed netdev_put() for the netdevice_tracker (Kamal Heib) [RHEL-956] - RDMA: Add netdevice_tracker to ib_device_set_netdev() (Kamal Heib) [RHEL-956] - configs: add CONFIG_FAULT_INJECTION_CONFIGFS entry (Ming Lei) [RHEL-1516] - md: don't dereference mddev after export_rdev() (Ming Lei) [RHEL-1516] - md: protect md_thread with rcu (Ming Lei) [RHEL-1516] - md/bitmap: factor out a helper to set timeout (Ming Lei) [RHEL-1516] - md/bitmap: always wake up md_thread in timeout_store (Ming Lei) [RHEL-1516] - dm-raid: remove useless checking in raid_message() (Ming Lei) [RHEL-1516] - md: factor out a helper to wake up md_thread directly (Ming Lei) [RHEL-1516] - md: fix warning for holder mismatch from export_rdev() (Ming Lei) [RHEL-1516] - PM: hibernate: Fix the exclusive get block device in test_resume mode (Ming Lei) [RHEL-1516] - scsi: sg: Fix checking return value of blk_get_queue() (Ming Lei) [RHEL-1516] - PM: hibernate: Fix writing maj:min to /sys/power/resume (Ming Lei) [RHEL-1516] - PM: hibernate: fix resume_store() return value when hibernation not available (Ming Lei) [RHEL-1516] - md: use mddev->external to select holder in export_rdev() (Ming Lei) [RHEL-1516] - md: fix 'delete_mutex' deadlock (Ming Lei) [RHEL-1516] - md: fix duplicate filename for rdev (Ming Lei) [RHEL-1516] - init: don't panic if mount_nodev_root failed (Ming Lei) [RHEL-1516] - blk-flush: fix rq->flush.seq for post-flush requests (Ming Lei) [RHEL-1516] - blk-mq: release scheduler resource when request completes (Ming Lei) [RHEL-1516] - blk-crypto: dynamically allocate fallback profile (Ming Lei) [RHEL-1516] - nvme: core: don't hold rcu read lock in nvme_ns_chr_uring_cmd_iopoll (Ming Lei) [RHEL-1516] - blk-iocost: fix queue stats accounting (Ming Lei) [RHEL-1516] - block: don't make REQ_POLLED imply REQ_NOWAIT (Ming Lei) [RHEL-1516] - block: get rid of unused plug->nowait flag (Ming Lei) [RHEL-1516] - block: Fix a source code comment in include/uapi/linux/blkzoned.h (Ming Lei) [RHEL-1516] - loop: do not enforce max_loop hard limit by (new) default (Ming Lei) [RHEL-1516] - loop: deprecate autoloading callback loop_probe() (Ming Lei) [RHEL-1516] - sbitmap: fix batching wakeup (Ming Lei) [RHEL-1516] - blk-iocost: skip empty flush bio in iocost (Ming Lei) [RHEL-1516] - blk-mq: delete dead struct blk_mq_hw_ctx->queued field (Ming Lei) [RHEL-1516] - blk-mq: Fix stall due to recursive flush plug (Ming Lei) [RHEL-1516] - block: queue data commands from the flush state machine at the head (Ming Lei) [RHEL-1516] - blk-mq: fix start_time_ns and alloc_time_ns for pre-allocated rq (Ming Lei) [RHEL-1516] - block/mq-deadline: Fix a bug in deadline_from_pos() (Ming Lei) [RHEL-1516] - nvme: ensure disabling pairs with unquiesce (Ming Lei) [RHEL-1516] - block: remove dead struc request->completion_data field (Ming Lei) [RHEL-1516] - nvme: fix the NVME_ID_NS_NVM_STS_MASK definition (Ming Lei) [RHEL-1516] - nvmet: use PAGE_SECTORS_SHIFT (Ming Lei) [RHEL-1516] - nvme: add BOGUS_NID quirk for Samsung SM953 (Ming Lei) [RHEL-1516] - blk-crypto: use dynamic lock class for blk_crypto_profile::lock (Ming Lei) [RHEL-1516] - block/partition: fix signedness issue for Amiga partitions (Ming Lei) [RHEL-1516] - nvme: disable controller on reset state failure (Ming Lei) [RHEL-1516] - nvme: sync timeout work on failed reset (Ming Lei) [RHEL-1516] - nvme: ensure unquiesce on teardown (Ming Lei) [RHEL-1516] - cdrom/gdrom: Fix build error (Ming Lei) [RHEL-1516] - nvme: improved uring polling (Ming Lei) [RHEL-1516] - block: add request polling helper (Ming Lei) [RHEL-1516] - nvme-mpath: fix I/O failure with EAGAIN when failing over I/O (Ming Lei) [RHEL-1516] - nvme: host: fix command name spelling (Ming Lei) [RHEL-1516] - blk-sysfs: add a new attr_group for blk_mq (Ming Lei) [RHEL-1516] - blk-iocost: move wbt_enable/disable_default() out of spinlock (Ming Lei) [RHEL-1516] - blk-wbt: cleanup rwb_enabled() and wbt_disabled() (Ming Lei) [RHEL-1516] - blk-wbt: remove dead code to handle wbt enable/disable with io inflight (Ming Lei) [RHEL-1516] - blk-wbt: don't create wbt sysfs entry if CONFIG_BLK_WBT is disabled (Ming Lei) [RHEL-1516] - blk-mq: fix two misuses on RQF_USE_SCHED (Ming Lei) [RHEL-1516] - scsi/sg: don't grab scsi host module reference (Ming Lei) [RHEL-1516] - ext4: Fix warning in blkdev_put() (Ming Lei) [RHEL-1516] - block: don't return -EINVAL for not found names in devt_from_devname (Ming Lei) [RHEL-1516] - block: Improve kernel-doc headers (Ming Lei) [RHEL-1516] - block: fix the exclusive open mask in disk_scan_partitions (Ming Lei) [RHEL-1516] - block: add overflow checks for Amiga partition support (Ming Lei) [RHEL-1516] - block: change all __u32 annotations to __be32 in affs_hardblocks.h (Ming Lei) [RHEL-1516] - block: fix signed int overflow in Amiga partition support (Ming Lei) [RHEL-1516] - block: add capacity validation in bdev_add_partition() (Ming Lei) [RHEL-1516] - block: fine-granular CAP_SYS_ADMIN for Persistent Reservation (Ming Lei) [RHEL-1516] - block: disallow Persistent Reservation on partitions (Ming Lei) [RHEL-1516] - reiserfs: fix blkdev_put() warning from release_journal_dev() (Ming Lei) [RHEL-1516] - block: fix wrong mode for blkdev_get_by_dev() from disk_scan_partitions() (Ming Lei) [RHEL-1516] - block: document the holder argument to blkdev_get_by_path (Ming Lei) [RHEL-1516] - block: increment diskseq on all media change events (Ming Lei) [RHEL-1516] - swim: fix a missing FMODE_ -> BLK_OPEN_ conversion in floppy_open (Ming Lei) [RHEL-1516] - block: fix blktrace debugfs entries leakage (Ming Lei) [RHEL-1516] - scsi: sg: fix blktrace debugfs entries leakage (Ming Lei) [RHEL-1516] - blktrace: use inline function for blk_trace_remove() while blktrace is disabled (Ming Lei) [RHEL-1516] - brd: use cond_resched instead of cond_resched_rcu (Ming Lei) [RHEL-1516] - blk-mq: check on cpu id when there is only one ctx mapping (Ming Lei) [RHEL-1516] - swim3: fix the floppy_locked_ioctl prototype (Ming Lei) [RHEL-1516] - blk-mq: fix potential io hang by wrong 'wake_batch' (Ming Lei) [RHEL-1516] - fs: remove the now unused FMODE_* flags (Ming Lei) [RHEL-1516] - block: store the holder in file->private_data (Ming Lei) [RHEL-1516] - block: always use I_BDEV on file->f_mapping->host to find the bdev (Ming Lei) [RHEL-1516] - block: replace fmode_t with a block-specific type for block open flags (Ming Lei) [RHEL-1516] - block: remove unused fmode_t arguments from ioctl handlers (Ming Lei) [RHEL-1516] - block: move a few internal definitions out of blkdev.h (Ming Lei) [RHEL-1516] - ubd: remove commented out code in ubd_open (Ming Lei) [RHEL-1516] - rnbd-srv: replace sess->open_flags with a "bool readonly" (Ming Lei) [RHEL-1516] - mtd: block: use a simple bool to track open for write (Ming Lei) [RHEL-1516] - nvme: replace the fmode_t argument to the nvme ioctl handlers with a simple bool (Ming Lei) [RHEL-1516] - nvme: consult the CSE log page for unprivileged passthrough (Ming Lei) [RHEL-1516] - nvme: fix the NVME_CMD_EFFECTS_CSE_MASK definition (Ming Lei) [RHEL-1516] - nvme: don't allow unprivileged passthrough on partitions (Ming Lei) [RHEL-1516] - nvme: replace the "bool vec" arguments with flags in the ioctl path (Ming Lei) [RHEL-1516] - nvme: remove __nvme_ioctl (Ming Lei) [RHEL-1516] - nvme: identify-namespace without CAP_SYS_ADMIN (Ming Lei) [RHEL-1516] - nvme: fine-granular CAP_SYS_ADMIN for nvme io commands (Ming Lei) [RHEL-1516] - scsi: replace the fmode_t argument to ->sg_io_fn with a simple bool (Ming Lei) [RHEL-1516] - scsi: replace the fmode_t argument to scsi_ioctl with a simple bool (Ming Lei) [RHEL-1516] - scsi: replace the fmode_t argument to scsi_cmd_allowed with a simple bool (Ming Lei) [RHEL-1516] - fs: remove sb->s_mode (Ming Lei) [RHEL-1516] - block: add a sb_open_mode helper (Ming Lei) [RHEL-1516] - block: use the holder as indication for exclusive opens (Ming Lei) [RHEL-1516] - swsusp: don't pass a stack address to blkdev_get_by_path (Ming Lei) [RHEL-1516] - block: rename blkdev_close to blkdev_release (Ming Lei) [RHEL-1516] - block: remove the unused mode argument to ->release (Ming Lei) [RHEL-1516] - block: pass a gendisk to ->open (Ming Lei) [RHEL-1516] - block: pass a gendisk on bdev_check_media_change (Ming Lei) [RHEL-1516] - cdrom: remove the unused mode argument to cdrom_release (Ming Lei) [RHEL-1516] - cdrom: track if a cdrom_device_info was opened for data (Ming Lei) [RHEL-1516] - cdrom: remove the unused cdrom_close_write release code (Ming Lei) [RHEL-1516] - cdrom: remove the unused mode argument to cdrom_ioctl (Ming Lei) [RHEL-1516] - cdrom: remove the unused bdev argument to cdrom_open (Ming Lei) [RHEL-1516] - block: also call ->open for incremental partition opens (Ming Lei) [RHEL-1516] - block/rnbd-srv: make process_msg_sess_info returns void (Ming Lei) [RHEL-1516] - block/rnbd-srv: init err earlier in rnbd_srv_init_module (Ming Lei) [RHEL-1516] - block/rnbd-srv: init ret with 0 instead of -EPERM (Ming Lei) [RHEL-1516] - block/rnbd-srv: rename one member in rnbd_srv_dev (Ming Lei) [RHEL-1516] - block/rnbd-srv: no need to check sess_dev (Ming Lei) [RHEL-1516] - block/rnbd: introduce rnbd_access_modes (Ming Lei) [RHEL-1516] - block/rnbd-srv: remove unused header (Ming Lei) [RHEL-1516] - block/rnbd: kill rnbd_flags_supported (Ming Lei) [RHEL-1516] - block: fix rootwait= again (Ming Lei) [RHEL-1516] - pktcdvd: Sort headers (Ming Lei) [RHEL-1516] - pktcdvd: Get rid of redundant 'else' (Ming Lei) [RHEL-1516] - pktcdvd: Use put_unaligned_be16() and get_unaligned_be16() (Ming Lei) [RHEL-1516] - pktcdvd: Use DEFINE_SHOW_ATTRIBUTE() to simplify code (Ming Lei) [RHEL-1516] - pktcdvd: Drop redundant castings for sector_t (Ming Lei) [RHEL-1516] - pktcdvd: Get rid of pkt_seq_show() forward declaration (Ming Lei) [RHEL-1516] - pktcdvd: use sysfs_emit() to instead of scnprintf() (Ming Lei) [RHEL-1516] - pktcdvd: replace sscanf() by kstrtoul() (Ming Lei) [RHEL-1516] - pktcdvd: Get rid of custom printing macros (Ming Lei) [RHEL-1516] - block: fix rootwait= (Ming Lei) [RHEL-1516] - blk-cgroup: Reinit blkg_iostat_set after clearing in blkcg_reset_stats() (Ming Lei) [RHEL-1516] - blk-ioc: fix recursive spin_lock/unlock_irq() in ioc_clear_queue() (Ming Lei) [RHEL-1516] - nbd: Add the maximum limit of allocated index in nbd_dev_add (Ming Lei) [RHEL-1516] - blk-ioprio: Introduce promote-to-rt policy (Ming Lei) [RHEL-1516] - blk-iocost: use spin_lock_irqsave in adjust_inuse_and_calc_cost (Ming Lei) [RHEL-1516] - block: mark early_lookup_bdev as __init (Ming Lei) [RHEL-1516] - mtd: block2mtd: don't call early_lookup_bdev after the system is running (Ming Lei) [RHEL-1516] - mtd: block2mtd: factor the early block device open logic into a helper (Ming Lei) [RHEL-1516] - PM: hibernate: don't use early_lookup_bdev in resume_store (Ming Lei) [RHEL-1516] - dm: only call early_lookup_bdev from early boot context (Ming Lei) [RHEL-1516] - dm: remove dm_get_dev_t (Ming Lei) [RHEL-1516] - dm: open code dm_get_dev_t in dm_init_init (Ming Lei) [RHEL-1516] - dm-snap: simplify the origin_dev == cow_dev check in snapshot_ctr (Ming Lei) [RHEL-1516] - block: move more code to early-lookup.c (Ming Lei) [RHEL-1516] - block: move the code to do early boot lookup of block devices to block/ (Ming Lei) [RHEL-1516] - init: clear root_wait on all invalid root= strings (Ming Lei) [RHEL-1516] - init: improve the name_to_dev_t interface (Ming Lei) [RHEL-1516] - init: move the nfs/cifs/ram special cases out of name_to_dev_t (Ming Lei) [RHEL-1516] - init: factor the root_wait logic in prepare_namespace into a helper (Ming Lei) [RHEL-1516] - init: handle ubi/mtd root mounting like all other root types (Ming Lei) [RHEL-1516] - init: don't remove the /dev/ prefix from error messages (Ming Lei) [RHEL-1516] - init: pass root_device_name explicitly (Ming Lei) [RHEL-1516] - init: refactor mount_root (Ming Lei) [RHEL-1516] - init: rename mount_block_root to mount_root_generic (Ming Lei) [RHEL-1516] - init: remove pointless Root_* values (Ming Lei) [RHEL-1516] - PM: hibernate: move finding the resume device out of software_resume (Ming Lei) [RHEL-1516] - PM: hibernate: remove the global snapshot_test variable (Ming Lei) [RHEL-1516] - PM: hibernate: factor out a helper to find the resume device (Ming Lei) [RHEL-1516] - driver core: return bool from driver_probe_done (Ming Lei) [RHEL-1516] - ext4: wire up the ->mark_dead holder operation for log devices (Ming Lei) [RHEL-1516] - ext4: wire up sops->shutdown (Ming Lei) [RHEL-1516] - ext4: split ext4_shutdown (Ming Lei) [RHEL-1516] - xfs: wire up the ->mark_dead holder operation for log and RT devices (Ming Lei) [RHEL-1516] - xfs: wire up sops->shutdown (Ming Lei) [RHEL-1516] - fs: add a method to shut down the file system (Ming Lei) [RHEL-1516] - block: add a mark_dead holder operation (Ming Lei) [RHEL-1516] - block: introduce holder ops (Ming Lei) [RHEL-1516] - block: remove blk_drop_partitions (Ming Lei) [RHEL-1516] - block: delete partitions later in del_gendisk (Ming Lei) [RHEL-1516] - block: unhash the inode earlier in delete_partition (Ming Lei) [RHEL-1516] - block: avoid repeated work in blk_mark_disk_dead (Ming Lei) [RHEL-1516] - block: consolidate the shutdown logic in blk_mark_disk_dead and del_gendisk (Ming Lei) [RHEL-1516] - block: turn bdev_lock into a mutex (Ming Lei) [RHEL-1516] - block: refactor bd_may_claim (Ming Lei) [RHEL-1516] - block: factor out a bd_end_claim helper from blkdev_put (Ming Lei) [RHEL-1516] - drbd: stop defining __KERNEL_SYSCALLS__ (Ming Lei) [RHEL-1516] - block: Replace all non-returning strlcpy with strscpy (Ming Lei) [RHEL-1516] - blk-ioc: protect ioc_destroy_icq() by 'queue_lock' (Ming Lei) [RHEL-1516] - fs: iomap: use bio_add_folio_nofail where possible (Ming Lei) [RHEL-1516] - block: add bio_add_folio_nofail (Ming Lei) [RHEL-1516] - dm-crypt: use __bio_add_page to add single page to clone bio (Ming Lei) [RHEL-1516] - md: raid1: check if adding pages to resync bio fails (Ming Lei) [RHEL-1516] - md: raid1: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516] - md: check for failure when adding pages in alloc_behind_master_bio (Ming Lei) [RHEL-1516] - floppy: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516] - zonefs: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516] - gfs2: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516] - jfs: logmgr: use __bio_add_page to add single page to bio (Ming Lei) [RHEL-1516] - md: raid5: use __bio_add_page to add single page to new bio (Ming Lei) [RHEL-1516] - md: raid5-log: use __bio_add_page to add single page (Ming Lei) [RHEL-1516] - md: use __bio_add_page to add single page (Ming Lei) [RHEL-1516] - fs: buffer: use __bio_add_page to add single page to bio (Ming Lei) [RHEL-1516] - dm: dm-zoned: use __bio_add_page for adding single metadata page (Ming Lei) [RHEL-1516] - drbd: use __bio_add_page to add page to bio (Ming Lei) [RHEL-1516] - block: constify the whole_disk device_attribute (Ming Lei) [RHEL-1516] - block: constify struct part_attr_group (Ming Lei) [RHEL-1516] - block: constify struct part_type part_type (Ming Lei) [RHEL-1516] - block: constify partition prober array (Ming Lei) [RHEL-1516] - block: introduce block_io_start/block_io_done tracepoints (Ming Lei) [RHEL-1516] - block/rq_qos: protect rq_qos apis with a new lock (Ming Lei) [RHEL-1516] - block: remove redundant req_op in blk_rq_is_passthrough (Ming Lei) [RHEL-1516] - block: don't plug in blkdev_write_iter (Ming Lei) [RHEL-1516] - block: BFQ: Move an invariant check (Ming Lei) [RHEL-1516] - blk-mq: don't use the requeue list to queue flush commands (Ming Lei) [RHEL-1516] - blk-mq: do not do head insertions post-pre-flush commands (Ming Lei) [RHEL-1516] - blk-mq: defer to the normal submission path for post-flush requests (Ming Lei) [RHEL-1516] - blk-mq: use the I/O scheduler for writes from the flush state machine (Ming Lei) [RHEL-1516] - blk-mq: defer to the normal submission path for non-flush flush commands (Ming Lei) [RHEL-1516] - blk-mq: reflow blk_insert_flush (Ming Lei) [RHEL-1516] - blk-mq: factor out a blk_rq_init_flush helper (Ming Lei) [RHEL-1516] - fs: remove the special !CONFIG_BLOCK def_blk_fops (Ming Lei) [RHEL-1516] - block: BFQ: Add several invariant checks (Ming Lei) [RHEL-1516] - block: mq-deadline: Fix handling of at-head zoned writes (Ming Lei) [RHEL-1516] - block: mq-deadline: Handle requeued requests correctly (Ming Lei) [RHEL-1516] - block: mq-deadline: Track the dispatch position (Ming Lei) [RHEL-1516] - block: mq-deadline: Reduce lock contention (Ming Lei) [RHEL-1516] - block: mq-deadline: Simplify deadline_skip_seq_writes() (Ming Lei) [RHEL-1516] - block: mq-deadline: Clean up deadline_check_fifo() (Ming Lei) [RHEL-1516] - block: Introduce blk_rq_is_seq_zoned_write() (Ming Lei) [RHEL-1516] - block: Introduce op_needs_zoned_write_locking() (Ming Lei) [RHEL-1516] - block: Fix the type of the second bdev_op_is_zoned_write() argument (Ming Lei) [RHEL-1516] - block: Simplify blk_req_needs_zone_write_lock() (Ming Lei) [RHEL-1516] - block: mq-deadline: Add a word in a source code comment (Ming Lei) [RHEL-1516] - blk-mq: make sure elevator callbacks aren't called for passthrough request (Ming Lei) [RHEL-1516] - blk-mq: remove RQF_ELVPRIV (Ming Lei) [RHEL-1516] - block: Decode all flag names in the debugfs output (Ming Lei) [RHEL-1516] - brd: use XArray instead of radix-tree to index backing pages (Ming Lei) [RHEL-1516] - nvme: fix the name of Zone Append for verbose logging (Ming Lei) [RHEL-1516] - nvme: improve handling of long keep alives (Ming Lei) [RHEL-1516] - nvme: check IO start time when deciding to defer KA (Ming Lei) [RHEL-1516] - nvme: double KA polling frequency to avoid KATO with TBKAS on (Ming Lei) [RHEL-1516] - nvme: fix miss command type check (Ming Lei) [RHEL-1516] - NVMe: Add MAXIO 1602 to bogus nid list. (Ming Lei) [RHEL-1516] - block: make bio_check_eod work for zero sized devices (Ming Lei) [RHEL-1516] - block: fix bio-cache for passthru IO (Ming Lei) [RHEL-1516] - block, bfq: update Paolo's address in maintainer list (Ming Lei) [RHEL-1516] - blk-wbt: fix that wbt can't be disabled by default (Ming Lei) [RHEL-1516] - block: remove NFL4_UFLG_MASK (Ming Lei) [RHEL-1516] - block: Deny writable memory mapping if block is read-only (Ming Lei) [RHEL-1516] - nvme-pci: Add quirk for Teamgroup MP33 SSD (Ming Lei) [RHEL-1516] - nvme-multipath: don't call blk_mark_disk_dead in nvme_mpath_remove_disk (Ming Lei) [RHEL-1516] - nvme-pci: add quirk for missing secondary temperature thresholds (Ming Lei) [RHEL-1516] - nvme-pci: add NVME_QUIRK_BOGUS_NID for HS-SSD-FUTURE 2048G (Ming Lei) [RHEL-1516] - block/rnbd: replace REQ_OP_FLUSH with REQ_OP_WRITE (Ming Lei) [RHEL-1516] - nbd: Fix debugfs_create_dir error checking (Ming Lei) [RHEL-1516] - drbd: correctly submit flush bio on barrier (Ming Lei) [RHEL-1516] - writeback: fix call of incorrect macro (Ming Lei) [RHEL-1516] - docs nbd: userspace NBD now favors github over sourceforge (Ming Lei) [RHEL-1516] - block nbd: use req.cookie instead of req.handle (Ming Lei) [RHEL-1516] - uapi nbd: add cookie alias to handle (Ming Lei) [RHEL-1516] - uapi nbd: improve doc links to userspace spec (Ming Lei) [RHEL-1516] - blk-integrity: register sysfs attributes on struct device (Ming Lei) [RHEL-1516] - blk-integrity: convert to struct device_attribute (Ming Lei) [RHEL-1516] - blk-integrity: use sysfs_emit (Ming Lei) [RHEL-1516] - block/drivers: remove dead clear of random flag (Ming Lei) [RHEL-1516] - block: sync part's ->bd_has_submit_bio with disk's (Ming Lei) [RHEL-1516] - block: Cleanup set_capacity()/bdev_set_nr_sectors() (Ming Lei) [RHEL-1516] - nbd: fix incomplete validation of ioctl arg (Ming Lei) [RHEL-1516] - sed-opal: geometry feature reporting command (Ming Lei) [RHEL-1516] - blk-mq: fix the blk_mq_add_to_requeue_list call in blk_kick_flush (Ming Lei) [RHEL-1516] - block, bfq: Fix division by zero error on zero wsum (Ming Lei) [RHEL-1516] - fault-inject: fix build error when FAULT_INJECTION_CONFIGFS=y and CONFIGFS_FS=m (Ming Lei) [RHEL-1516] - block: store bdev->bd_disk->fops->submit_bio state in bdev (Ming Lei) [RHEL-1516] - block: re-arrange the struct block_device fields for better layout (Ming Lei) [RHEL-1516] - block: null_blk: make fault-injection dynamically configurable per device (Ming Lei) [RHEL-1516] - fault-inject: allow configuration via configfs (Ming Lei) [RHEL-1516] - blk-mq: remove __blk_mq_run_hw_queue (Ming Lei) [RHEL-1516] - blk-mq: move the !async handling out of __blk_mq_delay_run_hw_queue (Ming Lei) [RHEL-1516] - blk-mq: move the blk_mq_hctx_stopped check in __blk_mq_delay_run_hw_queue (Ming Lei) [RHEL-1516] - blk-mq: remove the blk_mq_hctx_stopped check in blk_mq_run_work_fn (Ming Lei) [RHEL-1516] - blk-mq: cleanup __blk_mq_sched_dispatch_requests (Ming Lei) [RHEL-1516] - blk-mq: pass a flags argument to blk_mq_add_to_requeue_list (Ming Lei) [RHEL-1516] - blk-mq: pass a flags argument to elevator_type->insert_requests (Ming Lei) [RHEL-1516] - blk-mq: pass a flags argument to blk_mq_request_bypass_insert (Ming Lei) [RHEL-1516] - blk-mq: pass a flags argument to blk_mq_insert_request (Ming Lei) [RHEL-1516] - blk-mq: don't kick the requeue_list in blk_mq_add_to_requeue_list (Ming Lei) [RHEL-1516] - blk-mq: don't run the hw_queue from blk_mq_request_bypass_insert (Ming Lei) [RHEL-1516] - blk-mq: don't run the hw_queue from blk_mq_insert_request (Ming Lei) [RHEL-1516] - blk-mq: fold __blk_mq_try_issue_directly into its two callers (Ming Lei) [RHEL-1516] - blk-mq: factor out a blk_mq_get_budget_and_tag helper (Ming Lei) [RHEL-1516] - blk-mq: refactor the DONTPREP/SOFTBARRIER andling in blk_mq_requeue_work (Ming Lei) [RHEL-1516] - blk-mq: refactor passthrough vs flush handling in blk_mq_insert_request (Ming Lei) [RHEL-1516] - blk-mq: remove blk_flush_queue_rq (Ming Lei) [RHEL-1516] - blk-mq: fold __blk_mq_insert_req_list into blk_mq_insert_request (Ming Lei) [RHEL-1516] - blk-mq: fold __blk_mq_insert_request into blk_mq_insert_request (Ming Lei) [RHEL-1516] - blk-mq: move blk_mq_sched_insert_request to blk-mq.c (Ming Lei) [RHEL-1516] - blk-mq: include <linux/blk-mq.h> in block/blk-mq.h (Ming Lei) [RHEL-1516] - blk-mq: remove blk-mq-tag.h (Ming Lei) [RHEL-1516] - blk-throttle: only enable blk-stat when BLK_DEV_THROTTLING_LOW (Ming Lei) [RHEL-1516] - blk-stat: fix QUEUE_FLAG_STATS clear (Ming Lei) [RHEL-1516] - blk-iolatency: Make initialization lazy (Ming Lei) [RHEL-1516] - blk-iolatency: s/blkcg_rq_qos/iolat_rq_qos/ (Ming Lei) [RHEL-1516] - blkcg: Restructure blkg_conf_prep() and friends (Ming Lei) [RHEL-1516] - blkcg: Drop unnecessary RCU read [un]locks from blkg_conf_prep/finish() (Ming Lei) [RHEL-1516] - nvme-fcloop: fix "inconsistent {IN-HARDIRQ-W} -> {HARDIRQ-ON-W} usage" (Ming Lei) [RHEL-1516] - blk-mq-rdma: remove queue mapping helper for rdma devices (Ming Lei) [RHEL-1516] - nvme-rdma: minor cleanup in nvme_rdma_create_cq() (Ming Lei) [RHEL-1516] - nvme: fix double blk_mq_complete_request for timeout request with low probability (Ming Lei) [RHEL-1516] - nvme: fix async event trace event (Ming Lei) [RHEL-1516] - nvme-apple: return directly instead of else (Ming Lei) [RHEL-1516] - nvme-apple: return directly instead of else (Ming Lei) [RHEL-1516] - nvmet-tcp: validate idle poll modparam value (Ming Lei) [RHEL-1516] - nvmet-tcp: validate so_priority modparam value (Ming Lei) [RHEL-1516] - nvmet: remove nvmet_req_cns_error_complete (Ming Lei) [RHEL-1516] - nvmet: rename nvmet_execute_identify_cns_cs_ns (Ming Lei) [RHEL-1516] - nvmet: fix Identify Identification Descriptor List handling (Ming Lei) [RHEL-1516] - nvmet: cleanup nvmet_execute_identify() (Ming Lei) [RHEL-1516] - nvmet: fix I/O Command Set specific Identify Controller (Ming Lei) [RHEL-1516] - nvmet: fix Identify Active Namespace ID list handling (Ming Lei) [RHEL-1516] - nvmet: fix Identify Controller handling (Ming Lei) [RHEL-1516] - nvmet: fix Identify Namespace handling (Ming Lei) [RHEL-1516] - nvmet: fix error handling in nvmet_execute_identify_cns_cs_ns() (Ming Lei) [RHEL-1516] - nvme-pci: drop redundant pci_enable_pcie_error_reporting() (Ming Lei) [RHEL-1516] - blk-cgroup: delete cpd_init_fn of blkcg_policy (Ming Lei) [RHEL-1516] - blk-cgroup: delete cpd_bind_fn of blkcg_policy (Ming Lei) [RHEL-1516] - block, bfq: remove BFQ_WEIGHT_LEGACY_DFL (Ming Lei) [RHEL-1516] - sed-opal: Add command to read locking range parameters. (Ming Lei) [RHEL-1516] - sed-opal: add helper to get multiple columns at once. (Ming Lei) [RHEL-1516] - sed-opal: allow user authority to get locking range attributes. (Ming Lei) [RHEL-1516] - sed-opal: add helper for adding user authorities in ACE. (Ming Lei) [RHEL-1516] - sed-opal: do not add same authority twice in boolean ace. (Ming Lei) [RHEL-1516] - drbd: Pass a peer device to the resync and online verify functions (Ming Lei) [RHEL-1516] - drbd: pass drbd_peer_device to __req_mod (Ming Lei) [RHEL-1516] - drbd: drbd_uuid_compare: pass a peer_device (Ming Lei) [RHEL-1516] - drbd: INFO_bm_xfer_stats(): Pass a peer device argument (Ming Lei) [RHEL-1516] - drbd: Add peer device parameter to whole-bitmap I/O handlers (Ming Lei) [RHEL-1516] - drbd: Rip out the ERR_IF_CNT_IS_NEGATIVE macro (Ming Lei) [RHEL-1516] - genetlink: make _genl_cmd_to_str static (Ming Lei) [RHEL-1516] - null_blk: use kmap_local_page() and kunmap_local() (Ming Lei) [RHEL-1516] - null_blk: use non-deprecated lib functions (Ming Lei) [RHEL-1516] - block: open code __blk_account_io_done() (Ming Lei) [RHEL-1516] - block: open code __blk_account_io_start() (Ming Lei) [RHEL-1516] - blk-mq: remove hybrid polling (Ming Lei) [RHEL-1516] - blk-crypto: drop the NULL check from blk_crypto_put_keyslot() (Ming Lei) [RHEL-1516] - blk-mq: return actual keyslot error in blk_insert_cloned_request() (Ming Lei) [RHEL-1516] - blk-crypto: remove blk_crypto_insert_cloned_request() (Ming Lei) [RHEL-1516] - blk-crypto: make blk_crypto_evict_key() more robust (Ming Lei) [RHEL-1516] - blk-crypto: make blk_crypto_evict_key() return void (Ming Lei) [RHEL-1516] - blk-mq: release crypto keyslot before reporting I/O complete (Ming Lei) [RHEL-1516] - nbd: use the structured req attr check (Ming Lei) [RHEL-1516] - nbd: allow genl access outside init_net (Ming Lei) [RHEL-1516] - fs: simplify get_filesystem_list / get_all_fs_names (Ming Lei) [RHEL-1516] - init: allow mounting arbitrary non-blockdevice filesystems as root (Ming Lei) [RHEL-1516] - init: split get_fs_names (Ming Lei) [RHEL-1516] - PM: hibernate: Do not get block device exclusively in test_resume mode (Ming Lei) [RHEL-1516] - PM: hibernate: Turn snapshot_test into global variable (Ming Lei) [RHEL-1516] - PM: hibernate: fix load_image_and_restore() error path (Ming Lei) [RHEL-1516] - PM: hibernate: use correct mode for swsusp_close() (Ming Lei) [RHEL-1516] - PM: hibernate: Get block device exclusively in swsusp_check() (Ming Lei) [RHEL-1516] - mtd: block2mtd: add support for an optional custom MTD label (Ming Lei) [RHEL-1516] - mtd: block2mtd: minor refactor to avoid hard coded constant (Ming Lei) [RHEL-1516] - mtd_blkdevs: simplify the refcounting in blktrans_{open, release} (Ming Lei) [RHEL-1516] - mtd_blkdevs: simplify blktrans_getgeo (Ming Lei) [RHEL-1516] - mtd_blkdevs: remove blktrans_ref_mutex (Ming Lei) [RHEL-1516] - mtd_blkdevs: simplify blktrans_dev_get (Ming Lei) [RHEL-1516] - mtd/rfd_ftl: don't cast away the type when calling add_mtd_blktrans_dev (Ming Lei) [RHEL-1516] - mtd/ftl: don't cast away the type when calling add_mtd_blktrans_dev (Ming Lei) [RHEL-1516] - mtd_blkdevs: use lockdep_assert_held (Ming Lei) [RHEL-1516] - mtd_blkdevs: don't hold del_mtd_blktrans_dev in blktrans_{open, release} (Ming Lei) [RHEL-1516] Resolves: rhbz#2209756, RHEL-1029, RHEL-1516, RHEL-2412, RHEL-2832, RHEL-2833, RHEL-2837, RHEL-2851, RHEL-2853, RHEL-2856, RHEL-945, RHEL-956 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-25 15:45:17 +00:00
# CONFIG_FAULT_INJECTION_CONFIGFS is not set
CONFIG_FAULT_INJECTION_DEBUG_FS=y
CONFIG_FAULT_INJECTION_STACKTRACE_FILTER=y
# CONFIG_FAULT_INJECTION_USERCOPY is not set
CONFIG_FAULT_INJECTION=y
# CONFIG_FB_3DFX is not set
# CONFIG_FB_ARC is not set
# CONFIG_FB_ARK is not set
# CONFIG_FB_ARMCLCD is not set
# CONFIG_FB_ASILIANT is not set
# CONFIG_FB_ATY128 is not set
# CONFIG_FB_ATY is not set
# CONFIG_FB_CARMINE is not set
# CONFIG_FB_CIRRUS is not set
# CONFIG_FB_CYBER2000 is not set
CONFIG_FB_EFI=y
# CONFIG_FB_FOREIGN_ENDIAN is not set
# CONFIG_FB_HGA is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
# CONFIG_FB_HYPERV is not set
# CONFIG_FB_I740 is not set
CONFIG_FB_IBM_GXT4500=y
# CONFIG_FB_IMSTT is not set
# CONFIG_FB_KYRO is not set
# CONFIG_FB_LE80578 is not set
CONFIG_FB_MATROX_G=y
# CONFIG_FB_MATROX_I2C is not set
# CONFIG_FB_MATROX_MILLENIUM is not set
# CONFIG_FB_MATROX_MYSTIQUE is not set
CONFIG_FB_MATROX=y
# CONFIG_FB_MB862XX is not set
# CONFIG_FB_METRONOME is not set
CONFIG_FB_MODE_HELPERS=y
# CONFIG_FB_NEOMAGIC is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_FB_NVIDIA_BACKLIGHT is not set
# CONFIG_FB_NVIDIA_DEBUG is not set
# CONFIG_FB_NVIDIA_I2C is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_FB_NVIDIA is not set
CONFIG_FB_OF=y
# CONFIG_FB_OPENCORES is not set
# CONFIG_FB_PM2 is not set
# CONFIG_FB_PM3 is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_FB_RADEON_BACKLIGHT is not set
# CONFIG_FB_RADEON_DEBUG is not set
# CONFIG_FB_RADEON_I2C is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_FB_RADEON is not set
# CONFIG_FB_RIVA is not set
# CONFIG_FB_S1D13XXX is not set
# CONFIG_FB_S3 is not set
# CONFIG_FB_SAVAGE is not set
# CONFIG_FB_SIMPLE is not set
# CONFIG_FB_SIS is not set
# CONFIG_FB_SM501 is not set
# CONFIG_FB_SM712 is not set
# CONFIG_FB_SMSCUFX is not set
CONFIG_FB_SSD1307=m
CONFIG_FB_TILEBLITTING=y
# CONFIG_FB_TRIDENT is not set
# CONFIG_FB_UDL is not set
# CONFIG_FB_UVESA is not set
CONFIG_FB_VESA=y
CONFIG_FB_VGA16=m
# CONFIG_FB_VIA is not set
# CONFIG_FB_VIRTUAL is not set
# CONFIG_FB_VOODOO1 is not set
# CONFIG_FB_VT8623 is not set
CONFIG_FB=y
# CONFIG_FCOE is not set
# CONFIG_FDDI is not set
# CONFIG_FEALNX is not set
CONFIG_FHANDLE=y
# CONFIG_FIND_BIT_BENCHMARK is not set
CONFIG_FIPS_SIGNATURE_SELFTEST=y
# CONFIG_FIREWIRE is not set
# CONFIG_FIREWIRE_NOSY is not set
# CONFIG_FIRMWARE_EDID is not set
CONFIG_FIXED_PHY=y
CONFIG_FM10K=m
# CONFIG_FONTS is not set
CONFIG_FONT_SUPPORT=y
CONFIG_FORCE_MAX_ZONEORDER=9
CONFIG_FORTIFY_SOURCE=y
# CONFIG_FPGA is not set
kernel-5.14.0-200.el9 * Mon Nov 21 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-200.el9] - macvlan: enforce a consistent minimal mtu (Davide Caratti) [2133755] - ipvlan: Fix out-of-bound bugs caused by unset skb->mac_header (Davide Caratti) [2133755] - net: ipvtap - add __init/__exit annotations to module init/exit funcs (Davide Caratti) [2133755] - perf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - perf tools: Remove bpf_map__set_priv()/bpf_map__priv() usage (Jerome Marchand) [2120966] - perf tools: Remove bpf_program__set_priv/bpf_program__priv usage (Jerome Marchand) [2120966] - perf bpf: Stop using deprecated bpf_object__next() API (Jerome Marchand) [2120966] - perf bpf: Stop using deprecated bpf_load_program() API (Jerome Marchand) [2120966] - perf: Stop using bpf_object__open_buffer() API (Jerome Marchand) [2120966] - bpf/selftests: fix bpf selftests install (Jerome Marchand) [2120966] - bpf: Force cookies array to follow symbols sorting (Jerome Marchand) [2120966] - ftrace: Keep address offset in ftrace_lookup_symbols (Jerome Marchand) [2120966] - selftests/bpf: Shuffle cookies symbols in kprobe multi test (Jerome Marchand) [2120966] - bpf: Fix bpf_xdp_pointer return pointer (Jiri Benc) [2120966] - selftests/bpf: Fix tc_redirect_dtime (Jiri Benc) [2120966] - net: fix wrong network header length (Jiri Benc) [2120966] - skbuff: clean up inconsistent indenting (Jiri Benc) [2120966] - bpf: Disallow bpf programs call prog_run command. (Jerome Marchand) [2120966] - bpf, x86: fix freeing of not-finalized bpf_prog_pack (Jerome Marchand) [2120966] - selftests/bpf: Fix rare segfault in sock_fields prog test (Jerome Marchand) [2120966] - rethook: Reject getting a rethook if RCU is not watching (Jerome Marchand) [2120966] - selftests/bpf: Avoid skipping certain subtests (Jerome Marchand) [2120966] - bpf: Use safer kvmalloc_array() where possible (Jerome Marchand) [2120966] - bpf: Resolve symbols with ftrace_lookup_symbols for kprobe multi link (Jerome Marchand) [2120966] - ftrace: Add ftrace_lookup_symbols function (Jerome Marchand) [2120966] - kallsyms: Make kallsyms_on_each_symbol generally available (Jerome Marchand) [2120966] - bpf: Introduce bpf_arch_text_invalidate for bpf_prog_pack (Jerome Marchand) [2120966] - x86/alternative: Introduce text_poke_set (Jerome Marchand) [2120966] - bpf: Fill new bpf_prog_pack with illegal instructions (Jerome Marchand) [2120966] - scripts: dummy-tools, add pahole (Jerome Marchand) [2120966] - kprobes: Fix KRETPROBES when CONFIG_KRETPROBE_ON_RETHOOK is set (Jerome Marchand) [2120966] - bpf: Fix release of page_pool in BPF_PROG_RUN in test runner (Jerome Marchand) [2120966] - x86,bpf: Avoid IBT objtool warning (Jerome Marchand) [2120966] - bpf: Do write access check for kfunc and global func (Jerome Marchand) [2120966] - bpf: Adjust bpf_tcp_check_syncookie selftest to test dual-stack sockets (Jerome Marchand) [2120966] - bpf: selftests: Test fentry tracing a struct_ops program (Jerome Marchand) [2120966] - bpf: Resolve to prog->aux->dst_prog->type only for BPF_PROG_TYPE_EXT (Jerome Marchand) [2120966] - rethook: Fix to use WRITE_ONCE() for rethook:: Handler (Jerome Marchand) [2120966] - selftests/bpf: Fix warning comparing pointer to 0 (Jerome Marchand) [2120966] - bpf: Fix sparse warnings in kprobe_multi_resolve_syms (Jerome Marchand) [2120966] - bpftool: Explicit errno handling in skeletons (Jerome Marchand) [2120966] - selftests/bpf: Fix clang compilation errors (Jerome Marchand) [2120966] - x86,kprobes: Fix optprobe trampoline to generate complete pt_regs (Jerome Marchand) [2120966] - x86,rethook: Fix arch_rethook_trampoline() to generate a complete pt_regs (Jerome Marchand) [2120966] - x86,rethook,kprobes: Replace kretprobe with rethook on x86 (Jerome Marchand) [2120966] - kprobes: Use rethook for kretprobe if possible (Jerome Marchand) [2120966] - kprobes: Limit max data_size of the kretprobe instances (Jerome Marchand) [2120966] - bpftool: Fix generated code in codegen_asserts (Jerome Marchand) [2120966] - bpf: Fix maximum permitted number of arguments check (Jerome Marchand) [2120966] - bpf: Sync comments for bpf_get_stack (Jerome Marchand) [2120966] - fprobe: Fix sparse warning for acccessing __rcu ftrace_hash (Jerome Marchand) [2120966] - fprobe: Fix smatch type mismatch warning (Jerome Marchand) [2120966] - bpf/bpftool: Add unprivileged_bpf_disabled check against value of 2 (Jerome Marchand) [2120966] - selftests/bpf: Fix kprobe_multi test. (Jerome Marchand) [2120966] - Revert "rethook: x86: Add rethook x86 implementation" (Jerome Marchand) [2120966] - Revert "arm64: rethook: Add arm64 rethook implementation" (Jerome Marchand) [2120966] - Revert "powerpc: Add rethook support" (Jerome Marchand) [2120966] - Revert "ARM: rethook: Add rethook arm implementation" (Jerome Marchand) [2120966] - bpftool: Fix a bug in subskeleton code generation (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack when PMU_SIZE is not defined (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack for multi-node setup (Jerome Marchand) [2120966] - bpf: Fix warning for cast from restricted gfp_t in verifier (Jerome Marchand) [2120966] - libbpf: Close fd in bpf_object__reuse_map (Jerome Marchand) [2120966] - bpf: Fix kprobe_multi return probe backtrace (Jerome Marchand) [2120966] - Revert "bpf: Add support to inline bpf_get_func_ip helper on x86" (Jerome Marchand) [2120966] - bpf: Simplify check in btf_parse_hdr() (Jerome Marchand) [2120966] - selftests/bpf/test_lirc_mode2.sh: Exit with proper code (Jerome Marchand) [2120966] - bpf: Check for NULL return from bpf_get_btf_vmlinux (Jerome Marchand) [2120966] - selftests/bpf: Test skipping stacktrace (Jerome Marchand) [2120966] - bpf: Adjust BPF stack helper functions to accommodate skip > 0 (Jerome Marchand) [2120966] - bpf: Select proper size for bpf_prog_pack (Jerome Marchand) [2120966] - selftests/bpf: Fix test for 4-byte load from remote_port on big-endian (Jerome Marchand) [2120966] - selftests/bpf: Fix u8 narrow load checks for bpf_sk_lookup remote_port (Jerome Marchand) [2120966] - bpf: Treat bpf_sk_lookup remote_port as a 2-byte field (Jerome Marchand) [2120966] - selftests/bpf: Test for associating multiple elements with the local storage (Jerome Marchand) [2120966] - bpf: Enable non-atomic allocations in local storage (Jerome Marchand) [2120966] - libbpf: Avoid NULL deref when initializing map BTF info (Jerome Marchand) [2120966] - bpf: Always raise reference in btf_get_module_btf (Jerome Marchand) [2120966] - bpf: Factor out fd returning from bpf_btf_find_by_name_kind (Jerome Marchand) [2120966] - bpftool: Add BPF_TRACE_KPROBE_MULTI to attach type names table (Jerome Marchand) [2120966] - selftests/bpf: Fix test for 4-byte load from dst_port on big-endian (Jerome Marchand) [2120966] - selftests/bpf: Use constants for socket states in sock_fields test (Jerome Marchand) [2120966] - selftests/bpf: Check dst_port only on the client socket (Jerome Marchand) [2120966] - selftests/bpf: Fix error reporting from sock_fields programs (Jerome Marchand) [2120966] - selftests/bpf: Test subskeleton functionality (Jerome Marchand) [2120966] - bpftool: Add support for subskeletons (Jerome Marchand) [2120966] - libbpf: Add subskeleton scaffolding (Jerome Marchand) [2120966] - libbpf: Init btf_{key,value}_type_id on internal map open (Jerome Marchand) [2120966] - libbpf: .text routines are subprograms in strict mode (Jerome Marchand) [2120966] - selftests/bpf: Add cookie test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966] - selftests/bpf: Add attach test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966] - selftests/bpf: Add kprobe_multi bpf_cookie test (Jerome Marchand) [2120966] - selftests/bpf: Add kprobe_multi attach test (Jerome Marchand) [2120966] - libbpf: Add bpf_program__attach_kprobe_multi_opts function (Jerome Marchand) [2120966] - libbpf: Add bpf_link_create support for multi kprobes (Jerome Marchand) [2120966] - libbpf: Add libbpf_kallsyms_parse function (Jerome Marchand) [2120966] - bpf: Add cookie support to programs attached with kprobe multi link (Jerome Marchand) [2120966] - bpf: Add support to inline bpf_get_func_ip helper on x86 (Jerome Marchand) [2120966] - bpf: Add bpf_get_func_ip kprobe helper for multi kprobe link (Jerome Marchand) [2120966] - bpf: Add multi kprobe link (Jerome Marchand) [2120966] - kallsyms: Skip the name search for empty string (Jerome Marchand) [2120966] - lib/sort: Add priv pointer to swap function (Jerome Marchand) [2120966] - Enable CONFIG_FPROBE (Jerome Marchand) [2120966] - fprobe: Add a selftest for fprobe (Jerome Marchand) [2120966] - docs: fprobe: Add fprobe description to ftrace-use.rst (Jerome Marchand) [2120966] - fprobe: Introduce FPROBE_FL_KPROBE_SHARED flag for fprobe (Jerome Marchand) [2120966] - fprobe: Add sample program for fprobe (Jerome Marchand) [2120966] - fprobe: Add exit_handler support (Jerome Marchand) [2120966] - ARM: rethook: Add rethook arm implementation (Jerome Marchand) [2120966] - powerpc: Add rethook support (Jerome Marchand) [2120966] - arm64: rethook: Add arm64 rethook implementation (Jerome Marchand) [2120966] - rethook: x86: Add rethook x86 implementation (Jerome Marchand) [2120966] - rethook: Add a generic return hook (Jerome Marchand) [2120966] - fprobe: Add ftrace based probe APIs (Jerome Marchand) [2120966] - ftrace: Add ftrace_set_filter_ips function (Jerome Marchand) [2120966] - selftests/bpf: Test subprog jit when toggle bpf_jit_harden repeatedly (Jerome Marchand) [2120966] - bpf: Fix net.core.bpf_jit_harden race (Jerome Marchand) [2120966] - bpf, x86: Fall back to interpreter mode when extra pass fails (Jerome Marchand) [2120966] - bpf: selftests: Remove libcap usage from test_progs (Jerome Marchand) [2120966] - bpf: selftests: Remove libcap usage from test_verifier (Jerome Marchand) [2120966] - bpf: selftests: Add helpers to directly use the capget and capset syscall (Jerome Marchand) [2120966] - bpftool: man: Add missing top level docs (Jerome Marchand) [2120966] - bpftool: Add bpf_cookie to link output (Jerome Marchand) [2120966] - selftests/bpf: Clean up array_size.cocci warnings (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang compilation error for send_signal.c (Jerome Marchand) [2120966] - selftests/bpf: Add a test for maximum packet size in xdp_do_redirect (Jerome Marchand) [2120966] - bpf, test_run: Fix packet size check for live packet mode (Jerome Marchand) [2120966] - compiler_types: Refactor the use of btf_type_tag attribute. (Jerome Marchand) [2120966] - selftests/bpf: Check that bpf_kernel_read_file() denies reading IMA policy (Jerome Marchand) [2120966] - selftests/bpf: Add test for bpf_lsm_kernel_read_file() (Jerome Marchand) [2120966] - bpf-lsm: Make bpf_lsm_kernel_read_file() as sleepable (Jerome Marchand) [2120966] - selftests/bpf: Check if the digest is refreshed after a file write (Jerome Marchand) [2120966] - selftests/bpf: Add test for bpf_ima_file_hash() (Jerome Marchand) [2120966] - selftests/bpf: Move sample generation code to ima_test_common() (Jerome Marchand) [2120966] - bpf-lsm: Introduce new helper bpf_ima_file_hash() (Jerome Marchand) [2120966] - ima: Always return a file measurement in ima_file_hash() (Jerome Marchand) [2120966] - ima: Fix documentation-related warnings in ima_main.c (Jerome Marchand) [2120966] - bpftool: Ensure bytes_memlock json output is correct (Jerome Marchand) [2120966] - bpf: Use offsetofend() to simplify macro definition (Jerome Marchand) [2120966] - bpf: Fix comment for helper bpf_current_task_under_cgroup() (Jerome Marchand) [2120966] - bpf, lwt: Fix crash when using bpf_skb_set_tunnel_key() from bpf_xmit lwt hook (Jiri Benc) [2120966] - selftests/bpf: Fix tunnel remote IP comments (Jiri Benc) [2120966] - veth: Allow jumbo frames in xdp mode (Jiri Benc) [2120966] - veth: Rework veth_xdp_rcv_skb in order to accept non-linear skb (Jiri Benc) [2120966] - net: veth: Account total xdp_frame len running ndo_xdp_xmit (Jiri Benc) [2120966] - samples/bpf, xdpsock: Fix race when running for fix duration of time (Jiri Benc) [2120966] - bpf, sockmap: Fix double uncharge the mem of sk_msg (Jiri Benc) [2120966] - bpf, sockmap: Fix more uncharged while msg has more_data (Jiri Benc) [2120966] - bpf, sockmap: Fix memleak in tcp_bpf_sendmsg while sk msg is full (Jiri Benc) [2120966] - bpf, sockmap: Fix memleak in sk_psock_queue_msg (Jiri Benc) [2120966] - bpf: selftests: Update tests after s/delivery_time/tstamp/ change in bpf.h (Jiri Benc) [2120966] - bpf: Remove BPF_SKB_DELIVERY_TIME_NONE and rename s/delivery_time_/tstamp_/ (Jiri Benc) [2120966] - bpf: Simplify insn rewrite on BPF_WRITE __sk_buff->tstamp (Jiri Benc) [2120966] - bpf: Simplify insn rewrite on BPF_READ __sk_buff->tstamp (Jiri Benc) [2120966] - bpf: net: Remove TC_AT_INGRESS_OFFSET and SKB_MONO_DELIVERY_TIME_OFFSET macro (Jiri Benc) [2120966] - bpf: Add __sk_buff->delivery_time_type and bpf_skb_set_skb_delivery_time() (Jiri Benc) [2120966] - bpf: Keep the (rcv) timestamp behavior for the existing tc-bpf@ingress (Jiri Benc) [2120966] - net: Postpone skb_clear_delivery_time() until knowing the skb is delivered locally (Jiri Benc) [2120966] - net: Get rcv tstamp if needed in nfnetlink_{log, queue}.c (Jiri Benc) [2120966] - net: ipv6: Get rcv timestamp if needed when handling hop-by-hop IOAM option (Jiri Benc) [2120966] - net: ipv6: Handle delivery_time in ipv6 defrag (Jiri Benc) [2120966] - net: ip: Handle delivery_time in ip defrag (Jiri Benc) [2120966] - net: Set skb->mono_delivery_time and clear it after sch_handle_ingress() (Jiri Benc) [2120966] - net: Clear mono_delivery_time bit in __skb_tstamp_tx() (Jiri Benc) [2120966] - net: Handle delivery_time in skb->tstamp during network tapping with af_packet (Jiri Benc) [2120966] - net: Add skb_clear_tstamp() to keep the mono delivery_time (Jiri Benc) [2120966] - net: Add skb->mono_delivery_time to distinguish mono delivery_time from (rcv) timestamp (Jiri Benc) [2120966] - net: remove net_invalid_timestamp() (Jiri Benc) [2120966] - Documentation: update networking/page_pool.rst (Jiri Benc) [2120966] - page_pool: Add function to batch and return stats (Jiri Benc) [2120966] - page_pool: Add recycle stats (Jiri Benc) [2120966] - configs: disable CONFIG_PAGE_POOL_STATS (Jiri Benc) [2120966] - page_pool: Add allocation stats (Jiri Benc) [2120966] - selftest/bpf: Check invalid length in test_xdp_update_frags (Jiri Benc) [2120966] - skmsg: convert struct sk_msg_sg::copy to a bitmap (Jiri Benc) [2120966] - bpf, test_run: Use kvfree() for memory allocated with kvmalloc() (Jerome Marchand) [2120966] - bpf: Initialise retval in bpf_prog_test_run_xdp() (Jerome Marchand) [2120966] - bpftool: Restore support for BPF offload-enabled feature probing (Jerome Marchand) [2120966] - selftests/bpf: Add selftest for XDP_REDIRECT in BPF_PROG_RUN (Jiri Benc) [2120966] - selftests/bpf: Move open_netns() and close_netns() into network_helpers.c (Jiri Benc) [2120966] - libbpf: Support batch_size option to bpf_prog_test_run (Jerome Marchand) [2120966] - Documentation/bpf: Add documentation for BPF_PROG_RUN (Jerome Marchand) [2120966] - bpf: Add "live packet" mode for XDP in BPF_PROG_RUN (Jerome Marchand) [2120966] - bpf: selftests: test skb->tstamp in redirect_neigh (Jiri Benc) [2120966] - Improve stability of find_vma BPF test (Jerome Marchand) [2120966] - Improve send_signal BPF test stability (Jerome Marchand) [2120966] - Improve perf related BPF tests (sample_freq issue) (Jerome Marchand) [2120966] - bpf/docs: Update list of architectures supported. (Jerome Marchand) [2120966] - bpf/docs: Update vmtest docs for static linking (Jerome Marchand) [2120966] - bpf: Remove redundant slash (Jerome Marchand) [2120966] - libbpf: Fix array_size.cocci warning (Jerome Marchand) [2120966] - bpf: Replace strncpy() with strscpy() (Jerome Marchand) [2120966] - libbpf: Unmap rings when umem deleted (Jerome Marchand) [2120966] - bpf: Determine buf_info inside check_buffer_access() (Jerome Marchand) [2120966] - powerpc/bpf: Simplify bpf_to_ppc() and adopt it for powerpc64 (Jerome Marchand) [2120966] - powerpc64/bpf: Store temp registers' bpf to ppc mapping (Jerome Marchand) [2120966] - powerpc/bpf: Use _Rn macros for GPRs (Jerome Marchand) [2120966] - powerpc/bpf: Move bpf_jit64.h into bpf_jit_comp64.c (Jerome Marchand) [2120966] - powerpc/bpf: Cleanup bpf_jit.h (Jerome Marchand) [2120966] - powerpc64/bpf: Get rid of PPC_BPF_[LL|STL|STLU] macros (Jerome Marchand) [2120966] - powerpc64/bpf: Convert some of the uses of PPC_BPF_[LL|STL] to PPC_BPF_[LD|STD] (Jerome Marchand) [2120966] - powerpc/bpf: Rename PPC_BL_ABS() to PPC_BL() (Jerome Marchand) [2120966] - powerpc64/bpf: Optimize instruction sequence used for function calls (Jerome Marchand) [2120966] - powerpc64/bpf elfv1: Do not load TOC before calling functions (Jerome Marchand) [2120966] - powerpc64/bpf elfv2: Setup kernel TOC in r2 on entry (Jerome Marchand) [2120966] - powerpc64/bpf: Use r12 for constant blinding (Jerome Marchand) [2120966] - powerpc64/bpf: Do not save/restore LR on each call to bpf_stf_barrier() (Jerome Marchand) [2120966] - powerpc/bpf: Handle large branch ranges with BPF_EXIT (Jerome Marchand) [2120966] - powerpc/bpf: Emit a single branch instruction for known short branch ranges (Jerome Marchand) [2120966] - powerpc/bpf: Skip branch range validation during first pass (Jerome Marchand) [2120966] - bpf ppc32: Access only if addr is kernel address (Jerome Marchand) [2120966] - powerpc32/bpf: Fix codegen for bpf-to-bpf calls (Jerome Marchand) [2120966] - bpf ppc32: Add BPF_PROBE_MEM support for JIT (Jerome Marchand) [2120966] - selftests/bpf: Add a test for btf_type_tag "percpu" (Jerome Marchand) [2120966] - bpf: Reject programs that try to load __percpu memory. (Jerome Marchand) [2120966] - compiler_types: Define __percpu as __attribute__((btf_type_tag("percpu"))) (Jerome Marchand) [2120966] - bpf: Fix checking PTR_TO_BTF_ID in check_mem_access (Jerome Marchand) [2120966] - selftests/bpf: Add tests for kfunc register offset checks (Jerome Marchand) [2120966] - bpf: Replace __diag_ignore with unified __diag_ignore_all (Jerome Marchand) [2120966] - compiler_types.h: Add unified __diag_ignore_all for GCC/LLVM (Jerome Marchand) [2120966] - compiler-clang.h: Add __diag infrastructure for clang (Jerome Marchand) [2120966] - bpf: Harden register offset checks for release helpers and kfuncs (Jerome Marchand) [2120966] - bpf: Disallow negative offset in check_ptr_off_reg (Jerome Marchand) [2120966] - bpf: Fix PTR_TO_BTF_ID var_off check (Jerome Marchand) [2120966] - bpf: Add check_func_arg_reg_off function (Jerome Marchand) [2120966] - selftests/bpf: Add custom SEC() handling selftest (Jerome Marchand) [2120966] - libbpf: Support custom SEC() handlers (Jerome Marchand) [2120966] - libbpf: Allow BPF program auto-attach handlers to bail out (Jerome Marchand) [2120966] - bpf: Small BPF verifier log improvements (Jerome Marchand) [2120966] - libbpf: Add a check to ensure that page_cnt is non-zero (Jerome Marchand) [2120966] - bpf, test_run: Fix overflow in XDP frags bpf_test_finish (Jerome Marchand) [2120966] - bpf, selftests: Use raw_tp program for atomic test (Jerome Marchand) [2120966] - selftests/bpf: Do not export subtest as standalone test (Jerome Marchand) [2120966] - bpf: test_run: Fix overflow in bpf_test_finish frags parsing (Jerome Marchand) [2120966] - bpf: test_run: Fix overflow in xdp frags parsing (Jerome Marchand) [2120966] - bpf: test_run: Fix OOB access in bpf_prog_test_run_xdp (Jerome Marchand) [2120966] - libbpf: Deprecate bpf_prog_test_run_xattr and bpf_prog_test_run (Jerome Marchand) [2120966] - bpftool: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966] - selftests/bpf: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966] - selftests/bpf: Migrate from bpf_prog_test_run (Jerome Marchand) [2120966] - samples/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966] - selftests/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966] - libbpf: Deprecate xdp_cpumap, xdp_devmap and classifier sec definitions (Jerome Marchand) [2120966] - page_pool: Refactor page_pool to enable fragmenting after allocation (Jiri Benc) [2120966] - socket: Don't use u8 type in uapi socket.h (Jiri Benc) [2120966] - tcp: Change SYN ACK retransmit behaviour to account for rehash (Jiri Benc) [2120966] - bpf: Add SO_TXREHASH setsockopt (Jiri Benc) [2120966] - txhash: Add txrehash sysctl description (Jiri Benc) [2120966] - txhash: Add socket option to control TX hash rethink behavior (Jiri Benc) [2120966] - txhash: Make rethinking txhash behavior configurable via sysctl (Jiri Benc) [2120966] - selftests/bpf/test_xdp_redirect: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_meta: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_tcp_check_syncookie: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_lwt_seg6local: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_vlan: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_veth: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_redirect_multi: use temp netns for testing (Jiri Benc) [2120966] - selftest/bpf: Fix a stale comment. (Jiri Benc) [2120966] - selftest/bpf: Test batching and bpf_(get|set)sockopt in bpf unix iter. (Jiri Benc) [2120966] - bpf: Support bpf_(get|set)sockopt() in bpf unix iter. (Jiri Benc) [2120966] - bpf: af_unix: Use batching algorithm in bpf unix iter. (Jiri Benc) [2120966] - af_unix: Refactor unix_next_socket(). (Jiri Benc) [2120966] - af_unix: Relax race in unix_autobind(). (Jiri Benc) [2120966] - af_unix: Replace the big lock with small locks. (Jiri Benc) [2120966] - af_unix: Save hash in sk_hash. (Jiri Benc) [2120966] - af_unix: Add helpers to calculate hashes. (Jiri Benc) [2120966] - af_unix: Remove UNIX_ABSTRACT() macro and test sun_path[0] instead. (Jiri Benc) [2120966] - af_unix: Allocate unix_address in unix_bind_(bsd|abstract)(). (Jiri Benc) [2120966] - af_unix: Remove unix_mkname(). (Jiri Benc) [2120966] - af_unix: Copy unix_mkname() into unix_find_(bsd|abstract)(). (Jiri Benc) [2120966] - af_unix: Cut unix_validate_addr() out of unix_mkname(). (Jiri Benc) [2120966] - af_unix: Return an error as a pointer in unix_find_other(). (Jiri Benc) [2120966] - af_unix: Factorise unix_find_other() based on address types. (Jiri Benc) [2120966] - af_unix: Pass struct sock to unix_autobind(). (Jiri Benc) [2120966] - af_unix: Use offsetof() instead of sizeof(). (Jiri Benc) [2120966] - selftests/bpf: Do not fail build if CONFIG_NF_CONNTRACK=m/n (Jerome Marchand) [2120966] - bpf, x86: Set header->size properly before freeing it (Jerome Marchand) [2120966] - x86: Disable HAVE_ARCH_HUGE_VMALLOC on 32-bit x86 (Jerome Marchand) [2120966] - selftests/bpf: Update btf_dump case for conflicting names (Jerome Marchand) [2120966] - libbpf: Skip forward declaration when counting duplicated type names (Jerome Marchand) [2120966] - bpf: Add some description about BPF_JIT_ALWAYS_ON in Kconfig (Jerome Marchand) [2120966] - bpf: Cache the last valid build_id (Jerome Marchand) [2120966] - libbpf: Fix BPF_MAP_TYPE_PERF_EVENT_ARRAY auto-pinning (Jerome Marchand) [2120966] - bpf, arm64: Support more atomic operations (Jerome Marchand) [2120966] - bpftool: Remove redundant slashes (Jerome Marchand) [2120966] - Disable CONFIG_MODULE_ALLOW_BTF_MISMATCH (Jerome Marchand) [2120966] - bpf: Add config to allow loading modules with BTF mismatches (Jerome Marchand) [2120966] - bpf: Remove Lorenz Bauer from L7 BPF maintainers (Jerome Marchand) [2120966] - bpf, arm64: Feed byte-offset into bpf line info (Jerome Marchand) [2120966] - bpf, arm64: Call build_prologue() first in first JIT pass (Jerome Marchand) [2120966] - bpf: Fix issue with bpf preload module taking over stdout/stdin of kernel. (Jerome Marchand) [2120966] - bpftool: Bpf skeletons assert type sizes (Jerome Marchand) [2120966] - bpf: Cleanup comments (Jerome Marchand) [2120966] - libbpf: Simplify the find_elf_sec_sz() function (Jerome Marchand) [2120966] - bpftool: Remove usage of reallocarray() (Jerome Marchand) [2120966] - scripts/pahole-flags.sh: Parse DWARF and generate BTF with multithreading. (Jerome Marchand) [2120966] - libbpf: Remove redundant check in btf_fixup_datasec() (Jerome Marchand) [2120966] - selftests/bpf: Add test for reg2btf_ids out of bounds access (Jerome Marchand) [2120966] - selftests/bpf: Fix btfgen tests (Jerome Marchand) [2120966] - bpf: Initialize ret to 0 inside btf_populate_kfunc_set() (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang deprecated-declarations compilation error (Jerome Marchand) [2120966] - bpf: Call maybe_wait_bpf_programs() only once from generic_map_delete_batch() (Jerome Marchand) [2120966] - bpf: bpf_prog_pack: Set proper size before freeing ro_header (Jerome Marchand) [2120966] - selftests/bpf: Fix crash in core_reloc when bpftool btfgen fails (Jerome Marchand) [2120966] - selftests/bpf: Fix vmtest.sh to launch smp vm. (Jerome Marchand) [2120966] - bpftool: Fix C++ additions to skeleton (Jerome Marchand) [2120966] - selftests/bpf: Test "bpftool gen min_core_btf" (Jerome Marchand) [2120966] - bpftool: Gen min_core_btf explanation and examples (Jerome Marchand) [2120966] - bpftool: Implement btfgen_get_btf() (Jerome Marchand) [2120966] - bpftool: Implement "gen min_core_btf" logic (Jerome Marchand) [2120966] - bpftool: Add gen min_core_btf command (Jerome Marchand) [2120966] - libbpf: Expose bpf_core_{add,free}_cands() to bpftool (Jerome Marchand) [2120966] - libbpf: Split bpf_core_apply_relo() (Jerome Marchand) [2120966] - bpf: Reject kfunc calls that overflow insn->imm (Jerome Marchand) [2120966] - selftests/bpf: Add Skeleton templated wrapper as an example (Jerome Marchand) [2120966] - bpftool: Add C++-specific open/load/etc skeleton wrappers (Jerome Marchand) [2120966] - selftests/bpf: Fix GCC11 compiler warnings in -O2 mode (Jerome Marchand) [2120966] - powerpc/bpf: Always reallocate BPF_REG_5, BPF_REG_AX and TMP_REG when possible (Jerome Marchand) [2120966] - bpftool: Update versioning scheme, align on libbpf's version number (Jerome Marchand) [2120966] - bpftool: Add libbpf's version number to "bpftool version" output (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack build for ppc64_defconfig (Jerome Marchand) [2120966] - bpf: Convert bpf_preload.ko to use light skeleton. (Jerome Marchand) [2120966] - bpf: Update iterators.lskel.h. (Jerome Marchand) [2120966] - bpftool: Generalize light skeleton generation. (Jerome Marchand) [2120966] - libbpf: Prepare light skeleton for the kernel. (Jerome Marchand) [2120966] - bpf: Extend sys_bpf commands for bpf_syscall programs. (Jerome Marchand) [2120966] - selftests/bpf: Cover 4-byte load from remote_port in bpf_sk_lookup (Jerome Marchand) [2120966] - bpf: Make remote_port field in struct bpf_sk_lookup 16-bit wide (Jerome Marchand) [2120966] - selftests/bpf: Test BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966] - libbpf: Add BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966] - libbpf: Fix accessing the first syscall argument on s390 (Jerome Marchand) [2120966] - libbpf: Fix accessing the first syscall argument on arm64 (Jerome Marchand) [2120966] - libbpf: Allow overriding PT_REGS_PARM1{_CORE}_SYSCALL (Jerome Marchand) [2120966] - selftests/bpf: Skip test_bpf_syscall_macro's syscall_arg1 on arm64 and s390 (Jerome Marchand) [2120966] - libbpf: Fix accessing syscall arguments on powerpc (Jerome Marchand) [2120966] - selftests/bpf: Use PT_REGS_SYSCALL_REGS in bpf_syscall_macro (Jerome Marchand) [2120966] - libbpf: Add PT_REGS_SYSCALL_REGS macro (Jerome Marchand) [2120966] - selftests/bpf: Fix an endianness issue in bpf_syscall_macro test (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack build HPAGE_PMD_SIZE (Jerome Marchand) [2120966] - bpf: Fix leftover header->pages in sparc and powerpc code. (Jerome Marchand) [2120966] - bpf, x86_64: Fail gracefully on bpf_jit_binary_pack_finalize failures (Jerome Marchand) [2120966] - bpf, x86_64: Use bpf_jit_binary_pack_alloc (Jerome Marchand) [2120966] - bpf: Introduce bpf_jit_binary_pack_[alloc|finalize|free] (Jerome Marchand) [2120966] - bpf: Introduce bpf_prog_pack allocator (Jerome Marchand) [2120966] - bpf: Introduce bpf_arch_text_copy (Jerome Marchand) [2120966] - x86/alternative: Introduce text_poke_copy (Jerome Marchand) [2120966] - bpf: Use prog->jited_len in bpf_prog_ksym_set_addr() (Jerome Marchand) [2120966] - bpf: Use size instead of pages in bpf_binary_header (Jerome Marchand) [2120966] - bpf: Use bytes instead of pages for bpf_jit_[charge|uncharge]_modmem (Jerome Marchand) [2120966] - x86/Kconfig: Select HAVE_ARCH_HUGE_VMALLOC with HAVE_ARCH_HUGE_VMAP (Jerome Marchand) [2120966] - selftests/bpf: Fix strict mode calculation (Jerome Marchand) [2120966] - bpftool: Fix strict mode calculation (Jerome Marchand) [2120966] - libbpf: Remove mode check in libbpf_set_strict_mode() (Jerome Marchand) [2120966] - selftests/bpf: Fix tests to use arch-dependent syscall entry points (Jerome Marchand) [2120966] - libbpf: Fix build issue with llvm-readelf (Jerome Marchand) [2120966] - selftests/bpf: Test bpf_core_types_are_compat() functionality. (Jerome Marchand) [2120966] - bpf: Implement bpf_core_types_are_compat(). (Jerome Marchand) [2120966] - bpf, arm64: Enable kfunc call (Jerome Marchand) [2120966] - selftests/bpf: Add a selftest for invalid func btf with btf decl_tag (Jerome Marchand) [2120966] - libbpf: Deprecate priv/set_priv storage (Jerome Marchand) [2120966] - bpf, docs: Better document the atomic instructions (Jerome Marchand) [2120966] - bpf, docs: Better document the extended instruction format (Jerome Marchand) [2120966] - bpf, docs: Better document the legacy packet access instruction (Jerome Marchand) [2120966] - bpf, docs: Better document the regular load and store instructions (Jerome Marchand) [2120966] - bpf, docs: Document the byte swapping instructions (Jerome Marchand) [2120966] - libbpf: Deprecate forgotten btf__get_map_kv_tids() (Jerome Marchand) [2120966] - samples/bpf: Get rid of bpf_prog_load_xattr() use (Jerome Marchand) [2120966] - selftests/bpf: Redo the switch to new libbpf XDP APIs (Jerome Marchand) [2120966] - selftests/bpf: Remove usage of deprecated feature probing APIs (Jerome Marchand) [2120966] - bpftool: Fix uninit variable compilation warning (Jerome Marchand) [2120966] - bpftool: Stop supporting BPF offload-enabled feature probing (Jerome Marchand) [2120966] - libbpf: Stop using deprecated bpf_map__is_offload_neutral() (Jerome Marchand) [2120966] - Disable CONFIG_DEBUG_INFO_DWARF5 (Jerome Marchand) [2120966] - lib/Kconfig.debug: Allow BTF + DWARF5 with pahole 1.21+ (Jerome Marchand) [2120966] - lib/Kconfig.debug: Use CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966] - scripts/pahole-flags.sh: Use pahole-version.sh (Jerome Marchand) [2120966] - kbuild: Add CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966] - MAINTAINERS: Add scripts/pahole-flags.sh to BPF section (Jerome Marchand) [2120966] - bpf: Drop libbpf, libelf, libz dependency from bpf preload. (Jerome Marchand) [2120966] - bpf: Open code obj_get_info_by_fd in bpf preload. (Jerome Marchand) [2120966] - bpf: Convert bpf preload to light skeleton. (Jerome Marchand) [2120966] - bpf: Remove unnecessary setrlimit from bpf preload. (Jerome Marchand) [2120966] - libbpf: Open code raw_tp_open and link_create commands. (Jerome Marchand) [2120966] - libbpf: Open code low level bpf commands. (Jerome Marchand) [2120966] - libbpf: Add support for bpf iter in light skeleton. (Jerome Marchand) [2120966] - libbpf: Deprecate btf_ext rec_size APIs (Jerome Marchand) [2120966] - bpf: make bpf_copy_from_user_task() gpl only (Jerome Marchand) [2120966] - selftests/bpf: Extend verifier and bpf_sock tests for dst_port loads (Jerome Marchand) [2120966] - bpf: Make dst_port field in struct bpf_sock 16-bit wide (Jerome Marchand) [2120966] - docs/bpf: clarify how btf_type_tag gets encoded in the type chain (Jerome Marchand) [2120966] - selftests/bpf: specify pahole version requirement for btf_tag test (Jerome Marchand) [2120966] - selftests/bpf: add a selftest with __user tag (Jerome Marchand) [2120966] - selftests/bpf: rename btf_decl_tag.c to test_btf_decl_tag.c (Jerome Marchand) [2120966] - bpf: reject program if a __user tagged memory accessed in kernel way (Jerome Marchand) [2120966] - compiler_types: define __user as __attribute__((btf_type_tag("user"))) (Jerome Marchand) [2120966] - cgroup/bpf: fast path skb BPF filtering (Jerome Marchand) [2120966] - selftests/bpf: fix a clang compilation error (Jerome Marchand) [2120966] - bpf, x86: Remove unnecessary handling of BPF_SUB atomic op (Jerome Marchand) [2120966] - bpf: remove unused static inlines (Jerome Marchand) [2120966] - selftests/bpf: fix uprobe offset calculation in selftests (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang compilation error (Jerome Marchand) [2120966] - bpf: fix register_btf_kfunc_id_set for !CONFIG_DEBUG_INFO_BTF (Jerome Marchand) [2120966] - selftests: bpf: Less strict size check in sockopt_sk (Jerome Marchand) [2120966] - samples/bpf: use preferred getters/setters instead of deprecated ones (Jerome Marchand) [2120966] - selftests/bpf: use preferred setter/getter APIs instead of deprecated ones (Jerome Marchand) [2120966] - bpftool: use preferred setters/getters instead of deprecated ones (Jerome Marchand) [2120966] - libbpf: deprecate bpf_program__is_<type>() and bpf_program__set_<type>() APIs (Jerome Marchand) [2120966] - libbpf: deprecate bpf_map__resize() (Jerome Marchand) [2120966] - libbpf: hide and discourage inconsistently named getters (Jerome Marchand) [2120966] - selftests/bpf: Add a test to confirm PT_REGS_PARM4_SYSCALL (Jerome Marchand) [2120966] - libbpf: Fix the incorrect register read for syscalls on x86_64 (Jerome Marchand) [2120966] - libbpf: Mark bpf_object__open_xattr() deprecated (Jerome Marchand) [2120966] - libbpf: Mark bpf_object__open_buffer() API deprecated (Jerome Marchand) [2120966] - selftests/bpf: Add test for sleepable bpf iterator programs (Jerome Marchand) [2120966] - libbpf: Add "iter.s" section for sleepable bpf iterator programs (Jerome Marchand) [2120966] - bpf: Add bpf_copy_from_user_task() helper (Jerome Marchand) [2120966] - bpf: Add support for bpf iterator programs to use sleepable helpers (Jerome Marchand) [2120966] - xdp: disable XDP_REDIRECT for xdp frags (Jiri Benc) [2120966] - bpf: selftests: add CPUMAP/DEVMAP selftests for xdp frags (Jiri Benc) [2120966] - bpf: selftests: introduce bpf_xdp_{load,store}_bytes selftest (Jiri Benc) [2120966] - net: xdp: introduce bpf_xdp_pointer utility routine (Jiri Benc) [2120966] - bpf: generalise tail call map compatibility check (Jiri Benc) [2120966] - libbpf: Add SEC name for xdp frags programs (Jiri Benc) [2120966] - bpf: selftests: update xdp_adjust_tail selftest to include xdp frags (Jiri Benc) [2120966] - bpf: test_run: add xdp_shared_info pointer in bpf_test_finish signature (Jiri Benc) [2120966] - bpf: introduce frags support to bpf_prog_test_run_xdp() (Jiri Benc) [2120966] - bpf: move user_size out of bpf_test_init (Jiri Benc) [2120966] - bpf: add frags support to xdp copy helpers (Jiri Benc) [2120966] - bpf: add frags support to the bpf_xdp_adjust_tail() API (Jiri Benc) [2120966] - bpf: introduce bpf_xdp_get_buff_len helper (Jiri Benc) [2120966] - xdp: add frags support to xdp_return_{buff/frame} (Jiri Benc) [2120966] - net: xdp: add xdp_update_skb_shared_info utility routine (Jiri Benc) [2120966] - xdp: introduce flags field in xdp_buff/xdp_frame (Jiri Benc) [2120966] - net: skbuff: add size metadata to skb_shared_info for xdp (Jiri Benc) [2120966] - bpf: selftests: Get rid of CHECK macro in xdp_bpf2bpf.c (Jiri Benc) [2120966] - bpf: selftests: Get rid of CHECK macro in xdp_adjust_tail.c (Jiri Benc) [2120966] - bpf: Fix flexible_array.cocci warnings (Jerome Marchand) [2120966] - bpf: introduce BPF_F_XDP_HAS_FRAGS flag in prog_flags loading the ebpf program (Jerome Marchand) [2120966] - selftests: bpf: test BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966] - bpf: support BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966] - samples/bpf: adapt samples/bpf to bpf_xdp_xxx() APIs (Jerome Marchand) [2120966] - bpftool: use new API for attaching XDP program (Jerome Marchand) [2120966] - libbpf: streamline low-level XDP APIs (Jerome Marchand) [2120966] - docs/bpf: update BPF map definition example (Jerome Marchand) [2120966] - libbpf: deprecate legacy BPF map definitions (Jerome Marchand) [2120966] - selftests/bpf: convert remaining legacy map definitions (Jerome Marchand) [2120966] - selftests/bpf: fail build on compilation warning (Jerome Marchand) [2120966] - selftests/bpf: Update sockopt_sk test to the use bpf_set_retval (Jerome Marchand) [2120966] - selftests/bpf: Test bpf_{get,set}_retval behavior with cgroup/sockopt (Jerome Marchand) [2120966] - bpf: Add cgroup helpers bpf_{get,set}_retval to get/set syscall return value (Jerome Marchand) [2120966] - bpf: Move getsockopt retval to struct bpf_cg_run_ctx (Jerome Marchand) [2120966] - bpf: Make BPF_PROG_RUN_ARRAY return -err instead of allow boolean (Jerome Marchand) [2120966] - libbpf: Improve btf__add_btf() with an additional hashmap for strings. (Jerome Marchand) [2120966] - bpf/scripts: Raise an exception if the correct number of sycalls are not generated (Jerome Marchand) [2120966] - bpf/scripts: Make description and returns section for helpers/syscalls mandatory (Jerome Marchand) [2120966] - uapi/bpf: Add missing description and returns for helper documentation (Jerome Marchand) [2120966] - bpftool: Adding support for BTF program names (Jerome Marchand) [2120966] - selftests/bpf: Add test for race in btf_try_get_module (Jerome Marchand) [2120966] - selftests/bpf: Extend kfunc selftests (Jerome Marchand) [2120966] - selftests/bpf: Add test_verifier support to fixup kfunc call insns (Jerome Marchand) [2120966] - selftests/bpf: Add test for unstable CT lookup API (Jerome Marchand) [2120966] - net/netfilter: Add unstable CT lookup helpers for XDP and TC-BPF (Jiri Benc) [2120966] - bpf: Add reference tracking support to kfunc (Jerome Marchand) [2120966] - bpf: Introduce mem, size argument pair support for kfunc (Jerome Marchand) [2120966] - bpf: Remove check_kfunc_call callback and old kfunc BTF ID API (Jerome Marchand) [2120966] - bpf: Populate kfunc BTF ID sets in struct btf (Jerome Marchand) [2120966] - bpf: Fix UAF due to race between btf_try_get_module and load_module (Jerome Marchand) [2120966] - test: selftests: Remove unused various in sockmap_verdict_prog.c (Jerome Marchand) [2120966] - tools/resolve_btfids: Build with host flags (Jerome Marchand) [2120966] - bpf/scripts: Raise an exception if the correct number of helpers are not generated (Jerome Marchand) [2120966] - libbpf: Deprecate bpf_map__def() API (Jerome Marchand) [2120966] - selftests/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - bpftool: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - samples/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - libbpf: Fix possible NULL pointer dereference when destroying skeleton (Jerome Marchand) [2120966] - bpftool: Only set obj->skeleton on complete success (Jerome Marchand) [2120966] - selftests/bpf: Change bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966] - libbpf: Rename bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966] - libbpf: Use IS_ERR_OR_NULL() in hashmap__free() (Jerome Marchand) [2120966] Resolves: rhbz#2133755, rhbz#2120966 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-21 13:48:51 +00:00
CONFIG_FPROBE=y
# CONFIG_FRAMEBUFFER_CONSOLE_DEFERRED_TAKEOVER is not set
CONFIG_FRAMEBUFFER_CONSOLE_DETECT_PRIMARY=y
CONFIG_FRAMEBUFFER_CONSOLE_ROTATION=y
CONFIG_FRAMEBUFFER_CONSOLE=y
# CONFIG_FRAME_POINTER is not set
CONFIG_FRAME_WARN=2048
CONFIG_FRONTSWAP=y
# CONFIG_FSCACHE_DEBUG is not set
# CONFIG_FSCACHE_HISTOGRAM is not set
CONFIG_FSCACHE=m
CONFIG_FSCACHE_OBJECT_LIST=y
CONFIG_FSCACHE_STATS=y
CONFIG_FS_DAX=y
# CONFIG_FS_ENCRYPTION is not set
# CONFIG_FSI is not set
# CONFIG_FSL_EDMA is not set
CONFIG_FSL_ERRATUM_A008585=y
# CONFIG_FSL_LBC is not set
# CONFIG_FSL_QDMA is not set
# CONFIG_FSL_RCPM is not set
CONFIG_FSNOTIFY=y
# CONFIG_FS_VERITY is not set
# CONFIG_FTL is not set
CONFIG_FTRACE_MCOUNT_RECORD=y
# CONFIG_FTRACE_RECORD_RECURSION is not set
# CONFIG_FTRACE_STARTUP_TEST is not set
CONFIG_FTRACE_SYSCALLS=y
CONFIG_FTRACE=y
# CONFIG_FTR_FIXUP_SELFTEST is not set
CONFIG_FUJITSU_ERRATUM_010001=y
CONFIG_FUNCTION_GRAPH_TRACER=y
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_FUNCTION_PROFILER=y
CONFIG_FUNCTION_TRACER=y
CONFIG_FUSE_DAX=y
CONFIG_FUSE_FS=m
# CONFIG_FUSION_CTL is not set
# CONFIG_FUSION_FC is not set
CONFIG_FUSION_LOGGING=y
CONFIG_FUSION_MAX_SGE=128
CONFIG_FUSION_SAS=m
CONFIG_FUSION_SPI=m
CONFIG_FUSION=y
CONFIG_FUTEX=y
# CONFIG_FW_CACHE is not set
# CONFIG_FW_CFG_SYSFS_CMDLINE is not set
CONFIG_FW_CFG_SYSFS=y
kernel-5.14.0-220.el9 * Wed Dec 21 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-220.el9] - firmware_loader: Fix memory leak in firmware upload (Mark Langsdorf) [2122318] - firmware_loader: Fix use-after-free during unregister (Mark Langsdorf) [2122318] - firmware_loader: Replace kmap() with kmap_local_page() (Mark Langsdorf) [2122318] - firmware_loader: describe 'module' parameter of firmware_upload_register() (Mark Langsdorf) [2122318] - firmware_loader: Move definitions from sysfs_upload.h to sysfs.h (Mark Langsdorf) [2122318] - firmware_loader: Add sysfs nodes to monitor fw_upload (Mark Langsdorf) [2122318] - redhat/configs: enable CONFIG_FW_UPLOAD (Mark Langsdorf) [2122318] - firmware_loader: Add firmware-upload support (Mark Langsdorf) [2122318] - firmware_loader: Split sysfs support from fallback (Mark Langsdorf) [2122318] - PM / devfreq: Fix kernel warning with cpufreq passive register fail (Mark Langsdorf) [2122318] - PM / devfreq: Fix cpufreq passive unregister erroring on PROBE_DEFER (Mark Langsdorf) [2122318] - PM / devfreq: Mute warning on governor PROBE_DEFER (Mark Langsdorf) [2122318] - PM / devfreq: Fix kernel panic with cpu based scaling to passive gov (Mark Langsdorf) [2122318] - of: base: Avoid console probe delay when fw_devlink.strict=1 (Mark Langsdorf) [2122318] - driver core: Set default deferred_probe_timeout back to 0. (Mark Langsdorf) [2122318] - PM / devfreq: passive: revert an editing accident in SPDX-License line (Mark Langsdorf) [2122318] - drm/rockchip: Detach from ARM DMA domain in attach_device (Mark Langsdorf) [2122318] - serial: 8250: Fix PM usage_count for console handover (Mark Langsdorf) [2122318] - arch_topology: Make cluster topology span at least SMT CPUs (Mark Langsdorf) [2122318] - driver core: fix driver_set_override() issue with empty strings (Mark Langsdorf) [2122318] - arch_topology: Silence early cacheinfo errors when non-existent (Mark Langsdorf) [2122318] - driver core: Don't probe devices after bus_type.match() probe deferral (Mark Langsdorf) [2122318] - regmap: spi: Reserve space for register address/padding (Mark Langsdorf) [2122318] - arch_topology: Fix cache attributes detection in the CPU hotplug path (Mark Langsdorf) [2122318] - cacheinfo: Use atomic allocation for percpu cache attributes (Mark Langsdorf) [2122318] - regmap: permit to set reg_update_bits with bulk implementation (Mark Langsdorf) [2122318] - PM: domains: Ensure genpd_debugfs_dir exists before remove (Mark Langsdorf) [2122318] - PM: runtime: Extend support for wakeirq for force_suspend|resume (Mark Langsdorf) [2122318] - regmap: add WARN_ONCE when invalid mask is provided to regmap_field_init() (Mark Langsdorf) [2122318] - PM: wakeup: Unify device_init_wakeup() for PM_SLEEP and !PM_SLEEP (Mark Langsdorf) [2122318] - regmap-irq: Fix bug in regmap_irq_get_irq_reg_linear() (Mark Langsdorf) [2122318] - arch_topology: Warn that topology for nested clusters is not supported (Mark Langsdorf) [2122318] - arch_topology: Add support for parsing sockets in /cpu-map (Mark Langsdorf) [2122318] - arch_topology: Set cluster identifier in each core/thread from /cpu-map (Mark Langsdorf) [2122318] - arch_topology: Limit span of cpu_clustergroup_mask() (Mark Langsdorf) [2122318] - arch_topology: Don't set cluster identifier as physical package identifier (Mark Langsdorf) [2122318] - arch_topology: Avoid parsing through all the CPUs once a outlier CPU is found (Mark Langsdorf) [2122318] - arch_topology: Check for non-negative value rather than -1 for IDs validity (Mark Langsdorf) [2122318] - arch_topology: Set thread sibling cpumask only within the cluster (Mark Langsdorf) [2122318] - arch_topology: Drop LLC identifier stash from the CPU topology (Mark Langsdorf) [2122318] - arch_topology: Use the last level cache information from the cacheinfo (Mark Langsdorf) [2122318] - arch_topology: Add support to parse and detect cache attributes (Mark Langsdorf) [2122318] - cacheinfo: Align checks in cache_shared_cpu_map_{setup,remove} for readability (Mark Langsdorf) [2122318] - cacheinfo: Use cache identifiers to check if the caches are shared if available (Mark Langsdorf) [2122318] - cacheinfo: Allow early detection and population of cache attributes (Mark Langsdorf) [2122318] - cacheinfo: Add support to check if last level cache(LLC) is valid or shared (Mark Langsdorf) [2122318] - cacheinfo: Move cache_leaves_are_shared out of CONFIG_OF (Mark Langsdorf) [2122318] - cacheinfo: Add helper to access any cache index for a given CPU (Mark Langsdorf) [2122318] - cacheinfo: Use of_cpu_device_node_get instead cpu_dev->of_node (Mark Langsdorf) [2122318] - arm64: topology: Remove redundant setting of llc_id in CPU topology (Mark Langsdorf) [2122318] - PM: runtime: Fix supplier device management during consumer probe (Mark Langsdorf) [2122318] - PM: runtime: Redefine pm_runtime_release_supplier() (Mark Langsdorf) [2122318] - regmap: cache: Add extra parameter check in regcache_init (Mark Langsdorf) [2122318] - regmap-irq: Deprecate the not_fixed_stride flag (Mark Langsdorf) [2122318] - regmap-irq: Add get_irq_reg() callback (Mark Langsdorf) [2122318] - regmap-irq: Fix inverted handling of unmask registers (Mark Langsdorf) [2122318] - regmap-irq: Deprecate type registers and virtual registers (Mark Langsdorf) [2122318] - regmap-irq: Introduce config registers for irq types (Mark Langsdorf) [2122318] - regmap-irq: Refactor checks for status bulk read support (Mark Langsdorf) [2122318] - regmap-irq: Remove mask_writeonly and regmap_irq_update_bits() (Mark Langsdorf) [2122318] - regmap-irq: Remove inappropriate uses of regmap_irq_update_bits() (Mark Langsdorf) [2122318] - regmap-irq: Remove an unnecessary restriction on type_in_mask (Mark Langsdorf) [2122318] - regmap-irq: Cleanup sizeof(...) use in memory allocation (Mark Langsdorf) [2122318] - regmap-irq: Remove unused type_reg_stride field (Mark Langsdorf) [2122318] - regmap-irq: Convert bool bitfields to unsigned int (Mark Langsdorf) [2122318] - driver core: fw_devlink: Allow firmware to mark devices as best effort (Mark Langsdorf) [2122318] - driver core: fix potential deadlock in __driver_attach (Mark Langsdorf) [2122318] - devtmpfs: fix the dangling pointer of global devtmpfsd thread (Mark Langsdorf) [2122318] - regmap: Don't warn about cache only mode for devices with no cache (Mark Langsdorf) [2122318] - MAINTAINERS: Add new IOMMU development mailing list (Mark Langsdorf) [2122318] - regmap-irq: Fix offset/index mismatch in read_sub_irq_data() (Mark Langsdorf) [2122318] - regmap-irq: Fix a bug in regmap_irq_enable() for type_in_mask chips (Mark Langsdorf) [2122318] - regmap: Wire up regmap_config provided bulk write in missed functions (Mark Langsdorf) [2122318] - regmap: Make regmap_noinc_read() return -ENOTSUPP if map->read isn't set (Mark Langsdorf) [2122318] - regmap: Re-introduce bulk read support check in regmap_bulk_read() (Mark Langsdorf) [2122318] - init: Initialize noop_backing_dev_info early (Mark Langsdorf) [2122318] - regmap: provide regmap_field helpers for simple bit operations (Mark Langsdorf) [2122318] - driver core: Introduce device_find_any_child() helper (Mark Langsdorf) [2122318] - driver core: Add wait_for_init_devices_probe helper function (Mark Langsdorf) [2122318] - pinctrl: devicetree: Delete usage of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318] - regmap: cache: Fix syntax errors in comments (Mark Langsdorf) [2122318] - firmware_loader: enable XZ by default if compressed support is enabled (Mark Langsdorf) [2122318] - driver core: Fix wait_for_device_probe() & deferred_probe_timeout interaction (Mark Langsdorf) [2122318] - PM: domains: Trust domain-idle-states from DT to be correct by genpd (Mark Langsdorf) [2122318] - PM: domains: Measure power-on/off latencies in genpd based on a governor (Mark Langsdorf) [2122318] - PM: domains: Allocate governor data dynamically based on a genpd governor (Mark Langsdorf) [2122318] - PM: domains: Clean up some code in pm_genpd_init() and genpd_remove() (Mark Langsdorf) [2122318] - PM: domains: Fix initialization of genpd's next_wakeup (Mark Langsdorf) [2122318] - PM: domains: Fixup QoS latency measurements for IRQ safe devices in genpd (Mark Langsdorf) [2122318] - PM: domains: Measure suspend/resume latencies in genpd based on governor (Mark Langsdorf) [2122318] - PM: domains: Move the next_wakeup variable into the struct gpd_timing_data (Mark Langsdorf) [2122318] - PM: domains: Allocate gpd_timing_data dynamically based on governor (Mark Langsdorf) [2122318] - PM: domains: Skip another warning in irq_safe_dev_in_sleep_domain() (Mark Langsdorf) [2122318] - PM: domains: Rename irq_safe_dev_in_no_sleep_domain() in genpd (Mark Langsdorf) [2122318] - PM: domains: Don't check PM_QOS_FLAG_NO_POWER_OFF in genpd (Mark Langsdorf) [2122318] - PM: domains: Drop redundant code for genpd always-on governor (Mark Langsdorf) [2122318] - PM: domains: Add GENPD_FLAG_RPM_ALWAYS_ON for the always-on governor (Mark Langsdorf) [2122318] - PM: wakeup: expose pm_wakeup_pending to modules (Mark Langsdorf) [2122318] - driver core: fix deadlock in __device_attach (Mark Langsdorf) [2122318] - driver core: Extend deferred probe timeout on driver registration (Mark Langsdorf) [2122318] - driver: base: fix UAF when driver_attach failed (Mark Langsdorf) [2122318] - driver core: location: Add "back" as a possible output for panel (Mark Langsdorf) [2122318] - driver core: location: Free struct acpi_pld_info *pld (Mark Langsdorf) [2122318] - driver core: Add "*" wildcard support to driver_async_probe cmdline param (Mark Langsdorf) [2122318] - PM / devfreq: passive: Keep cpufreq_policy for possible cpus (Mark Langsdorf) [2122318] - PM / devfreq: passive: Reduce duplicate code when passive_devfreq case (Mark Langsdorf) [2122318] - PM / devfreq: Add cpu based scaling support to passive governor (Mark Langsdorf) [2122318] - PM / devfreq: Export devfreq_get_freq_range symbol within devfreq (Mark Langsdorf) [2122318] - iommu/arm-smmu: Force identity domains for legacy binding (Mark Langsdorf) [2122318] - regmap: Add missing map->bus check (Mark Langsdorf) [2122318] - driver core: location: Check for allocations failure (Mark Langsdorf) [2122318] - arch_topology: Trace the update thermal pressure (Mark Langsdorf) [2122318] - regmap: Add bulk read/write callbacks into regmap_config (Mark Langsdorf) [2122318] - drivers/base/memory: fix an unlikely reference counting issue in __add_memory_block() (Mark Langsdorf) [2122318] - driver core: Add sysfs support for physical location of a device (Mark Langsdorf) [2122318] - platform: finally disallow IRQ0 in platform_get_irq() and its ilk (Mark Langsdorf) [2122318] - drivers/base/node.c: fix compaction sysfs file leak (Mark Langsdorf) [2122318] - driver core: Prevent overriding async driver of a device before it probe (Mark Langsdorf) [2122318] - Documentation: dd: Use ReST lists for return values of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318] - regmap: cache: set max_register with reg_stride (Mark Langsdorf) [2122318] - firmware_loader: Check fw_state_is_done in loading_store (Mark Langsdorf) [2122318] - firmware_loader: Clear data and size in fw_free_paged_buf (Mark Langsdorf) [2122318] - driver: platform: Add helper for safer setting of driver_override (Mark Langsdorf) [2122318] - PM: domains: Move genpd's time-accounting to ktime_get_mono_fast_ns() (Mark Langsdorf) [2122318] - redhat/configs: Enable XZ and ZSTD compress firmware loading (Mark Langsdorf) [2122318] - firmware: Add the support for ZSTD-compressed firmware files (Mark Langsdorf) [2122318] - PM: runtime: Allow to call __pm_runtime_set_status() from atomic context (Mark Langsdorf) [2122318] - PM: runtime: Avoid device usage count underflows (Mark Langsdorf) [2122318] - PM: domains: Extend dev_pm_domain_detach() doc (Mark Langsdorf) [2122318] - regmap: Constify static regmap_bus structs (Mark Langsdorf) [2122318] Resolves: rhbz#2122318 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-21 15:16:36 +00:00
CONFIG_FW_LOADER_COMPRESS_XZ=y
CONFIG_FW_LOADER_COMPRESS=y
kernel-5.14.0-220.el9 * Wed Dec 21 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-220.el9] - firmware_loader: Fix memory leak in firmware upload (Mark Langsdorf) [2122318] - firmware_loader: Fix use-after-free during unregister (Mark Langsdorf) [2122318] - firmware_loader: Replace kmap() with kmap_local_page() (Mark Langsdorf) [2122318] - firmware_loader: describe 'module' parameter of firmware_upload_register() (Mark Langsdorf) [2122318] - firmware_loader: Move definitions from sysfs_upload.h to sysfs.h (Mark Langsdorf) [2122318] - firmware_loader: Add sysfs nodes to monitor fw_upload (Mark Langsdorf) [2122318] - redhat/configs: enable CONFIG_FW_UPLOAD (Mark Langsdorf) [2122318] - firmware_loader: Add firmware-upload support (Mark Langsdorf) [2122318] - firmware_loader: Split sysfs support from fallback (Mark Langsdorf) [2122318] - PM / devfreq: Fix kernel warning with cpufreq passive register fail (Mark Langsdorf) [2122318] - PM / devfreq: Fix cpufreq passive unregister erroring on PROBE_DEFER (Mark Langsdorf) [2122318] - PM / devfreq: Mute warning on governor PROBE_DEFER (Mark Langsdorf) [2122318] - PM / devfreq: Fix kernel panic with cpu based scaling to passive gov (Mark Langsdorf) [2122318] - of: base: Avoid console probe delay when fw_devlink.strict=1 (Mark Langsdorf) [2122318] - driver core: Set default deferred_probe_timeout back to 0. (Mark Langsdorf) [2122318] - PM / devfreq: passive: revert an editing accident in SPDX-License line (Mark Langsdorf) [2122318] - drm/rockchip: Detach from ARM DMA domain in attach_device (Mark Langsdorf) [2122318] - serial: 8250: Fix PM usage_count for console handover (Mark Langsdorf) [2122318] - arch_topology: Make cluster topology span at least SMT CPUs (Mark Langsdorf) [2122318] - driver core: fix driver_set_override() issue with empty strings (Mark Langsdorf) [2122318] - arch_topology: Silence early cacheinfo errors when non-existent (Mark Langsdorf) [2122318] - driver core: Don't probe devices after bus_type.match() probe deferral (Mark Langsdorf) [2122318] - regmap: spi: Reserve space for register address/padding (Mark Langsdorf) [2122318] - arch_topology: Fix cache attributes detection in the CPU hotplug path (Mark Langsdorf) [2122318] - cacheinfo: Use atomic allocation for percpu cache attributes (Mark Langsdorf) [2122318] - regmap: permit to set reg_update_bits with bulk implementation (Mark Langsdorf) [2122318] - PM: domains: Ensure genpd_debugfs_dir exists before remove (Mark Langsdorf) [2122318] - PM: runtime: Extend support for wakeirq for force_suspend|resume (Mark Langsdorf) [2122318] - regmap: add WARN_ONCE when invalid mask is provided to regmap_field_init() (Mark Langsdorf) [2122318] - PM: wakeup: Unify device_init_wakeup() for PM_SLEEP and !PM_SLEEP (Mark Langsdorf) [2122318] - regmap-irq: Fix bug in regmap_irq_get_irq_reg_linear() (Mark Langsdorf) [2122318] - arch_topology: Warn that topology for nested clusters is not supported (Mark Langsdorf) [2122318] - arch_topology: Add support for parsing sockets in /cpu-map (Mark Langsdorf) [2122318] - arch_topology: Set cluster identifier in each core/thread from /cpu-map (Mark Langsdorf) [2122318] - arch_topology: Limit span of cpu_clustergroup_mask() (Mark Langsdorf) [2122318] - arch_topology: Don't set cluster identifier as physical package identifier (Mark Langsdorf) [2122318] - arch_topology: Avoid parsing through all the CPUs once a outlier CPU is found (Mark Langsdorf) [2122318] - arch_topology: Check for non-negative value rather than -1 for IDs validity (Mark Langsdorf) [2122318] - arch_topology: Set thread sibling cpumask only within the cluster (Mark Langsdorf) [2122318] - arch_topology: Drop LLC identifier stash from the CPU topology (Mark Langsdorf) [2122318] - arch_topology: Use the last level cache information from the cacheinfo (Mark Langsdorf) [2122318] - arch_topology: Add support to parse and detect cache attributes (Mark Langsdorf) [2122318] - cacheinfo: Align checks in cache_shared_cpu_map_{setup,remove} for readability (Mark Langsdorf) [2122318] - cacheinfo: Use cache identifiers to check if the caches are shared if available (Mark Langsdorf) [2122318] - cacheinfo: Allow early detection and population of cache attributes (Mark Langsdorf) [2122318] - cacheinfo: Add support to check if last level cache(LLC) is valid or shared (Mark Langsdorf) [2122318] - cacheinfo: Move cache_leaves_are_shared out of CONFIG_OF (Mark Langsdorf) [2122318] - cacheinfo: Add helper to access any cache index for a given CPU (Mark Langsdorf) [2122318] - cacheinfo: Use of_cpu_device_node_get instead cpu_dev->of_node (Mark Langsdorf) [2122318] - arm64: topology: Remove redundant setting of llc_id in CPU topology (Mark Langsdorf) [2122318] - PM: runtime: Fix supplier device management during consumer probe (Mark Langsdorf) [2122318] - PM: runtime: Redefine pm_runtime_release_supplier() (Mark Langsdorf) [2122318] - regmap: cache: Add extra parameter check in regcache_init (Mark Langsdorf) [2122318] - regmap-irq: Deprecate the not_fixed_stride flag (Mark Langsdorf) [2122318] - regmap-irq: Add get_irq_reg() callback (Mark Langsdorf) [2122318] - regmap-irq: Fix inverted handling of unmask registers (Mark Langsdorf) [2122318] - regmap-irq: Deprecate type registers and virtual registers (Mark Langsdorf) [2122318] - regmap-irq: Introduce config registers for irq types (Mark Langsdorf) [2122318] - regmap-irq: Refactor checks for status bulk read support (Mark Langsdorf) [2122318] - regmap-irq: Remove mask_writeonly and regmap_irq_update_bits() (Mark Langsdorf) [2122318] - regmap-irq: Remove inappropriate uses of regmap_irq_update_bits() (Mark Langsdorf) [2122318] - regmap-irq: Remove an unnecessary restriction on type_in_mask (Mark Langsdorf) [2122318] - regmap-irq: Cleanup sizeof(...) use in memory allocation (Mark Langsdorf) [2122318] - regmap-irq: Remove unused type_reg_stride field (Mark Langsdorf) [2122318] - regmap-irq: Convert bool bitfields to unsigned int (Mark Langsdorf) [2122318] - driver core: fw_devlink: Allow firmware to mark devices as best effort (Mark Langsdorf) [2122318] - driver core: fix potential deadlock in __driver_attach (Mark Langsdorf) [2122318] - devtmpfs: fix the dangling pointer of global devtmpfsd thread (Mark Langsdorf) [2122318] - regmap: Don't warn about cache only mode for devices with no cache (Mark Langsdorf) [2122318] - MAINTAINERS: Add new IOMMU development mailing list (Mark Langsdorf) [2122318] - regmap-irq: Fix offset/index mismatch in read_sub_irq_data() (Mark Langsdorf) [2122318] - regmap-irq: Fix a bug in regmap_irq_enable() for type_in_mask chips (Mark Langsdorf) [2122318] - regmap: Wire up regmap_config provided bulk write in missed functions (Mark Langsdorf) [2122318] - regmap: Make regmap_noinc_read() return -ENOTSUPP if map->read isn't set (Mark Langsdorf) [2122318] - regmap: Re-introduce bulk read support check in regmap_bulk_read() (Mark Langsdorf) [2122318] - init: Initialize noop_backing_dev_info early (Mark Langsdorf) [2122318] - regmap: provide regmap_field helpers for simple bit operations (Mark Langsdorf) [2122318] - driver core: Introduce device_find_any_child() helper (Mark Langsdorf) [2122318] - driver core: Add wait_for_init_devices_probe helper function (Mark Langsdorf) [2122318] - pinctrl: devicetree: Delete usage of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318] - regmap: cache: Fix syntax errors in comments (Mark Langsdorf) [2122318] - firmware_loader: enable XZ by default if compressed support is enabled (Mark Langsdorf) [2122318] - driver core: Fix wait_for_device_probe() & deferred_probe_timeout interaction (Mark Langsdorf) [2122318] - PM: domains: Trust domain-idle-states from DT to be correct by genpd (Mark Langsdorf) [2122318] - PM: domains: Measure power-on/off latencies in genpd based on a governor (Mark Langsdorf) [2122318] - PM: domains: Allocate governor data dynamically based on a genpd governor (Mark Langsdorf) [2122318] - PM: domains: Clean up some code in pm_genpd_init() and genpd_remove() (Mark Langsdorf) [2122318] - PM: domains: Fix initialization of genpd's next_wakeup (Mark Langsdorf) [2122318] - PM: domains: Fixup QoS latency measurements for IRQ safe devices in genpd (Mark Langsdorf) [2122318] - PM: domains: Measure suspend/resume latencies in genpd based on governor (Mark Langsdorf) [2122318] - PM: domains: Move the next_wakeup variable into the struct gpd_timing_data (Mark Langsdorf) [2122318] - PM: domains: Allocate gpd_timing_data dynamically based on governor (Mark Langsdorf) [2122318] - PM: domains: Skip another warning in irq_safe_dev_in_sleep_domain() (Mark Langsdorf) [2122318] - PM: domains: Rename irq_safe_dev_in_no_sleep_domain() in genpd (Mark Langsdorf) [2122318] - PM: domains: Don't check PM_QOS_FLAG_NO_POWER_OFF in genpd (Mark Langsdorf) [2122318] - PM: domains: Drop redundant code for genpd always-on governor (Mark Langsdorf) [2122318] - PM: domains: Add GENPD_FLAG_RPM_ALWAYS_ON for the always-on governor (Mark Langsdorf) [2122318] - PM: wakeup: expose pm_wakeup_pending to modules (Mark Langsdorf) [2122318] - driver core: fix deadlock in __device_attach (Mark Langsdorf) [2122318] - driver core: Extend deferred probe timeout on driver registration (Mark Langsdorf) [2122318] - driver: base: fix UAF when driver_attach failed (Mark Langsdorf) [2122318] - driver core: location: Add "back" as a possible output for panel (Mark Langsdorf) [2122318] - driver core: location: Free struct acpi_pld_info *pld (Mark Langsdorf) [2122318] - driver core: Add "*" wildcard support to driver_async_probe cmdline param (Mark Langsdorf) [2122318] - PM / devfreq: passive: Keep cpufreq_policy for possible cpus (Mark Langsdorf) [2122318] - PM / devfreq: passive: Reduce duplicate code when passive_devfreq case (Mark Langsdorf) [2122318] - PM / devfreq: Add cpu based scaling support to passive governor (Mark Langsdorf) [2122318] - PM / devfreq: Export devfreq_get_freq_range symbol within devfreq (Mark Langsdorf) [2122318] - iommu/arm-smmu: Force identity domains for legacy binding (Mark Langsdorf) [2122318] - regmap: Add missing map->bus check (Mark Langsdorf) [2122318] - driver core: location: Check for allocations failure (Mark Langsdorf) [2122318] - arch_topology: Trace the update thermal pressure (Mark Langsdorf) [2122318] - regmap: Add bulk read/write callbacks into regmap_config (Mark Langsdorf) [2122318] - drivers/base/memory: fix an unlikely reference counting issue in __add_memory_block() (Mark Langsdorf) [2122318] - driver core: Add sysfs support for physical location of a device (Mark Langsdorf) [2122318] - platform: finally disallow IRQ0 in platform_get_irq() and its ilk (Mark Langsdorf) [2122318] - drivers/base/node.c: fix compaction sysfs file leak (Mark Langsdorf) [2122318] - driver core: Prevent overriding async driver of a device before it probe (Mark Langsdorf) [2122318] - Documentation: dd: Use ReST lists for return values of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318] - regmap: cache: set max_register with reg_stride (Mark Langsdorf) [2122318] - firmware_loader: Check fw_state_is_done in loading_store (Mark Langsdorf) [2122318] - firmware_loader: Clear data and size in fw_free_paged_buf (Mark Langsdorf) [2122318] - driver: platform: Add helper for safer setting of driver_override (Mark Langsdorf) [2122318] - PM: domains: Move genpd's time-accounting to ktime_get_mono_fast_ns() (Mark Langsdorf) [2122318] - redhat/configs: Enable XZ and ZSTD compress firmware loading (Mark Langsdorf) [2122318] - firmware: Add the support for ZSTD-compressed firmware files (Mark Langsdorf) [2122318] - PM: runtime: Allow to call __pm_runtime_set_status() from atomic context (Mark Langsdorf) [2122318] - PM: runtime: Avoid device usage count underflows (Mark Langsdorf) [2122318] - PM: domains: Extend dev_pm_domain_detach() doc (Mark Langsdorf) [2122318] - regmap: Constify static regmap_bus structs (Mark Langsdorf) [2122318] Resolves: rhbz#2122318 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-21 15:16:36 +00:00
CONFIG_FW_LOADER_COMPRESS_ZSTD=y
# CONFIG_FW_LOADER_USER_HELPER_FALLBACK is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_FW_LOADER_USER_HELPER is not set
CONFIG_FW_LOADER=y
kernel-5.14.0-220.el9 * Wed Dec 21 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-220.el9] - firmware_loader: Fix memory leak in firmware upload (Mark Langsdorf) [2122318] - firmware_loader: Fix use-after-free during unregister (Mark Langsdorf) [2122318] - firmware_loader: Replace kmap() with kmap_local_page() (Mark Langsdorf) [2122318] - firmware_loader: describe 'module' parameter of firmware_upload_register() (Mark Langsdorf) [2122318] - firmware_loader: Move definitions from sysfs_upload.h to sysfs.h (Mark Langsdorf) [2122318] - firmware_loader: Add sysfs nodes to monitor fw_upload (Mark Langsdorf) [2122318] - redhat/configs: enable CONFIG_FW_UPLOAD (Mark Langsdorf) [2122318] - firmware_loader: Add firmware-upload support (Mark Langsdorf) [2122318] - firmware_loader: Split sysfs support from fallback (Mark Langsdorf) [2122318] - PM / devfreq: Fix kernel warning with cpufreq passive register fail (Mark Langsdorf) [2122318] - PM / devfreq: Fix cpufreq passive unregister erroring on PROBE_DEFER (Mark Langsdorf) [2122318] - PM / devfreq: Mute warning on governor PROBE_DEFER (Mark Langsdorf) [2122318] - PM / devfreq: Fix kernel panic with cpu based scaling to passive gov (Mark Langsdorf) [2122318] - of: base: Avoid console probe delay when fw_devlink.strict=1 (Mark Langsdorf) [2122318] - driver core: Set default deferred_probe_timeout back to 0. (Mark Langsdorf) [2122318] - PM / devfreq: passive: revert an editing accident in SPDX-License line (Mark Langsdorf) [2122318] - drm/rockchip: Detach from ARM DMA domain in attach_device (Mark Langsdorf) [2122318] - serial: 8250: Fix PM usage_count for console handover (Mark Langsdorf) [2122318] - arch_topology: Make cluster topology span at least SMT CPUs (Mark Langsdorf) [2122318] - driver core: fix driver_set_override() issue with empty strings (Mark Langsdorf) [2122318] - arch_topology: Silence early cacheinfo errors when non-existent (Mark Langsdorf) [2122318] - driver core: Don't probe devices after bus_type.match() probe deferral (Mark Langsdorf) [2122318] - regmap: spi: Reserve space for register address/padding (Mark Langsdorf) [2122318] - arch_topology: Fix cache attributes detection in the CPU hotplug path (Mark Langsdorf) [2122318] - cacheinfo: Use atomic allocation for percpu cache attributes (Mark Langsdorf) [2122318] - regmap: permit to set reg_update_bits with bulk implementation (Mark Langsdorf) [2122318] - PM: domains: Ensure genpd_debugfs_dir exists before remove (Mark Langsdorf) [2122318] - PM: runtime: Extend support for wakeirq for force_suspend|resume (Mark Langsdorf) [2122318] - regmap: add WARN_ONCE when invalid mask is provided to regmap_field_init() (Mark Langsdorf) [2122318] - PM: wakeup: Unify device_init_wakeup() for PM_SLEEP and !PM_SLEEP (Mark Langsdorf) [2122318] - regmap-irq: Fix bug in regmap_irq_get_irq_reg_linear() (Mark Langsdorf) [2122318] - arch_topology: Warn that topology for nested clusters is not supported (Mark Langsdorf) [2122318] - arch_topology: Add support for parsing sockets in /cpu-map (Mark Langsdorf) [2122318] - arch_topology: Set cluster identifier in each core/thread from /cpu-map (Mark Langsdorf) [2122318] - arch_topology: Limit span of cpu_clustergroup_mask() (Mark Langsdorf) [2122318] - arch_topology: Don't set cluster identifier as physical package identifier (Mark Langsdorf) [2122318] - arch_topology: Avoid parsing through all the CPUs once a outlier CPU is found (Mark Langsdorf) [2122318] - arch_topology: Check for non-negative value rather than -1 for IDs validity (Mark Langsdorf) [2122318] - arch_topology: Set thread sibling cpumask only within the cluster (Mark Langsdorf) [2122318] - arch_topology: Drop LLC identifier stash from the CPU topology (Mark Langsdorf) [2122318] - arch_topology: Use the last level cache information from the cacheinfo (Mark Langsdorf) [2122318] - arch_topology: Add support to parse and detect cache attributes (Mark Langsdorf) [2122318] - cacheinfo: Align checks in cache_shared_cpu_map_{setup,remove} for readability (Mark Langsdorf) [2122318] - cacheinfo: Use cache identifiers to check if the caches are shared if available (Mark Langsdorf) [2122318] - cacheinfo: Allow early detection and population of cache attributes (Mark Langsdorf) [2122318] - cacheinfo: Add support to check if last level cache(LLC) is valid or shared (Mark Langsdorf) [2122318] - cacheinfo: Move cache_leaves_are_shared out of CONFIG_OF (Mark Langsdorf) [2122318] - cacheinfo: Add helper to access any cache index for a given CPU (Mark Langsdorf) [2122318] - cacheinfo: Use of_cpu_device_node_get instead cpu_dev->of_node (Mark Langsdorf) [2122318] - arm64: topology: Remove redundant setting of llc_id in CPU topology (Mark Langsdorf) [2122318] - PM: runtime: Fix supplier device management during consumer probe (Mark Langsdorf) [2122318] - PM: runtime: Redefine pm_runtime_release_supplier() (Mark Langsdorf) [2122318] - regmap: cache: Add extra parameter check in regcache_init (Mark Langsdorf) [2122318] - regmap-irq: Deprecate the not_fixed_stride flag (Mark Langsdorf) [2122318] - regmap-irq: Add get_irq_reg() callback (Mark Langsdorf) [2122318] - regmap-irq: Fix inverted handling of unmask registers (Mark Langsdorf) [2122318] - regmap-irq: Deprecate type registers and virtual registers (Mark Langsdorf) [2122318] - regmap-irq: Introduce config registers for irq types (Mark Langsdorf) [2122318] - regmap-irq: Refactor checks for status bulk read support (Mark Langsdorf) [2122318] - regmap-irq: Remove mask_writeonly and regmap_irq_update_bits() (Mark Langsdorf) [2122318] - regmap-irq: Remove inappropriate uses of regmap_irq_update_bits() (Mark Langsdorf) [2122318] - regmap-irq: Remove an unnecessary restriction on type_in_mask (Mark Langsdorf) [2122318] - regmap-irq: Cleanup sizeof(...) use in memory allocation (Mark Langsdorf) [2122318] - regmap-irq: Remove unused type_reg_stride field (Mark Langsdorf) [2122318] - regmap-irq: Convert bool bitfields to unsigned int (Mark Langsdorf) [2122318] - driver core: fw_devlink: Allow firmware to mark devices as best effort (Mark Langsdorf) [2122318] - driver core: fix potential deadlock in __driver_attach (Mark Langsdorf) [2122318] - devtmpfs: fix the dangling pointer of global devtmpfsd thread (Mark Langsdorf) [2122318] - regmap: Don't warn about cache only mode for devices with no cache (Mark Langsdorf) [2122318] - MAINTAINERS: Add new IOMMU development mailing list (Mark Langsdorf) [2122318] - regmap-irq: Fix offset/index mismatch in read_sub_irq_data() (Mark Langsdorf) [2122318] - regmap-irq: Fix a bug in regmap_irq_enable() for type_in_mask chips (Mark Langsdorf) [2122318] - regmap: Wire up regmap_config provided bulk write in missed functions (Mark Langsdorf) [2122318] - regmap: Make regmap_noinc_read() return -ENOTSUPP if map->read isn't set (Mark Langsdorf) [2122318] - regmap: Re-introduce bulk read support check in regmap_bulk_read() (Mark Langsdorf) [2122318] - init: Initialize noop_backing_dev_info early (Mark Langsdorf) [2122318] - regmap: provide regmap_field helpers for simple bit operations (Mark Langsdorf) [2122318] - driver core: Introduce device_find_any_child() helper (Mark Langsdorf) [2122318] - driver core: Add wait_for_init_devices_probe helper function (Mark Langsdorf) [2122318] - pinctrl: devicetree: Delete usage of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318] - regmap: cache: Fix syntax errors in comments (Mark Langsdorf) [2122318] - firmware_loader: enable XZ by default if compressed support is enabled (Mark Langsdorf) [2122318] - driver core: Fix wait_for_device_probe() & deferred_probe_timeout interaction (Mark Langsdorf) [2122318] - PM: domains: Trust domain-idle-states from DT to be correct by genpd (Mark Langsdorf) [2122318] - PM: domains: Measure power-on/off latencies in genpd based on a governor (Mark Langsdorf) [2122318] - PM: domains: Allocate governor data dynamically based on a genpd governor (Mark Langsdorf) [2122318] - PM: domains: Clean up some code in pm_genpd_init() and genpd_remove() (Mark Langsdorf) [2122318] - PM: domains: Fix initialization of genpd's next_wakeup (Mark Langsdorf) [2122318] - PM: domains: Fixup QoS latency measurements for IRQ safe devices in genpd (Mark Langsdorf) [2122318] - PM: domains: Measure suspend/resume latencies in genpd based on governor (Mark Langsdorf) [2122318] - PM: domains: Move the next_wakeup variable into the struct gpd_timing_data (Mark Langsdorf) [2122318] - PM: domains: Allocate gpd_timing_data dynamically based on governor (Mark Langsdorf) [2122318] - PM: domains: Skip another warning in irq_safe_dev_in_sleep_domain() (Mark Langsdorf) [2122318] - PM: domains: Rename irq_safe_dev_in_no_sleep_domain() in genpd (Mark Langsdorf) [2122318] - PM: domains: Don't check PM_QOS_FLAG_NO_POWER_OFF in genpd (Mark Langsdorf) [2122318] - PM: domains: Drop redundant code for genpd always-on governor (Mark Langsdorf) [2122318] - PM: domains: Add GENPD_FLAG_RPM_ALWAYS_ON for the always-on governor (Mark Langsdorf) [2122318] - PM: wakeup: expose pm_wakeup_pending to modules (Mark Langsdorf) [2122318] - driver core: fix deadlock in __device_attach (Mark Langsdorf) [2122318] - driver core: Extend deferred probe timeout on driver registration (Mark Langsdorf) [2122318] - driver: base: fix UAF when driver_attach failed (Mark Langsdorf) [2122318] - driver core: location: Add "back" as a possible output for panel (Mark Langsdorf) [2122318] - driver core: location: Free struct acpi_pld_info *pld (Mark Langsdorf) [2122318] - driver core: Add "*" wildcard support to driver_async_probe cmdline param (Mark Langsdorf) [2122318] - PM / devfreq: passive: Keep cpufreq_policy for possible cpus (Mark Langsdorf) [2122318] - PM / devfreq: passive: Reduce duplicate code when passive_devfreq case (Mark Langsdorf) [2122318] - PM / devfreq: Add cpu based scaling support to passive governor (Mark Langsdorf) [2122318] - PM / devfreq: Export devfreq_get_freq_range symbol within devfreq (Mark Langsdorf) [2122318] - iommu/arm-smmu: Force identity domains for legacy binding (Mark Langsdorf) [2122318] - regmap: Add missing map->bus check (Mark Langsdorf) [2122318] - driver core: location: Check for allocations failure (Mark Langsdorf) [2122318] - arch_topology: Trace the update thermal pressure (Mark Langsdorf) [2122318] - regmap: Add bulk read/write callbacks into regmap_config (Mark Langsdorf) [2122318] - drivers/base/memory: fix an unlikely reference counting issue in __add_memory_block() (Mark Langsdorf) [2122318] - driver core: Add sysfs support for physical location of a device (Mark Langsdorf) [2122318] - platform: finally disallow IRQ0 in platform_get_irq() and its ilk (Mark Langsdorf) [2122318] - drivers/base/node.c: fix compaction sysfs file leak (Mark Langsdorf) [2122318] - driver core: Prevent overriding async driver of a device before it probe (Mark Langsdorf) [2122318] - Documentation: dd: Use ReST lists for return values of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318] - regmap: cache: set max_register with reg_stride (Mark Langsdorf) [2122318] - firmware_loader: Check fw_state_is_done in loading_store (Mark Langsdorf) [2122318] - firmware_loader: Clear data and size in fw_free_paged_buf (Mark Langsdorf) [2122318] - driver: platform: Add helper for safer setting of driver_override (Mark Langsdorf) [2122318] - PM: domains: Move genpd's time-accounting to ktime_get_mono_fast_ns() (Mark Langsdorf) [2122318] - redhat/configs: Enable XZ and ZSTD compress firmware loading (Mark Langsdorf) [2122318] - firmware: Add the support for ZSTD-compressed firmware files (Mark Langsdorf) [2122318] - PM: runtime: Allow to call __pm_runtime_set_status() from atomic context (Mark Langsdorf) [2122318] - PM: runtime: Avoid device usage count underflows (Mark Langsdorf) [2122318] - PM: domains: Extend dev_pm_domain_detach() doc (Mark Langsdorf) [2122318] - regmap: Constify static regmap_bus structs (Mark Langsdorf) [2122318] Resolves: rhbz#2122318 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-21 15:16:36 +00:00
CONFIG_FW_UPLOAD=y
# CONFIG_FXAS21002C is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_FXLS8962AF_I2C is not set
# CONFIG_FXLS8962AF_SPI is not set
# CONFIG_FXOS8700_I2C is not set
# CONFIG_FXOS8700_SPI is not set
CONFIG_GACT_PROB=y
# CONFIG_GAMEPORT is not set
# CONFIG_GART_IOMMU is not set
# CONFIG_GCC_PLUGIN_LATENT_ENTROPY is not set
# CONFIG_GCC_PLUGIN_RANDSTRUCT is not set
kernel-5.14.0-291.el9 * Thu Mar 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-291.el9] - intel_idle: add Emerald Rapids Xeon support (David Arcari) [2156825] - redhat/configs: Disable CONFIG_GCC_PLUGINS (Prarit Bhargava) [2177294] - redhat: define Maple Tree configs (Nico Pache) [2166668] - maple_tree: reduce stack usage with gcc-9 and earlier (Nico Pache) [2166668] - maple_tree: fix mas_prev() and mas_find() state handling (Nico Pache) [2166668] - maple_tree: fix handle of invalidated state in mas_wr_store_setup() (Nico Pache) [2166668] - test_maple_tree: test modifications while iterating (Nico Pache) [2166668] - maple_tree: reduce user error potential (Nico Pache) [2166668] - maple_tree: fix potential rcu issue (Nico Pache) [2166668] - maple_tree: add mas_init() function (Nico Pache) [2166668] - maple_tree: fix comment of mte_destroy_walk (Nico Pache) [2166668] - maple_tree: remove GFP_ZERO from kmem_cache_alloc() and kmem_cache_alloc_bulk() (Nico Pache) [2166668] - maple_tree: refine mab_calc_split function (Nico Pache) [2166668] - maple_tree: refine ma_state init from mas_start() (Nico Pache) [2166668] - maple_tree: remove the redundant code (Nico Pache) [2166668] - maple_tree: use macro MA_ROOT_PARENT instead of number (Nico Pache) [2166668] - maple_tree: use mt_node_max() instead of direct operations mt_max[] (Nico Pache) [2166668] - maple_tree: remove extra return statement (Nico Pache) [2166668] - maple_tree: remove extra space and blank line (Nico Pache) [2166668] - maple_tree: should get pivots boundary by type (Nico Pache) [2166668] - maple_tree: fix mas_empty_area_rev() lower bound validation (Nico Pache) [2166668] - maple_tree: allow TEST_MAPLE_TREE only when DEBUG_KERNEL is set (Nico Pache) [2166668] - test_maple_tree: add test for mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_find_rev() comment (Nico Pache) [2166668] - maple_tree: mte_set_full() and mte_clear_full() clang-analyzer clean up (Nico Pache) [2166668] - maple_tree: don't set a new maximum on the node when not reusing nodes (Nico Pache) [2166668] - maple_tree: fix depth tracking in maple_state (Nico Pache) [2166668] - maple_tree: reorganize testing to restore module testing (Nico Pache) [2166668] - maple_tree: mas_anode_descend() clang-analyzer cleanup (Nico Pache) [2166668] - maple_tree: remove pointer to pointer use in mas_alloc_nodes() (Nico Pache) [2166668] - lib: maple_tree: remove unneeded initialization in mtree_range_walk() (Nico Pache) [2166668] - lib/test_maple_tree: add testing for maple tree (Nico Pache) [2166668] - radix tree test suite: add lockdep_is_held to header (Nico Pache) [2166668] - radix tree test suite: add support for slab bulk APIs (Nico Pache) [2166668] - radix tree test suite: add allocation counts and size to kmem_cache (Nico Pache) [2166668] - radix tree test suite: add kmem_cache_set_non_kernel() (Nico Pache) [2166668] - radix tree test suite: add pr_err define (Nico Pache) [2166668] - Maple Tree: add new data structure (Nico Pache) [2166668] - mips: rename mt_init to mips_mt_init (Nico Pache) [2166668] - redhat/configs: Revert "enable DAMON configs" (Vladis Dronov) - powercap: intel_rapl: add support for Emerald Rapids (David Arcari) [2156805] - gfs2: Improve gfs2_make_fs_rw error handling (Andreas Gruenbacher) [2109548] - Revert "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2109548] - gfs2: Evict inodes cooperatively (Andreas Gruenbacher) [2109548] - gfs2: Flush delete work before shrinking inode cache (Andreas Gruenbacher) [2109548] - gfs2: Cease delete work during unmount (Bob Peterson) [2109548] - gfs2: Add SDF_DEACTIVATING super block flag (Bob Peterson) [2109548] - gfs2: check gl_object in rgrp glops (Bob Peterson) [2109548] - gfs2: Split the two kinds of glock "delete" work (Andreas Gruenbacher) [2109548] - gfs2: Move delete workqueue into super block (Andreas Gruenbacher) [2109548] - gfs2: Get rid of GLF_PENDING_DELETE flag (Andreas Gruenbacher) [2109548] - gfs2: Make glock lru list scanning safer (Andreas Gruenbacher) [2109548] - gfs2: Clean up gfs2_scan_glock_lru (Andreas Gruenbacher) [2109548] - gfs2: Improve gfs2_upgrade_iopen_glock comment (Andreas Gruenbacher) [2109548] - gfs2: gl_object races fix (Andreas Gruenbacher) [2109548] - iomap/gfs2: Unlock and put folio in page_done handler (Andreas Gruenbacher) [2109548] - iomap: Add __iomap_put_folio helper (Andreas Gruenbacher) [2109548] - Revert "gfs2: stop using generic_writepages in gfs2_ail1_start_one" (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (2) (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_try_evict cleanup (Andreas Gruenbacher) [2109548] - gfs2: Partially revert gfs2_inode_lookup change (Andreas Gruenbacher) [2109548] - gfs2: Add gfs2_inode_lookup comment (Andreas Gruenbacher) [2109548] - gfs2: Uninline and improve glock_{set,clear}_object (Andreas Gruenbacher) [2109548] - gfs2: Simply dequeue iopen glock in gfs2_evict_inode (Andreas Gruenbacher) [2109548] - gfs2: Clean up after gfs2_create_inode rework (Andreas Gruenbacher) [2109548] - gfs2: Avoid dequeuing GL_ASYNC glock holders twice (Andreas Gruenbacher) [2109548] - gfs2: Make gfs2_glock_hold return its glock argument (Andreas Gruenbacher) [2109548] - gfs2: Always check inode size of inline inodes (Andreas Gruenbacher) [2109548] - gfs2: Cosmetic gfs2_dinode_{in,out} cleanup (Andreas Gruenbacher) [2109548] - gfs2: Handle -EBUSY result of insert_inode_locked4 (Andreas Gruenbacher) [2109548] - gfs2: Fix and clean up create / evict interaction (Andreas Gruenbacher) [2109548] - gfs2: Clean up initialization of "ip" in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Get rid of ghs[] in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Add extra error check in alloc_dinode (Andreas Gruenbacher) [2109548] - gfs2: Check sb_bsize_shift after reading superblock (Andrew Price) [2109548] - gfs2: Switch from strlcpy to strscpy (Andreas Gruenbacher) [2109548] - gfs2: Clear flags when withdraw prevents xmote (Bob Peterson) [2109548] - gfs2: Dequeue waiters when withdrawn (Bob Peterson) [2109548] - gfs2: Prevent double iput for journal on error (Bob Peterson) [2109548] - gfs2: Convert gfs2_jhead_process_page() to use a folio (Andreas Gruenbacher) [2109548] - gfs2: remove ->writepage (Andreas Gruenbacher) [2109548] - gfs2: stop using generic_writepages in gfs2_ail1_start_one (Andreas Gruenbacher) [2109548] - gfs2: List traversal in do_promote is safe (Andreas Gruenbacher) [2109548] - gfs2: do_promote glock holder stealing fix (Bob Peterson) [2109548] - gfs2: Use better variable name (Andreas Gruenbacher) [2109548] - gfs2: Make go_instantiate take a glock (Andreas Gruenbacher) [2109548] - gfs2: Add new go_held glock operation (Andreas Gruenbacher) [2109548] - gfs2: Revert 'Fix "truncate in progress" hang' (Andreas Gruenbacher) [2109548] - gfs2: Instantiate glocks ouside of glock state engine (Andreas Gruenbacher) [2109548] - gfs2: Fix up gfs2_glock_async_wait (Andreas Gruenbacher) [2109548] - gfs2: Mark the remaining process-independent glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Mark flock glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Add GL_NOPID flag for process-independent glock holders (Andreas Gruenbacher) [2109548] - gfs2: Add flocks to glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Add glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_glock_nq_m cleanup (Andreas Gruenbacher) [2109548] - gfs2: Fix spelling mistake in comment (Andreas Gruenbacher) [2109548] - gfs2: Rewrap overlong comment in do_promote (Bob Peterson) [2109548] - gfs2: Remove redundant NULL check before kfree (Andreas Gruenbacher) [2109548] - gfs2: Use container_of() for gfs2_glock(aspace) (Andreas Gruenbacher) [2109548] - gfs2: dump inode object for iopen glocks (Bob Peterson) [2109548] Resolves: rhbz#2156805, rhbz#2156825, rhbz#2166668, rhbz#2177294 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-23 10:30:58 +00:00
# CONFIG_GCC_PLUGINS is not set
# CONFIG_GCC_PLUGIN_STACKLEAK is not set
# CONFIG_GCC_PLUGIN_STRUCTLEAK_BYREF_ALL is not set
# CONFIG_GCC_PLUGIN_STRUCTLEAK_BYREF is not set
# CONFIG_GCC_PLUGIN_STRUCTLEAK_USER is not set
# CONFIG_GCOV_KERNEL is not set
# CONFIG_GDB_SCRIPTS is not set
# CONFIG_GENERIC_ADC_BATTERY is not set
# CONFIG_GENERIC_ADC_THERMAL is not set
# CONFIG_GENERIC_CPU is not set
CONFIG_GENERIC_IRQ_DEBUGFS=y
# CONFIG_GENERIC_PHY is not set
CONFIG_GENEVE=m
# CONFIG_GEN_RTC is not set
CONFIG_GENWQE=m
CONFIG_GENWQE_PLATFORM_ERROR_RECOVERY=1
CONFIG_GFS2_FS_LOCKING_DLM=y
CONFIG_GFS2_FS=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_GIGABYTE_WMI is not set
# CONFIG_GLOB_SELFTEST is not set
CONFIG_GLOB=y
kernel-5.14.0-215.el9 * Thu Dec 15 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-215.el9] - scsi: storvsc: Fix handling of srb_status and capacity change events (Mohammed Gamal) [2149711] - redhat/Makefile: Drop message about BUILDID deprecation (Veronika Kabatova) - pinctrl: amd: Don't save/restore interrupt status and wake status bits (David Arcari) [2130060] - clocksource/drivers/hyperv: add data structure for reference TSC MSR (Mohammed Gamal) [2139455] - x86/hyperv: Restore VP assist page after cpu offlining/onlining (Mohammed Gamal) [2139455] - x86/hyperv: fix invalid writes to MSRs during root partition kexec (Mohammed Gamal) [2139455] - x86/hyperv: Remove BUG_ON() for kmap_local_page() (Mohammed Gamal) [2139455] - x86/hyperv: Disable hardlockup detector by default in Hyper-V guests (Mohammed Gamal) [2139455] - x86/hyperv: fix for unwanted manipulation of sched_clock when TSC marked unstable (Mohammed Gamal) [2139455] - x86/hyperv: Update 'struct hv_enlightened_vmcs' definition (Mohammed Gamal) [2139455] - x86/hyperv: Fix 'struct hv_enlightened_vmcs' definition (Mohammed Gamal) [2139455] - x86/hyperv: Replace kmap() with kmap_local_page() (Mohammed Gamal) [2139455] - hyperv: simplify and rename generate_guest_id (Mohammed Gamal) [2139455] - PCI: hv: Only reuse existing IRTE allocation for Multi-MSI (Mohammed Gamal) [2139460] - PCI: hv: Fix the definition of vector in hv_compose_msi_msg() (Mohammed Gamal) [2139460] - PCI: hv: Take a const cpumask in hv_compose_msi_req_get_cpu() (Mohammed Gamal) [2139460] - PCI: hv: Fix synchronization between channel callback and hv_pci_bus_exit() (Mohammed Gamal) [2139460] - PCI: hv: Add validation for untrusted Hyper-V values (Mohammed Gamal) [2139460] - PCI: hv: Fix interrupt mapping for multi-MSI (Mohammed Gamal) [2139460] - PCI: hv: Reuse existing IRTE allocation in compose_msi_msg() (Mohammed Gamal) [2139460] - PCI: hv: Fix hv_arch_irq_unmask() for multi-MSI (Mohammed Gamal) [2139460] - PCI: hv: Fix synchronization between channel callback and hv_compose_msi_msg() (Mohammed Gamal) [2139460] - PCI: hv: Use vmbus_requestor to generate transaction IDs for VMbus hardening (Mohammed Gamal) [2139460] - PCI: hv: Fix multi-MSI to allow more than one MSI vector (Mohammed Gamal) [2139460] - Drivers: hv: vmbus: fix possible memory leak in vmbus_device_register() (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: fix double free in the error path of vmbus_add_channel_work() (Mohammed Gamal) [2139472] - Drivers: hv: fix repeated words in comments (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: Split memcpy of flex-array (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: Don't wait for the ACPI device upon initialization (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: Use PCI_VENDOR_ID_MICROSOFT for better discoverability (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: Fix kernel-doc (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: Optimize vmbus_on_event (Mohammed Gamal) [2139472] - Drivers: hv: Never allocate anything besides framebuffer from framebuffer memory region (Mohammed Gamal) [2139472] - Drivers: hv: Always reserve framebuffer region for Gen1 VMs (Mohammed Gamal) [2139472] - Drivers: hv: remove duplicate word in a comment (Mohammed Gamal) [2139472] - Drivers: hv: Create debugfs file with hyper-v balloon usage information (Mohammed Gamal) [2139472] - Drivers: hv: vm_bus: Handle vmbus rescind calls after vmbus is suspended (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: Release cpu lock in error case (Mohammed Gamal) [2139472] - Drivers: hv: Fix syntax errors in comments (Mohammed Gamal) [2139472] - Drivers: hv: vmbus: Don't assign VMbus channel interrupts to isolated CPUs (Mohammed Gamal) [2139472] - tcp: Fix build break when CONFIG_IPV6=n (Jamie Bainbridge) [2143850] - tcp: annotate data-race around queue->synflood_warned (Jamie Bainbridge) [2143850] - tcp: Add listening address to SYN flood message (Jamie Bainbridge) [2143850] - tcp: Fix data-races around sysctl_tcp_syncookies. (Jamie Bainbridge) [2143850] - redhat/configs: enable CONFIG_GNSS for RHEL (Michal Schmidt) [2136119] - gnss: replace ida_simple API (Michal Schmidt) [2136119] Resolves: rhbz#2149711, rhbz#2130060, rhbz#2139455, rhbz#2139460, rhbz#2139472, rhbz#2143850, rhbz#2136119 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-15 19:55:52 +00:00
CONFIG_GNSS=m
# CONFIG_GNSS_MTK_SERIAL is not set
# CONFIG_GNSS_SIRF_SERIAL is not set
# CONFIG_GNSS_UBX_SERIAL is not set
# CONFIG_GNSS_USB is not set
# CONFIG_GOLDFISH is not set
# CONFIG_GOOGLE_FIRMWARE is not set
# CONFIG_GP2AP002 is not set
# CONFIG_GP2AP020A00F is not set
# CONFIG_GPD_POCKET_FAN is not set
# CONFIG_GPIO_74X164 is not set
# CONFIG_GPIO_74XX_MMIO is not set
# CONFIG_GPIO_ADNP is not set
# CONFIG_GPIO_ADP5588 is not set
# CONFIG_GPIO_AGGREGATOR is not set
# CONFIG_GPIO_ALTERA is not set
# CONFIG_GPIO_AMD8111 is not set
# CONFIG_GPIO_AMD_FCH is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_GPIO_AMDPT is not set
# CONFIG_GPIO_BCM_XGS_IPROC is not set
# CONFIG_GPIO_BT8XX is not set
# CONFIG_GPIO_CADENCE is not set
kernel-5.14.0-247.el9 * Fri Jan 27 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-247.el9] - powerpc/hv-gpci: Fix hv_gpci event list (Mamatha Inamdar) [2162652] - powerpc: declare unmodified attribute_group usages const (Mamatha Inamdar) [2162652] - powerpc/perf: Fix branch_filter support for multiple filters (Steve Best) [2162235] - s390/kexec: fix ipl report address for kdump (Tobias Huschle) [2161327] - RHEL: ALSA: enable AMD Pink Sardine DMIC driver (Jaroslav Kysela) [2097071] - ASoC: amd: ps: Move acp63_dev_data strcture from PCI driver (Jaroslav Kysela) [2097071] - ASoC: amd: ps: update macros with ps platform naming convention (Jaroslav Kysela) [2097071] - ASoC: amd: fix ACP version typo mistake (Jaroslav Kysela) [2097071] - ASoC: amd: fix spelling mistake: "i.e" -> "i.e." (Jaroslav Kysela) [2097071] - ASoC: amd: enable Pink sardine platform machine driver build. (Jaroslav Kysela) [2097071] - ASoC: amd: add Pink Sardine machine driver using dmic (Jaroslav Kysela) [2097071] - ASoC: amd: create platform device for acp6.2 machine driver (Jaroslav Kysela) [2097071] - ASoC: amd: enable Pink Sardine acp6.2 drivers build (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pdm driver pm ops (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pci driver pm ops (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pdm driver dma ops (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 irq handler (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pdm platform driver (Jaroslav Kysela) [2097071] - ASoC: amd: add platform devices for acp6.2 pdm driver and dmic driver (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 init/de-init functions (Jaroslav Kysela) [2097071] - ASoC: amd: add Pink Sardine ACP PCI driver (Jaroslav Kysela) [2097071] - ASoC: amd: add Pink Sardine platform ACP IP register header (Jaroslav Kysela) [2097071] - arm64: defconfig: Drop ARM_CPUIDLE(generic idle driver) config (Mark Langsdorf) [2122313] - cpuidle: Add cpu_idle_miss trace event (Mark Langsdorf) [2122313] - cpuidle: cpuidle-arm: remove arm64 support (Mark Langsdorf) [2122313] - cpuidle: haltpoll: Add trace points for guest_halt_poll_ns grow/shrink (Mark Langsdorf) [2122313] - cpuidle: PSCI: Improve support for suspend-to-RAM for PSCI OSI mode (Mark Langsdorf) [2122313] Resolves: rhbz#2162652, rhbz#2162235, rhbz#2161327, rhbz#2150903, rhbz#2097071, rhbz#2122313 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-27 16:14:22 +00:00
CONFIG_GPIO_CDEV_V1=y
# CONFIG_GPIO_DWAPB is not set
# CONFIG_GPIO_EXAR is not set
# CONFIG_GPIO_F7188X is not set
# CONFIG_GPIO_FTGPIO010 is not set
# CONFIG_GPIO_GENERIC_PLATFORM is not set
# CONFIG_GPIO_GRGPIO is not set
# CONFIG_GPIO_GW_PLD is not set
# CONFIG_GPIO_HLWD is not set
# CONFIG_GPIO_IT87 is not set
CONFIG_GPIOLIB_FASTPATH_LIMIT=512
CONFIG_GPIOLIB=y
# CONFIG_GPIO_LOGICVC is not set
# CONFIG_GPIO_MAX3191X is not set
# CONFIG_GPIO_MAX7300 is not set
# CONFIG_GPIO_MAX7301 is not set
# CONFIG_GPIO_MAX732X is not set
# CONFIG_GPIO_MB86S7X is not set
# CONFIG_GPIO_MC33880 is not set
# CONFIG_GPIO_ML_IOH is not set
CONFIG_GPIO_MLXBF2=m
# CONFIG_GPIO_MLXBF is not set
# CONFIG_GPIO_MOCKUP is not set
# CONFIG_GPIO_PCA953X_IRQ is not set
CONFIG_GPIO_PCA953X=m
# CONFIG_GPIO_PCA9570 is not set
CONFIG_GPIO_PCF857X=m
# CONFIG_GPIO_PCIE_IDIO_24 is not set
# CONFIG_GPIO_PCI_IDIO_16 is not set
# CONFIG_GPIO_PISOSR is not set
# CONFIG_GPIO_RDC321X is not set
# CONFIG_GPIO_SAMA5D2_PIOBU is not set
# CONFIG_GPIO_SCH311X is not set
# CONFIG_GPIO_SCH is not set
# CONFIG_GPIO_SIFIVE is not set
kernel-5.14.0-163.el9 * Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9] - x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362] - rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827] - s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827] - s390: make command line configurable (Mete Durlu) [2060827] - s390: support command lines longer than 896 bytes (Mete Durlu) [2060827] - s390/kexec_file: move kernel image size check (Mete Durlu) [2060827] - s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827] - rhel: set previously unused GPIO config items (Al Stone) [2071835] - gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835] - gpio: sim: check the label length when setting up device properties (Al Stone) [2071835] - gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835] - gpio: sim: fix a typo (Al Stone) [2071835] - gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835] - gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835] - gpio: sim: fix the chip_name configfs item (Al Stone) [2071835] - gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835] - gpio: virtio: Add IRQ support (Al Stone) [2071835] - gpio: virtio: remove timeout (Al Stone) [2071835] - gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835] - gpio: mxs: Fix header comment (Al Stone) [2071835] - gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835] - gpio: grgpio: Fix device removing (Al Stone) [2071835] - gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835] - gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835] - gpio: sch: make irq_chip immutable (Al Stone) [2071835] - gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835] - gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpio: tegra186: Add HTE support (Al Stone) [2071835] - gpiolib: Add HTE support (Al Stone) [2071835] - hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835] - hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835] - hte: Add Tegra HTE test driver (Al Stone) [2071835] - hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835] - gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835] - gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835] - gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835] - gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835] - gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835] - gpio: pl061: Make the irqchip immutable (Al Stone) [2071835] - gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835] - gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835] - gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835] - gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835] - gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835] - gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835] - gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835] - gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835] - gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835] - gpiolib: Move error message out of a spinlock (Al Stone) [2071835] - gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835] - gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835] - gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835] - gpiolib: acpi: use correct format characters (Al Stone) [2071835] - gpiolib: Get rid of redundant 'else' (Al Stone) [2071835] - gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835] - gpio: Properly document parent data union (Al Stone) [2071835] - gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835] - gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835] - gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835] - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835] - gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835] - gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835] - gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: make struct comments into real kernel docs (Al Stone) [2071835] - gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835] - gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835] - gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835] - gpiolib: Never return internal error codes to user space (Al Stone) [2071835] - gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835] - gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835] - gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835] - gpio: Remove unused local OF node pointers (Al Stone) [2071835] - gpio: Propagate firmware node from a parent device (Al Stone) [2071835] - gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835] - gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835] - gpiolib: allow line names from device props to override driver names (Al Stone) [2071835] - gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835] - gpio: sim: new testing module (Al Stone) [2071835] - gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835] - gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835] - gpio: sch: fix typo in a comment (Al Stone) [2071835] - gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835] - gpiolib: improve coding style for local variables (Al Stone) [2071835] - gpio: aggregator: Add interrupt support (Al Stone) [2071835] - gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835] - gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835] - gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835] - gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835] - gpio: bd70528 Drop BD70528 support (Al Stone) [2071835] - gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835] - gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835] - gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835] - gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835] - gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835] - gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835] - gpio: virtio: Fix sparse warnings (Al Stone) [2071835] - gpio: clean up Kconfig file (Al Stone) [2071835] - gpio: Allow per-parent interrupt data (Al Stone) [2071835] - gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835] - gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835] - gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835] - gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835] - gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835] - gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835] - gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835] - gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835] - gpio: Add virtio-gpio driver (Al Stone) [2071835] - gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835] - gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835] - gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835] - gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835] - gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835] - gpio: tegra186: Add ACPI support (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835] - dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835] - gpiolib: of: constify few local device_node variables (Al Stone) [2071835] - gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835] - devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713] - netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713] - netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713] - devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713] - bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713] - devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713] - devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713] - eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713] - eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713] - eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713] - devlink: expose instance locking and add locked port registering (Petr Oros) [2101713] - mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713] - vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809] - vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809] - intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036] - intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036] - rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830] - soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830] - fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830] - rhel: Enable EFI DXE memory attributes (Al Stone) [2071830] - rhel: Enable EFI COCO secret (Al Stone) [2071830] - firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830] - firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830] - efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830] - powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830] - firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830] - firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830] - video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830] - efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830] - efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830] - edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830] - firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830] - printk: stop including cache.h from printk.h (Al Stone) [2071830] - efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830] - efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830] - efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830] - efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830] - efi: libstub: declare DXE services table (Al Stone) [2071830] - firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830] - firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830] - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830] - firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830] - firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830] - firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830] - firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830] - firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830] - firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830] - firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830] - firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830] - firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830] - firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830] - firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830] - firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830] - firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830] - firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830] - efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830] - efi: Save location of EFI confidential computing area (Al Stone) [2071830] - efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830] - efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830] - EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830] - efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830] - firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830] - firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830] - firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830] - firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830] - rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830] - firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830] - pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830] - efi/mokvar: move up init order (Al Stone) [2071830] - sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830] - sysfb: Make config option dependencies explicit (Al Stone) [2071830] - efi: fix return value of __setup handlers (Al Stone) [2071830] - efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830] - firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830] - firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830] - firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830] - firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830] - firmware: imx: add get resource owner api (Al Stone) [2071830] - firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830] - firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830] - drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830] - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830] - efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830] - virtio: wrap config->reset calls (Al Stone) [2071830] - efi: use default_groups in kobj_type (Al Stone) [2071830] - efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830] - efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830] - drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830] - firmware: edd: remove empty default_attrs array (Al Stone) [2071830] - firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830] - qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830] - firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830] - firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830] - firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830] - firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830] - firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830] - firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830] - firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830] - firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830] - include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830] - firmware: arm_scmi: Refactor message response path (Al Stone) [2071830] - firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830] - firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830] - firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830] - firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830] - firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830] - efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830] - efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830] - firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830] - firmware: arm_scmi: Add optee transport (Al Stone) [2071830] - firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830] - firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830] - firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830] - firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830] - exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830] - firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830] - firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830] - firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830] - firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830] - firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830] - firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830] - efi/cper: use stack buffer for error record decoding (Al Stone) [2071830] - efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830] - firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830] - firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830] - firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830] - firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830] - firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830] - efi: cper: check section header more appropriately (Al Stone) [2071830] - efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830] - rhel: Enable Simple FrameBuffer support (Al Stone) [2071830] - rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830] - firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830] - firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830] - firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830] - firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830] - firmware: arm_scmi: Add virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830] - firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830] - firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830] - firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830] - firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830] - firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830] - firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830] - firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830] - firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830] - firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830] - firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830] - firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830] - firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830] - efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830] - drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830] - drivers: firmware: Add PDI load API support (Al Stone) [2071830] - drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830] - drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830] - bus: Make remove callback return void (Al Stone) [2071830] - s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830] - PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830] - s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830] - s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830] - redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata) - redhat: add missing CVE reference to latest changelog entries (Patrick Talbert) Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 10:37:47 +00:00
# CONFIG_GPIO_SIM is not set
# CONFIG_GPIO_SYSCON is not set
# CONFIG_GPIO_THUNDERX is not set
# CONFIG_GPIO_TPIC2810 is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_GPIO_VIPERBOARD is not set
kernel-5.14.0-163.el9 * Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9] - x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362] - rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827] - s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827] - s390: make command line configurable (Mete Durlu) [2060827] - s390: support command lines longer than 896 bytes (Mete Durlu) [2060827] - s390/kexec_file: move kernel image size check (Mete Durlu) [2060827] - s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827] - rhel: set previously unused GPIO config items (Al Stone) [2071835] - gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835] - gpio: sim: check the label length when setting up device properties (Al Stone) [2071835] - gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835] - gpio: sim: fix a typo (Al Stone) [2071835] - gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835] - gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835] - gpio: sim: fix the chip_name configfs item (Al Stone) [2071835] - gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835] - gpio: virtio: Add IRQ support (Al Stone) [2071835] - gpio: virtio: remove timeout (Al Stone) [2071835] - gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835] - gpio: mxs: Fix header comment (Al Stone) [2071835] - gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835] - gpio: grgpio: Fix device removing (Al Stone) [2071835] - gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835] - gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835] - gpio: sch: make irq_chip immutable (Al Stone) [2071835] - gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835] - gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpio: tegra186: Add HTE support (Al Stone) [2071835] - gpiolib: Add HTE support (Al Stone) [2071835] - hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835] - hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835] - hte: Add Tegra HTE test driver (Al Stone) [2071835] - hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835] - gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835] - gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835] - gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835] - gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835] - gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835] - gpio: pl061: Make the irqchip immutable (Al Stone) [2071835] - gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835] - gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835] - gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835] - gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835] - gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835] - gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835] - gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835] - gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835] - gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835] - gpiolib: Move error message out of a spinlock (Al Stone) [2071835] - gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835] - gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835] - gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835] - gpiolib: acpi: use correct format characters (Al Stone) [2071835] - gpiolib: Get rid of redundant 'else' (Al Stone) [2071835] - gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835] - gpio: Properly document parent data union (Al Stone) [2071835] - gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835] - gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835] - gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835] - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835] - gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835] - gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835] - gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: make struct comments into real kernel docs (Al Stone) [2071835] - gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835] - gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835] - gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835] - gpiolib: Never return internal error codes to user space (Al Stone) [2071835] - gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835] - gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835] - gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835] - gpio: Remove unused local OF node pointers (Al Stone) [2071835] - gpio: Propagate firmware node from a parent device (Al Stone) [2071835] - gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835] - gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835] - gpiolib: allow line names from device props to override driver names (Al Stone) [2071835] - gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835] - gpio: sim: new testing module (Al Stone) [2071835] - gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835] - gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835] - gpio: sch: fix typo in a comment (Al Stone) [2071835] - gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835] - gpiolib: improve coding style for local variables (Al Stone) [2071835] - gpio: aggregator: Add interrupt support (Al Stone) [2071835] - gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835] - gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835] - gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835] - gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835] - gpio: bd70528 Drop BD70528 support (Al Stone) [2071835] - gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835] - gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835] - gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835] - gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835] - gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835] - gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835] - gpio: virtio: Fix sparse warnings (Al Stone) [2071835] - gpio: clean up Kconfig file (Al Stone) [2071835] - gpio: Allow per-parent interrupt data (Al Stone) [2071835] - gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835] - gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835] - gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835] - gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835] - gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835] - gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835] - gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835] - gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835] - gpio: Add virtio-gpio driver (Al Stone) [2071835] - gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835] - gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835] - gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835] - gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835] - gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835] - gpio: tegra186: Add ACPI support (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835] - dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835] - gpiolib: of: constify few local device_node variables (Al Stone) [2071835] - gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835] - devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713] - netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713] - netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713] - devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713] - bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713] - devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713] - devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713] - eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713] - eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713] - eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713] - devlink: expose instance locking and add locked port registering (Petr Oros) [2101713] - mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713] - vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809] - vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809] - intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036] - intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036] - rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830] - soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830] - fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830] - rhel: Enable EFI DXE memory attributes (Al Stone) [2071830] - rhel: Enable EFI COCO secret (Al Stone) [2071830] - firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830] - firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830] - efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830] - powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830] - firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830] - firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830] - video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830] - efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830] - efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830] - edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830] - firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830] - printk: stop including cache.h from printk.h (Al Stone) [2071830] - efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830] - efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830] - efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830] - efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830] - efi: libstub: declare DXE services table (Al Stone) [2071830] - firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830] - firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830] - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830] - firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830] - firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830] - firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830] - firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830] - firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830] - firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830] - firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830] - firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830] - firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830] - firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830] - firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830] - firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830] - firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830] - firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830] - efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830] - efi: Save location of EFI confidential computing area (Al Stone) [2071830] - efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830] - efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830] - EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830] - efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830] - firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830] - firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830] - firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830] - firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830] - rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830] - firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830] - pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830] - efi/mokvar: move up init order (Al Stone) [2071830] - sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830] - sysfb: Make config option dependencies explicit (Al Stone) [2071830] - efi: fix return value of __setup handlers (Al Stone) [2071830] - efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830] - firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830] - firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830] - firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830] - firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830] - firmware: imx: add get resource owner api (Al Stone) [2071830] - firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830] - firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830] - drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830] - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830] - efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830] - virtio: wrap config->reset calls (Al Stone) [2071830] - efi: use default_groups in kobj_type (Al Stone) [2071830] - efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830] - efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830] - drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830] - firmware: edd: remove empty default_attrs array (Al Stone) [2071830] - firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830] - qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830] - firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830] - firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830] - firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830] - firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830] - firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830] - firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830] - firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830] - firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830] - include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830] - firmware: arm_scmi: Refactor message response path (Al Stone) [2071830] - firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830] - firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830] - firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830] - firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830] - firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830] - efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830] - efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830] - firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830] - firmware: arm_scmi: Add optee transport (Al Stone) [2071830] - firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830] - firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830] - firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830] - firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830] - exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830] - firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830] - firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830] - firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830] - firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830] - firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830] - firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830] - efi/cper: use stack buffer for error record decoding (Al Stone) [2071830] - efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830] - firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830] - firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830] - firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830] - firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830] - firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830] - efi: cper: check section header more appropriately (Al Stone) [2071830] - efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830] - rhel: Enable Simple FrameBuffer support (Al Stone) [2071830] - rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830] - firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830] - firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830] - firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830] - firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830] - firmware: arm_scmi: Add virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830] - firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830] - firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830] - firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830] - firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830] - firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830] - firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830] - firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830] - firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830] - firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830] - firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830] - firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830] - firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830] - efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830] - drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830] - drivers: firmware: Add PDI load API support (Al Stone) [2071830] - drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830] - drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830] - bus: Make remove callback return void (Al Stone) [2071830] - s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830] - PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830] - s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830] - s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830] - redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata) - redhat: add missing CVE reference to latest changelog entries (Patrick Talbert) Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 10:37:47 +00:00
# CONFIG_GPIO_VIRTIO is not set
# CONFIG_GPIO_VX855 is not set
# CONFIG_GPIO_WATCHDOG is not set
# CONFIG_GPIO_WINBOND is not set
# CONFIG_GPIO_WS16C48 is not set
# CONFIG_GPIO_XILINX is not set
# CONFIG_GPIO_XRA1403 is not set
# CONFIG_GREENASIA_FF is not set
# CONFIG_GREYBUS is not set
# CONFIG_GTP is not set
kernel-5.14.0-97.el9 * Mon May 23 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-97.el9] - tcp: drop the hash_32() part from the index calculation (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: increase source port perturb table to 2^16 (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: dynamically allocate the perturb table used by source ports (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: add small random increments to the source port (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: resalt the secret every 10 seconds (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: use different parts of the port_offset for index and offset (Guillaume Nault) [2064868] {CVE-2022-1012} - secure_seq: use the 64 bits of the siphash for port offset calculation (Guillaume Nault) [2064868] {CVE-2022-1012} - esp: limit skb_page_frag_refill use to a single page (Sabrina Dubroca) [2082951] {CVE-2022-27666} - esp: Fix possible buffer overflow in ESP transformation (Sabrina Dubroca) [2082951] {CVE-2022-27666} - cifs: truncate the inode and mapping when we simulate fcollapse (Ronnie Sahlberg) [1997367] - bpf: Fix renaming task_getsecid_subj->current_getsecid_subj. (Ondrej Mosnacek) [2083580] - selinux: use correct type for context length (Ondrej Mosnacek) [2083580] - selinux: drop return statement at end of void functions (Ondrej Mosnacek) [2083580] - selinux: parse contexts for mount options early (Ondrej Mosnacek) [2083580] - selinux: various sparse fixes (Ondrej Mosnacek) [2083580] - selinux: try to use preparsed sid before calling parse_sid() (Ondrej Mosnacek) [2083580] - selinux: Fix selinux_sb_mnt_opts_compat() (Ondrej Mosnacek) [2083580] - LSM: general protection fault in legacy_parse_param (Ondrej Mosnacek) [2083580] - selinux: fix a type cast problem in cred_init_security() (Ondrej Mosnacek) [2083580] - selinux: drop unused macro (Ondrej Mosnacek) [2083580] - selinux: simplify cred_init_security (Ondrej Mosnacek) [2083580] - selinux: do not discard const qualifier in cast (Ondrej Mosnacek) [2083580] - selinux: drop unused parameter of avtab_insert_node (Ondrej Mosnacek) [2083580] - selinux: drop cast to same type (Ondrej Mosnacek) [2083580] - selinux: enclose macro arguments in parenthesis (Ondrej Mosnacek) [2083580] - selinux: declare name parameter of hash_eval const (Ondrej Mosnacek) [2083580] - selinux: declare path parameters of _genfs_sid const (Ondrej Mosnacek) [2083580] - selinux: check return value of sel_make_avc_files (Ondrej Mosnacek) [2083580] - selinux: access superblock_security_struct in LSM blob way (Ondrej Mosnacek) [2083580] - selinux: fix misuse of mutex_is_locked() (Ondrej Mosnacek) [2050966 2083580] - selinux: minor tweaks to selinux_add_opt() (Ondrej Mosnacek) [2083580] - selinux: fix potential memleak in selinux_add_opt() (Ondrej Mosnacek) [2083580] - security,selinux: remove security_add_mnt_opt() (Ondrej Mosnacek) [2083580] - selinux: Use struct_size() helper in kmalloc() (Ondrej Mosnacek) [2083580] - lsm: security_task_getsecid_subj() -> security_current_getsecid_subj() (Ondrej Mosnacek) [2083580] - selinux: initialize proto variable in selinux_ip_postroute_compat() (Ondrej Mosnacek) [2083580] - selinux: fix sleeping function called from invalid context (Ondrej Mosnacek) [2083580] - selinux: fix a sock regression in selinux_ip_postroute_compat() (Ondrej Mosnacek) [2083580] - LSM: Avoid warnings about potentially unused hook variables (Ondrej Mosnacek) [2083580] - selinux: fix all of the W=1 build warnings (Ondrej Mosnacek) [2083580] - selinux: make better use of the nf_hook_state passed to the NF hooks (Ondrej Mosnacek) [2083580] - selinux: fix race condition when computing ocontext SIDs (Ondrej Mosnacek) [2083580] - selinux: remove unneeded ipv6 hook wrappers (Ondrej Mosnacek) [2083580] - security: remove unneeded subdir-$(CONFIG_...) (Ondrej Mosnacek) [2083580] - selinux: return early for possible NULL audit buffers (Ondrej Mosnacek) [2083580] - quota: make dquot_quota_sync return errors from ->sync_fs (Lukas Czerner) [2083053] - redhat: Enable VM kselftests (Nico Pache) [2081818] - selftests/vm: Makefile: s/TARGETS/VMTARGETS/g (Joel Savitz) [2081818] - redhat: Enable HMM test to be used by the kselftest test suite (Nico Pache) [2081818] - redhat: enable CONFIG_TEST_VMALLOC for vm selftests (Nico Pache) [2081818] - net: bridge: switchdev: check br_vlan_group() return value (Ivan Vecera) [2081601] - net: bridge: mst: Restrict info size queries to bridge ports (Ivan Vecera) [2081601] - net: bridge: mst: prevent NULL deref in br_mst_info_size() (Ivan Vecera) [2081601] - selftests: forwarding: Use same VRF for port and VLAN upper (Ivan Vecera) [2081601] - selftests: forwarding: Disable learning before link up (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to query a port's MST state (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to check if MST is enabled (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to map an MSTI to a VID set (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of MST state changes (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of VLAN MSTI migrations (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of MST mode changes (Ivan Vecera) [2081601] - net: bridge: mst: Support setting and reporting MST port states (Ivan Vecera) [2081601] - net: bridge: mst: Allow changing a VLAN's MSTI (Ivan Vecera) [2081601] - net: bridge: mst: Multiple Spanning Tree (MST) mode (Ivan Vecera) [2081601] - net: switchdev: remove lag_mod_cb from switchdev_handle_fdb_event_to_device (Ivan Vecera) [2081601] - selftests: forwarding: tests of locked port feature (Ivan Vecera) [2081601] - net: bridge: Add support for offloading of locked port flag (Ivan Vecera) [2081601] - net: bridge: Add support for bridge port in locked mode (Ivan Vecera) [2081601] - net: switchdev: avoid infinite recursion from LAG to bridge with port object handler (Ivan Vecera) [2081601] - bridge: switch br_net_exit to batch mode (Ivan Vecera) [2081601] - net: bridge: multicast: notify switchdev driver whenever MC processing gets disabled (Ivan Vecera) [2081601] - net: switchdev: introduce switchdev_handle_port_obj_{add,del} for foreign interfaces (Ivan Vecera) [2081601] - net: switchdev: rename switchdev_lower_dev_find to switchdev_lower_dev_find_rcu (Ivan Vecera) [2081601] - net: bridge: switchdev: replay all VLAN groups (Ivan Vecera) [2081601] - net: bridge: make nbp_switchdev_unsync_objs() follow reverse order of sync() (Ivan Vecera) [2081601] - net: bridge: switchdev: differentiate new VLANs from changed ones (Ivan Vecera) [2081601] - net: bridge: vlan: notify switchdev only when something changed (Ivan Vecera) [2081601] - net: bridge: vlan: make __vlan_add_flags react only to PVID and UNTAGGED (Ivan Vecera) [2081601] - net: bridge: vlan: don't notify to switchdev master VLANs without BRENTRY flag (Ivan Vecera) [2081601] - net: bridge: vlan: check early for lack of BRENTRY flag in br_vlan_add_existing (Ivan Vecera) [2081601] - net: bridge: vlan: check for errors from __vlan_del in __vlan_flush (Ivan Vecera) [2081601] - net/switchdev: use struct_size over open coded arithmetic (Ivan Vecera) [2081601] - net: bridge: vlan: fix memory leak in __allowed_ingress (Ivan Vecera) [2081601] - net: bridge: vlan: fix single net device option dumping (Ivan Vecera) [2081601] - net: bridge: Get SIOCGIFBR/SIOCSIFBR ioctl working in compat mode (Ivan Vecera) [2081601] - bridge: use __set_bit in __br_vlan_set_default_pvid (Ivan Vecera) [2081601] - net: bridge: Allow base 16 inputs in sysfs (Ivan Vecera) [2081601] - net/bridge: replace simple_strtoul to kstrtol (Ivan Vecera) [2081601] - net: bridge: Slightly optimize 'find_portno()' (Ivan Vecera) [2081601] - net: bridge: switchdev: fix shim definition for br_switchdev_mdb_notify (Ivan Vecera) [2081601] - net: bridge: switchdev: consistent function naming (Ivan Vecera) [2081601] - net: bridge: mdb: move all switchdev logic to br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: split out the switchdev portion of br_mdb_notify (Ivan Vecera) [2081601] - net: bridge: move br_vlan_replay to br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: provide shim definition for br_vlan_flags (Ivan Vecera) [2081601] - net: switchdev: merge switchdev_handle_fdb_{add,del}_to_device (Ivan Vecera) [2081601] - net: bridge: create a common function for populating switchdev FDB entries (Ivan Vecera) [2081601] - net: bridge: move br_fdb_replay inside br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: reduce indentation level in fdb_create (Ivan Vecera) [2081601] - net: bridge: rename br_fdb_insert to br_fdb_add_local (Ivan Vecera) [2081601] - net: bridge: rename fdb_insert to fdb_add_local (Ivan Vecera) [2081601] - net: bridge: remove fdb_insert forward declaration (Ivan Vecera) [2081601] - net: bridge: remove fdb_notify forward declaration (Ivan Vecera) [2081601] - scsi: ses: Fix unsigned comparison with less than zero (Tomas Henzl) [2065658] - scsi: ses: Retry failed Send/Receive Diagnostic commands (Tomas Henzl) [2065658] - redhat/configs: enable GUP_TEST in debug kernel (Joel Savitz) [2079631] Resolves: rhbz#2064868, rhbz#2082951, rhbz#1997367, rhbz#2083580, rhbz#2050966, rhbz#2083053, rhbz#2081818, rhbz#2081601, rhbz#2065658, rhbz#2079631 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-23 07:52:03 +00:00
CONFIG_GUP_TEST=y
CONFIG_GVE=m
# CONFIG_HABANA_AI is not set
# CONFIG_HAMRADIO is not set
CONFIG_HANGCHECK_TIMER=m
CONFIG_HARDENED_USERCOPY_FALLBACK=y
CONFIG_HARDENED_USERCOPY=y
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_HARDLOCKUP_DETECTOR=y
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_HASH_KUNIT_TEST=m
# CONFIG_HCALL_STATS is not set
# CONFIG_HDC100X is not set
# CONFIG_HDC2010 is not set
CONFIG_HDLC_CISCO=m
CONFIG_HDLC_FR=m
CONFIG_HDLC=m
CONFIG_HDLC_PPP=m
# CONFIG_HDLC_RAW_ETH is not set
CONFIG_HDLC_RAW=m
CONFIG_HEADERS_INSTALL=y
# CONFIG_HFI1_DEBUG_SDMA_ORDER is not set
# CONFIG_HFS_FS is not set
# CONFIG_HFSPLUS_FS is not set
# CONFIG_HI8435 is not set
# CONFIG_HIBERNATION is not set
CONFIG_HIBERNATION_SNAPSHOT_DEV=y
CONFIG_HID_A4TECH=m
# CONFIG_HID_ACCUTOUCH is not set
# CONFIG_HID_ACRUX_FF is not set
CONFIG_HID_ACRUX=m
# CONFIG_HID_ALPS is not set
CONFIG_HID_APPLEIR=m
CONFIG_HID_APPLE=m
# CONFIG_HID_ASUS is not set
CONFIG_HID_AUREAL=m
CONFIG_HID_BATTERY_STRENGTH=y
CONFIG_HID_BELKIN=m
# CONFIG_HID_BETOP_FF is not set
# CONFIG_HID_BIGBEN_FF is not set
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
CONFIG_HID_BPF=y
CONFIG_HID_CHERRY=m
CONFIG_HID_CHICONY=m
# CONFIG_HID_CMEDIA is not set
# CONFIG_HID_CORSAIR is not set
CONFIG_HID_COUGAR=m
# CONFIG_HID_CP2112 is not set
# CONFIG_HID_CREATIVE_SB0540 is not set
CONFIG_HID_CYPRESS=m
CONFIG_HID_DRAGONRISE=m
CONFIG_HID_ELAN=m
CONFIG_HID_ELECOM=m
# CONFIG_HID_ELO is not set
# CONFIG_HID_EMS_FF is not set
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
CONFIG_HID_EVISION=m
CONFIG_HID_EZKEY=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_HID_FT260 is not set
# CONFIG_HID_GEMBIRD is not set
CONFIG_HID_GENERIC=y
# CONFIG_HID_GFRM is not set
# CONFIG_HID_GLORIOUS is not set
CONFIG_HID_GREENASIA=m
# CONFIG_HID_GT683R is not set
CONFIG_HID_GYRATION=m
CONFIG_HID_HOLTEK=m
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_HID_HYPERV_MOUSE=m
CONFIG_HID_ICADE=m
CONFIG_HID_ITE=m
CONFIG_HID_JABRA=m
CONFIG_HID_KENSINGTON=m
CONFIG_HID_KEYTOUCH=m
CONFIG_HID_KYE=m
CONFIG_HID_LCPOWER=m
CONFIG_HID_LED=m
# CONFIG_HID_LENOVO is not set
kernel-5.14.0-142.el9 * Thu Aug 04 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-142.el9] - sit: do not call ipip6_dev_free() from sit_init_net() (Hangbin Liu) [2089080] - net: ping6: Fix memleak in ipv6_renew_options(). (Ivan Vecera) [2112338] - dma-direct: use the correct size for dma_set_encrypted() (Jerry Snitselaar) [2105609] - dma-debug: make things less spammy under memory pressure (Jerry Snitselaar) [2105609] - dma-iommu: Check that swiotlb is active before trying to use it (Jerry Snitselaar) [2105609] - Documentation: add a description for net.core.high_order_alloc_disable (Antoine Tenart) [2068582] - Input: bcm5974 - set missing URB_NO_TRANSFER_DMA_MAP urb flag (Benjamin Tissoires) [2070873] - Input: add Marine Navigation Keycodes (Benjamin Tissoires) [2070873] - Revert "Input: clear BTN_RIGHT/MIDDLE on buttonpads" (Benjamin Tissoires) [2070873] - Input: synaptics - enable InterTouch on ThinkPad T14/P14s Gen 1 AMD (Benjamin Tissoires) [2070873] - Input: add bounds checking to input_set_capability() (Benjamin Tissoires) [2070873] - Input: add input_copy_abs() function (Benjamin Tissoires) [2070873] - Input: set EV_ABS in dev->evbit even if input_alloc_absinfo() fails (Benjamin Tissoires) [2070873] - Input: aiptek - properly check endpoint type (Benjamin Tissoires) [2070873] - Input: elan_i2c - fix regulator enable count imbalance after suspend/resume (Benjamin Tissoires) [2070873] - Input: elan_i2c - move regulator_[en|dis]able() out of elan_[en|dis]able_power() (Benjamin Tissoires) [2070873] - Input: psmouse - set up dependency between PS/2 and SMBus companions (Benjamin Tissoires) [2070873] - Input: clear BTN_RIGHT/MIDDLE on buttonpads (Benjamin Tissoires) [2070873] - Input: gpio-keys - avoid clearing twice some memory (Benjamin Tissoires) [2070873] - Input: byd - fix typo in a comment (Benjamin Tissoires) [2070873] - Input: ff-core - correct magnitude setting for rumble compatibility (Benjamin Tissoires) [2070873] - Input: wacom_i2c - clean up the query device fields (Benjamin Tissoires) [2070873] - Input: appletouch - initialize work before device registration (Benjamin Tissoires) [2070873] - Input: elants_i2c - do not check Remark ID on eKTH3900/eKTH5312 (Benjamin Tissoires) [2070873] - Input: i8042 - enable deferred probe quirk for ASUS UM325UA (Benjamin Tissoires) [2070873] - Input: elantech - fix stack out of bound access in elantech_change_report_id() (Benjamin Tissoires) [2070873] - Input: i8042 - add deferred probe support (Benjamin Tissoires) [2070873] - Input: wacom_i2c - use macros for the bit masks (Benjamin Tissoires) [2070873] - Input: elantench - fix misreporting trackpoint coordinates (Benjamin Tissoires) [2070873] - Input: synaptics-rmi4 - Fix device hierarchy (Benjamin Tissoires) [2070873] - Input: remove unused header <linux/input/cy8ctmg110_pdata.h> (Benjamin Tissoires) [2070873] - Input: elants_i2c - make use of devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - Input: touchscreen - avoid bitwise vs logical OR warning (Benjamin Tissoires) [2070873] - Input: elan_i2c - reduce the resume time for controller in Whitebox (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "useable" -> "usable" (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "Modul" -> "Module" (Benjamin Tissoires) [2070873] - Input: remove dead CSR Prima2 PWRC driver (Benjamin Tissoires) [2070873] - Input: parkbd - switch to use module_parport_driver() (Benjamin Tissoires) [2070873] - Revert "Input: serio - make write method mandatory" (Benjamin Tissoires) [2070873] - Input: serio - make write method mandatory (Benjamin Tissoires) [2070873] - Input: ixp4xx-beeper - delete driver (Benjamin Tissoires) [2070873] - HID: elan: Fix potential double free in elan_input_configured (Benjamin Tissoires) [2070873] - HID: hid-led: fix maximum brightness for Dream Cheeky (Benjamin Tissoires) [2070873] - HID: bigben: fix slab-out-of-bounds Write in bigben_probe (Benjamin Tissoires) [2070873] - HID: multitouch: add quirks to enable Lenovo X12 trackpoint (Benjamin Tissoires) [2070873] - HID: multitouch: Add support for Google Whiskers Touchpad (Benjamin Tissoires) [2070873] - HID: Add driver for Razer Blackwidow keyboards (Benjamin Tissoires) [2070873] - HID: add SiGma Micro driver (Benjamin Tissoires) [2070873] - HID: google: modify HID device groups of eel (Benjamin Tissoires) [2070873] - HID: google: Add support for vivaldi to hid-hammer (Benjamin Tissoires) [2070873] - HID: google: extract Vivaldi hid feature mapping for use in hid-hammer (Benjamin Tissoires) [2070873] - Input: extract ChromeOS vivaldi physmap show function (Benjamin Tissoires) [2070873] - HID: google: switch to devm when registering keyboard backlight LED (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: Use dma_alloc_coherent for firmware update (Benjamin Tissoires) [2070873] - HID: input: accommodate priorities for slotted devices (Benjamin Tissoires) [2070873] - HID: input: remove the need for HID_QUIRK_INVERT (Benjamin Tissoires) [2070873] - HID: input: enforce Invert usage to be processed before InRange (Benjamin Tissoires) [2070873] - HID: core: for input reports, process the usages by priority list (Benjamin Tissoires) [2070873] - HID: compute an ordered list of input fields to process (Benjamin Tissoires) [2070873] - HID: input: move up out-of-range processing of input values (Benjamin Tissoires) [2070873] - HID: input: rework spaghetti code with switch statements (Benjamin Tissoires) [2070873] - HID: input: tag touchscreens as such if the physical is not there (Benjamin Tissoires) [2070873] - HID: core: split data fetching from processing in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: de-duplicate some code in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: statically allocate read buffers (Benjamin Tissoires) [2070873] - HID: uclogic: Support multiple frame input devices (Benjamin Tissoires) [2070873] - HID: uclogic: Define report IDs before their descriptors (Benjamin Tissoires) [2070873] - HID: uclogic: Put version first in rdesc namespace (Benjamin Tissoires) [2070873] - HID: uclogic: Use "frame" instead of "buttonpad" (Benjamin Tissoires) [2070873] - HID: uclogic: Use different constants for frame report IDs (Benjamin Tissoires) [2070873] - HID: uclogic: Specify total report size to buttonpad macro (Benjamin Tissoires) [2070873] - HID: uclogic: Switch to matching subreport bytes (Benjamin Tissoires) [2070873] - HID: uclogic: Replace pen_frame_flag with subreport_list (Benjamin Tissoires) [2070873] - HID: uclogic: Remove pen usage masking (Benjamin Tissoires) [2070873] - HID: apple: properly reflect LEDS dependency (Benjamin Tissoires) [2070873] - HID: apple: Add fn mapping for MacBook Pros with Touch Bar (Benjamin Tissoires) [2070873] - HID: apple: Add necessary IDs and configuration for T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Add support for keyboard backlight on certain T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 with fingerprint reader battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard 2015 FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard first generation FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Refactor key translation setup (Benjamin Tissoires) [2070873] - HID: uclogic: Handle virtual frame reports (Benjamin Tissoires) [2070873] - HID: uclogic: Skip non-input raw events earlier (Benjamin Tissoires) [2070873] - HID: uclogic: Access pen/frame params directly in raw_event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Split pen and frame raw event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion 13th frame button (Benjamin Tissoires) [2070873] - HID: uclogic: Rename Huion HS64 PID to Huion Tablet 2 (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion tilt reporting (Benjamin Tissoires) [2070873] - HID: i2c-hid: remove unneeded semicolon (Benjamin Tissoires) [2070873] - HID: i2c-hid: note that I2C xfer buffers are DMA-safe (Benjamin Tissoires) [2070873] - HID: i2c-hid: no longer need raw access to HID descriptor structure (Benjamin Tissoires) [2070873] - HID: i2c-hid: use helpers to do endian conversion in i2c_hid_get_input() (Benjamin Tissoires) [2070873] - HID: i2c-hid: rework i2c_hid_get_report() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: convert i2c_hid_execute_reset() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: create a helper for SET_POWER command (Benjamin Tissoires) [2070873] - HID: i2c-hid: define i2c_hid_read_register() and use it (Benjamin Tissoires) [2070873] - HID: i2c-hid: explicitly code setting and sending reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: refactor reset command (Benjamin Tissoires) [2070873] - HID: i2c-hid: use "struct i2c_hid" as argument in most calls (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix GET/SET_REPORT for unnumbered reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix handling numbered reports with IDs of 15 and above (Benjamin Tissoires) [2070873] - HID: google: Use struct_size() helper in kzalloc() (Benjamin Tissoires) [2070873] - HID: Add new Letsketch tablet driver (Benjamin Tissoires) [2070873] - HID: elo: Revert USB reference counting (Benjamin Tissoires) [2070873] - HID: elo: fix memory leak in elo_probe (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_ALL_APPLICATIONS (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_DICTATE (Benjamin Tissoires) [2070873] - HID: nintendo: check the return value of alloc_workqueue() (Benjamin Tissoires) [2070873] - HID: vivaldi: fix sysfs attributes leak (Benjamin Tissoires) [2070873] - HID: hid-thrustmaster: fix OOB read in thrustmaster_interrupts (Benjamin Tissoires) [2070873] - HID: Add support for open wheel and no attachment to T300 (Benjamin Tissoires) [2070873] - HID: logitech-dj: add new lightspeed receiver id (Benjamin Tissoires) [2070873] - HID:Add support for UGTABLET WP5540 (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Fix a lockdep splat (Benjamin Tissoires) [2070873] - HID: apple: Set the tilde quirk flag on the Wellspring 5 and later (Benjamin Tissoires) [2070873] - HID: wacom: Avoid using stale array indicies to read contact count (Benjamin Tissoires) [2070873] - HID: wacom: Ignore the confidence flag when a touch is removed (Benjamin Tissoires) [2070873] - HID: wacom: Reset expected and received contact counts at the same time (Benjamin Tissoires) [2070873] - HID: uhid: Use READ_ONCE()/WRITE_ONCE() for ->running (Benjamin Tissoires) [2070873] - HID: uhid: Fix worker destroying device without any protection (Benjamin Tissoires) [2070873] - HID: vivaldi: Minor cleanups (Benjamin Tissoires) [2070873] - HID: vivaldi: fix handling devices not using numbered reports (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15t-dr100 (Benjamin Tissoires) [2070873] - HID: magicmouse: Fix an error handling path in magicmouse_probe() (Benjamin Tissoires) [2070873] - HID: address kernel-doc warnings (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ishtp-fw-loader: Fix a kernel-doc formatting issue (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: Specify no cache snooping on TGL and ADL (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_frame_init_v1_buttonpad (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_huion_init (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_get_str_desc (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_init (Benjamin Tissoires) [2070873] - HID: apple: Add Magic Keyboard 2021 with fingerprint reader FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 magic keyboard FN key mapping (Benjamin Tissoires) [2070873] - HID: magicmouse: set Magic Trackpad 2021 name (Benjamin Tissoires) [2070873] - HID: magicmouse: set device name when it has been personalized (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with number pad (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with fingerprint reader (Benjamin Tissoires) [2070873] - HID: i2c-hid-of: Expose the touchscreen-inverted properties (Benjamin Tissoires) [2070873] - HID: quirks: Allow inverting the absolute X/Y values (Benjamin Tissoires) [2070873] - HID: hidraw: Replace hidraw device table mutex with a rwsem (Benjamin Tissoires) [2070873] - HID: thrustmaster use swap() to make code cleaner (Benjamin Tissoires) [2070873] - HID: debug: Add USI usages (Benjamin Tissoires) [2070873] - HID: input: Make hidinput_find_field() static (Benjamin Tissoires) [2070873] - HID: Add hid usages for USI style pens (Benjamin Tissoires) [2070873] - HID: hid-input: Add suffix also for HID_DG_PEN (Benjamin Tissoires) [2070873] - HID: Add map_msc() to avoid boilerplate code (Benjamin Tissoires) [2070873] - HID: do not inline some hid_hw_ functions (Benjamin Tissoires) [2070873] - HID: add suspend/resume helpers (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Use BIT to define quirks (Benjamin Tissoires) [2070873] - HID: apple: Do not reset quirks when the Fn key is not found (Benjamin Tissoires) [2070873] - HID: magicmouse: Report battery level over USB (Benjamin Tissoires) [2070873] - HID: i2c-hid: Report wakeup events (Benjamin Tissoires) [2070873] - HID: potential dereference of null pointer (Benjamin Tissoires) [2070873] - HID: holtek: fix mouse probing (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on Asus UX550VE (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: only enable IRQ wakeup when requested (Benjamin Tissoires) [2070873] - HID: google: add eel USB id (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-prodikeys (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-chicony (Benjamin Tissoires) [2070873] - HID: bigbenff: prevent null pointer dereference (Benjamin Tissoires) [2070873] - HID: sony: fix error path in probe (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy on some USB HID drivers (Benjamin Tissoires) [2070873] - HID: check for valid USB device for many HID drivers (Benjamin Tissoires) [2070873] - HID: wacom: fix problems when device is not a valid USB device (Benjamin Tissoires) [2070873] - HID: add hid_is_usb() function to make it simpler for USB detection (Benjamin Tissoires) [2070873] - HID: quirks: Add quirk for the Microsoft Surface 3 type-cover (Benjamin Tissoires) [2070873] - HID: multitouch: Fix Iiyama ProLite T1931SAW (0eef:0001 again!) (Benjamin Tissoires) [2070873] - HID: nintendo: eliminate dead datastructures in !CONFIG_NINTENDO_FF case (Benjamin Tissoires) [2070873] - HID: magicmouse: prevent division by 0 on scroll (Benjamin Tissoires) [2070873] - HID: thrustmaster: fix sparse warnings (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15-eu0xxx (Benjamin Tissoires) [2070873] - HID: input: set usage type to key on keycode remap (Benjamin Tissoires) [2070873] - HID: input: Fix parsing of HID_CP_CONSUMER_CONTROL fields (Benjamin Tissoires) [2070873] - HID: ft260: fix i2c probing for hwmon devices (Benjamin Tissoires) [2070873] - Revert "HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK" (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fix module device-id handling (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: hid-client: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fw-loader: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: use constants for modaliases (Benjamin Tissoires) [2070873] - HID: wacom: Use "Confidence" flag to prevent reporting invalid contacts (Benjamin Tissoires) [2070873] - HID: nintendo: unlock on error in joycon_leds_create() (Benjamin Tissoires) [2070873] - HID: nintendo: fix -Werror build (Benjamin Tissoires) [2070873] - HID: playstation: require multicolor LED functionality (Benjamin Tissoires) [2070873] - HID: u2fzero: properly handle timeouts in usb_submit_urb (Benjamin Tissoires) [2070873] - HID: u2fzero: clarify error check and length calculations (Benjamin Tissoires) [2070873] - HID: u2fzero: Support NitroKey U2F revision of the device (Benjamin Tissoires) [2070873] - HID: wacom: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: wacom: Shrink critical section in `wacom_add_shared_data` (Benjamin Tissoires) [2070873] - HID: nintendo: prevent needless queueing of the rumble worker (Benjamin Tissoires) [2070873] - HID: nintendo: ratelimit subcommands and rumble (Benjamin Tissoires) [2070873] - HID: nintendo: improve rumble performance and stability (Benjamin Tissoires) [2070873] - HID: nintendo: add IMU support (Benjamin Tissoires) [2070873] - HID: nintendo: add support for reading user calibration (Benjamin Tissoires) [2070873] - HID: nintendo: add support for charging grip (Benjamin Tissoires) [2070873] - HID: nintendo: set controller uniq to MAC (Benjamin Tissoires) [2070873] - HID: nintendo: reduce device removal subcommand errors (Benjamin Tissoires) [2070873] - HID: nintendo: patch hw version for userspace HID mappings (Benjamin Tissoires) [2070873] - HID: nintendo: send subcommands after receiving input report (Benjamin Tissoires) [2070873] - HID: nintendo: improve subcommand reliability (Benjamin Tissoires) [2070873] - HID: nintendo: add rumble support (Benjamin Tissoires) [2070873] - HID: nintendo: add home led support (Benjamin Tissoires) [2070873] - HID: nintendo: add power supply support (Benjamin Tissoires) [2070873] - HID: nintendo: add player led support (Benjamin Tissoires) [2070873] - HID: nintendo: add nintendo switch controller driver (Benjamin Tissoires) [2070873] - HID: playstation: fix return from dualsense_player_led_set_brightness() (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense player LEDs through LED class. (Benjamin Tissoires) [2070873] - leds: add new LED_FUNCTION_PLAYER for player LEDs for game controllers. (Benjamin Tissoires) [2070873] - Documentation: leds: standartizing LED names (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense lightbar through a multi-color LED. (Benjamin Tissoires) [2070873] - HID: surface-hid: Allow driver matching for target ID 1 devices (Benjamin Tissoires) [2070873] - HID: surface-hid: Use correct event registry for managing HID events (Benjamin Tissoires) [2070873] - HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK (Benjamin Tissoires) [2070873] - HID: apple: Bring back flag for Apple tilde key quirk (Benjamin Tissoires) [2070873] - HID: apple: Add support for the 2021 Magic Keyboard (Benjamin Tissoires) [2070873] - HID: apple: Rename MAGIC_KEYBOARD_ANSI to MAGIC_KEYBOARD_2015 (Benjamin Tissoires) [2070873] - HID: multitouch: disable sticky fingers for UPERFECT Y (Benjamin Tissoires) [2070873] - HID: cougar: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: roccat: Use struct_group() to zero kone_mouse_event (Benjamin Tissoires) [2070873] - HID: cp2112: Use struct_group() for memcpy() region (Benjamin Tissoires) [2070873] - HID: Add support for side buttons of Xiaomi Mi Dual Mode Wireless Mouse Silent (Benjamin Tissoires) [2070873] - HID: hid-debug: clean up snprintf() checks in hid_resolv_usage() (Benjamin Tissoires) [2070873] - HID: apple: Eliminate obsolete IR receiver quirks (Benjamin Tissoires) [2070873] - HID: core: add TransducerSerialNumber2 (Benjamin Tissoires) [2070873] - HID: u2fzero: ignore incomplete packets without data (Benjamin Tissoires) [2070873] - HID: wacom: Add new Intuos BT (CTL-4100WL/CTL-6100WL) device IDs (Benjamin Tissoires) [2070873] - HID: apple: Fix logical maximum and usage maximum of Magic Keyboard JIS (Benjamin Tissoires) [2070873] - HID: betop: fix slab-out-of-bounds Write in betop_probe (Benjamin Tissoires) [2070873] - HID: usbhid: Simplify code in hid_submit_ctrl() (Benjamin Tissoires) [2070873] - HID: usbhid: Fix warning caused by 0-length input reports (Benjamin Tissoires) [2070873] - HID: usbhid: Fix flood of "control queue full" messages (Benjamin Tissoires) [2070873] - HID: sony: Fix more ShanWan clone gamepads to not rumble when plugged in. (Benjamin Tissoires) [2070873] - HID: sony: support for the ghlive ps4 dongles (Benjamin Tissoires) [2070873] - HID: thrustmaster: clean up Makefile and adapt quirks (Benjamin Tissoires) [2070873] - HID: i2c-hid: Fix Elan touchpad regression (Benjamin Tissoires) [2070873] - HID: asus: Prevent Claymore sending suspend event (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: battery: provide CAPACITY property for newer devices (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in thrustmaster_interrupts() (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in remove (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leaks in probe (Benjamin Tissoires) [2070873] - HID: elo: update the reference count of the usb device structure (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: Use 'atomic_inc_return' instead of hand-writing it (Benjamin Tissoires) [2070873] - HID: apple: Add missing scan code event for keys handled by hid-apple (Benjamin Tissoires) [2070873] - HID: cmedia: add support for HS-100B mute button (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Use the devm variant of regulator_register_notifier() (Benjamin Tissoires) [2070873] - HID: wacom: Refactor touch input mute checks into a common function (Benjamin Tissoires) [2070873] - HID: wacom: Avoid sending empty sync events (Benjamin Tissoires) [2070873] - HID: wacom: Short-circuit processing of touch when it is disabled (Benjamin Tissoires) [2070873] - HID: wacom: set initial hardware touch switch state to 'off' (Benjamin Tissoires) [2070873] - HID: usbhid: free raw_report buffers in usbhid_stop (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Tie the reset line to true state of the regulator (Benjamin Tissoires) [2070873] - HID: magicmouse: high-resolution scroll threshold (Benjamin Tissoires) [2070873] - HID: magicmouse: enable high-resolution scroll (Benjamin Tissoires) [2070873] - HID: input: do not report stylus battery state as "full" (Benjamin Tissoires) [2070873] - HID: amd_sfh: Modify the hid name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Modify the bus name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for sensor discovery (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Remove useless DMA-32 fallback configuration (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add interrupt handler to process interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add functionality to clear interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Disable the interrupt for all command (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Correct the structure field name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Handle amd_sfh work buffer in PM ops (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add illuminance mask to limit ALS max value (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Increase sensor command timeout (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Update Copyright details (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dev_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Use dma_set_mask_and_coherent() (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dma_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add dyndbg prints for debugging (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for PM suspend and resume (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Move hid probe after sensor is enabled (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add command response to check command status (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix period data field to enable sensor (Benjamin Tissoires) [2054930 2070873] Resolves: rhbz#2089080, rhbz#2112338, rhbz#2105609, rhbz#2068582, rhbz#2070873, rhbz#2054930 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-08-04 16:04:36 +00:00
CONFIG_HID_LETSKETCH=m
CONFIG_HID_LOGITECH_DJ=m
CONFIG_HID_LOGITECH_HIDPP=m
CONFIG_HID_LOGITECH=m
# CONFIG_HID_MACALLY is not set
CONFIG_HID_MAGICMOUSE=y
# CONFIG_HID_MALTRON is not set
# CONFIG_HID_MAYFLASH is not set
# CONFIG_HID_MCP2221 is not set
kernel-5.14.0-258.el9 * Fri Feb 03 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-258.el9] - HID: amd_sfh: Fix warning unwind goto (Benjamin Tissoires) [2122844] - HID: revert CHERRY_MOUSE_000C quirk (Benjamin Tissoires) [2122844] - HID: i2c: let RMI devices decide what constitutes wakeup event (Benjamin Tissoires) [2122844] - HID: plantronics: Additional PIDs for double volume key presses quirk (Benjamin Tissoires) [2122844] - HID: multitouch: fix Asus ExpertBook P2 P2451FA trackpoint (Benjamin Tissoires) [2122844] - HID: mcp2221: don't connect hidraw (Benjamin Tissoires) [2122844] - HID: wacom: Ensure bootloader PID is usable in hidraw mode (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Guard FF init code against non-USB devices (Benjamin Tissoires) [2122844] - HID: input: do not query XP-PEN Deco LW battery (Benjamin Tissoires) [2122844] - HID: apple: enable APPLE_ISO_TILDE_QUIRK for the keyboards of Macs with the T2 chip (Benjamin Tissoires) [2122844] - HID: apple: fix key translations where multiple quirks attempt to translate the same key (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add missing check for dma_alloc_coherent (Benjamin Tissoires) [2122844] - HID: hid-sensor-custom: set fixed size for custom attributes (Benjamin Tissoires) [2122844] - Input: elants_i2c - properly handle the reset GPIO when power is off (Benjamin Tissoires) [2122844] - Input: i8042 - apply probe defer to more ASUS ZenBook models (Benjamin Tissoires) [2122844] - Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode (Benjamin Tissoires) [2122844] - Input: i8042 - fix leaking of platform device on module removal (Benjamin Tissoires) [2122844] - Input: document the units for resolution of size axes (Benjamin Tissoires) [2122844] - Input: synaptics - disable Intertouch for Lenovo T14 and P14s AMD G1 (Benjamin Tissoires) [2122844] - Input: deactivate MT slots when inhibiting or suspending devices (Benjamin Tissoires) [2122844] - Input: properly queue synthetic events (Benjamin Tissoires) [2122844] - Input: i8042 - add dritek quirk for Acer Aspire One AO532 (Benjamin Tissoires) [2122844] - Input: i8042 - add additional TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add debug output for quirks (Benjamin Tissoires) [2122844] - Input: i8042 - merge quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - move __initconst to fix code styling warning (Benjamin Tissoires) [2122844] - Input: usbtouchscreen - add driver_info sanity check (Benjamin Tissoires) [2122844] - HID: ite: Enable QUIRK_TOUCHPAD_ON_OFF_REPORT on Acer Aspire Switch V 10 (Benjamin Tissoires) [2122844] - HID: core: fix shift-out-of-bounds in hid_report_raw_event (Benjamin Tissoires) [2122844] - HID: hid-lg4ff: Add check for empty lbuf (Benjamin Tissoires) [2122844] - HID: uclogic: Add HID_QUIRK_HIDINPUT_FORCE quirk (Benjamin Tissoires) [2122844] - HID: fix I2C_HID not selected when I2C_HID_OF_ELAN is (Benjamin Tissoires) [2122844] - HID: usbhid: Add ALWAYS_POLL quirk for some mice (Benjamin Tissoires) [2122844] - HID: uclogic: Fix frame templates for big endian architectures (Benjamin Tissoires) [2122844] - HID: wacom: Fix logic used for 3rd barrel switch emulation (Benjamin Tissoires) [2122844] - HID: saitek: add madcatz variant of MMO7 mouse device ID (Benjamin Tissoires) [2122844] - HID: magicmouse: Do not set BTN_MOUSE on double report (Benjamin Tissoires) [2122844] - HID: playstation: add initial DualSense Edge controller support (Benjamin Tissoires) [2122844] - HID: playstation: stop DualSense output work on remove. (Benjamin Tissoires) [2122844] - HID: nintendo: check analog user calibration for plausibility (Benjamin Tissoires) [2122844] - HID: roccat: Fix use-after-free in roccat_read() (Benjamin Tissoires) [2122844] - hid: topre: Add driver fixing report descriptor (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" for SFH1.1 (Benjamin Tissoires) [2122844] - HID: uclogic: Fix warning in uclogic_rdesc_template_apply (Benjamin Tissoires) [2122844] - HID: uclogic: Add missing suffix for digitalizers (Benjamin Tissoires) [2122844] - HID: multitouch: Add memory barriers (Benjamin Tissoires) [2122844] - HID: nintendo: fix rumble worker null pointer deref (Benjamin Tissoires) [2122844] - HID: input: fix uclogic tablets (Benjamin Tissoires) [2122844] - HID: Add Apple Touchbar on T2 Macs in hid_have_special_driver list (Benjamin Tissoires) [2122844] - HID: add Lenovo Yoga C630 battery quirk (Benjamin Tissoires) [2122844] - HID: AMD_SFH: Add a DMI quirk entry for Chromebooks (Benjamin Tissoires) [2122844] - HID: thrustmaster: Add sparco wheel and fix array length (Benjamin Tissoires) [2122844] - HID: asus: ROG NKey: Ignore portion of 0x5a report (Benjamin Tissoires) [2122844] - HID: hidraw: fix memory leak in hidraw_release() (Benjamin Tissoires) [2122844] - HID: steam: Prevent NULL pointer dereference in steam_{recv,send}_report (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" (Benjamin Tissoires) [2122844] - HID: amd_sfh: Fix implicit declaration error on i386 (Benjamin Tissoires) [2122844] - HID: apple: Add "GANSS" to the non-Apple list (Benjamin Tissoires) [2122844] - HID: alps: Declare U1_UNICORN_LEGACY support (Benjamin Tissoires) [2122844] - HID: wacom: Force pen out of prox if no events have been received in a while (Benjamin Tissoires) [2122844] - HID: nintendo: Add missing array termination (Benjamin Tissoires) [2122844] - HID: lg-g15: Fix comment typo (Benjamin Tissoires) [2122844] - HID: amd_sfh: Implement SFH1.1 functionality (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move interrupt handling to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move amd_sfh_work to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move global functions to static (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add remove operation in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add PM operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add descriptor operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list variable to client data (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list struct to header file (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move common macros and structures (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add NULL check for hid device (Benjamin Tissoires) [2122844] - HID: core: remove unneeded assignment in hid_process_report() (Benjamin Tissoires) [2122844] - HID: mcp2221: prevent a buffer overflow in mcp_smbus_write() (Benjamin Tissoires) [2122844] - HID: nintendo: Set phys property of input device based on HID phys (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for XP-PEN Deco L (Benjamin Tissoires) [2122844] - HID: uclogic: Allow to generate frame templates (Benjamin Tissoires) [2122844] - HID: uclogic: Add KUnit tests for uclogic_rdesc_template_apply() (Benjamin Tissoires) [2122844] - HID: uclogic: Make template placeholder IDs generic (Benjamin Tissoires) [2122844] - HID: surface-hid: Add support for hot-removal (Benjamin Tissoires) [2122844] - HID: multitouch: new device class fix Lenovo X12 trackpad sticky (Benjamin Tissoires) [2122844] - HID: cp2112: prevent a buffer overflow in cp2112_xfer() (Benjamin Tissoires) [2122844] - HID: hid-input: add Surface Go battery quirk (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Fix syntax errors in comments (Benjamin Tissoires) [2122844] - HID: uclogic: properly format kernel-doc comment for hid_dbg() wrappers (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on non-Apple keyboard (Benjamin Tissoires) [2122844] - HID: nintendo: fix unused const warning (Benjamin Tissoires) [2122844] - HID: i2c-hid: elan: Add support for Elan eKTH6915 i2c-hid touchscreens (Benjamin Tissoires) [2122844] - HID: amd_sfh: Don't show client init failed as error when discovery fails (Benjamin Tissoires) [2122844] - HID: wacom: Don't register pad_input for touch switch (Benjamin Tissoires) [2122844] - HID: wacom: Only report rotation for art pen (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on Keychron keyboards (Benjamin Tissoires) [2122844] - HID: uclogic: Switch to Digitizer usage for styluses (Benjamin Tissoires) [2122844] - HID: uclogic: Add pen support for XP-PEN Star 06 (Benjamin Tissoires) [2122844] - HID: uclogic: Differentiate touch ring and touch strip (Benjamin Tissoires) [2122844] - HID: uclogic: Always shift touch reports to zero (Benjamin Tissoires) [2122844] - HID: uclogic: Do not focus on touch ring only (Benjamin Tissoires) [2122844] - HID: uclogic: Return raw parameters from v2 pen init (Benjamin Tissoires) [2122844] - HID: uclogic: Move param printing to a function (Benjamin Tissoires) [2122844] - HID: core: Display "SENSOR HUB" for sensor hub bus string in hid_info (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move bus declaration outside of amd-sfh (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add physical location to HID device (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add sensor name by index for debug info (Benjamin Tissoires) [2122844] - Hid: wacom: Fix kernel test robot warning (Benjamin Tissoires) [2122844] - HID: uclogic: Disable pen usage for Huion keyboard interfaces (Benjamin Tissoires) [2122844] - HID: uclogic: Support disabling pen usage (Benjamin Tissoires) [2122844] - HID: uclogic: Pass keyboard reports as is (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify pen/frame desc_ptr description (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify params desc_size description (Benjamin Tissoires) [2122844] - HID: Add support for Mega World controller force feedback (Benjamin Tissoires) [2122844] - HID: lenovo: Add note about different report numbers (Benjamin Tissoires) [2122844] - HID: lenovo: Sync Fn-lock state on button press for Compact and TrackPoint II keyboards (Benjamin Tissoires) [2122844] - HID: lenovo: Add support for ThinkPad TrackPoint Keyboard II (Benjamin Tissoires) [2122844] - HID: amd_sfh: change global variables to static (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion Q620M (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for bitmap dials (Benjamin Tissoires) [2122844] - HID: uclogic: Reduce indent for params format str/args (Benjamin Tissoires) [2122844] - HID: uclogic: Compress params format string (Benjamin Tissoires) [2122844] - HID: wacom: Correct power_supply type (Benjamin Tissoires) [2122844] - HID: wacom: Adding Support for new usages (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion touch ring reports (Benjamin Tissoires) [2122844] - HID: uclogic: Allow three frame parameter sets (Benjamin Tissoires) [2122844] - HID: uclogic: Support custom device suffix for frames (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for touch ring reports (Benjamin Tissoires) [2122844] Resolves: rhbz#2122844 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-03 20:30:24 +00:00
# CONFIG_HID_MEGAWORLD_FF is not set
CONFIG_HID_MICROSOFT=m
CONFIG_HID_MONTEREY=m
CONFIG_HID_MULTITOUCH=m
kernel-5.14.0-142.el9 * Thu Aug 04 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-142.el9] - sit: do not call ipip6_dev_free() from sit_init_net() (Hangbin Liu) [2089080] - net: ping6: Fix memleak in ipv6_renew_options(). (Ivan Vecera) [2112338] - dma-direct: use the correct size for dma_set_encrypted() (Jerry Snitselaar) [2105609] - dma-debug: make things less spammy under memory pressure (Jerry Snitselaar) [2105609] - dma-iommu: Check that swiotlb is active before trying to use it (Jerry Snitselaar) [2105609] - Documentation: add a description for net.core.high_order_alloc_disable (Antoine Tenart) [2068582] - Input: bcm5974 - set missing URB_NO_TRANSFER_DMA_MAP urb flag (Benjamin Tissoires) [2070873] - Input: add Marine Navigation Keycodes (Benjamin Tissoires) [2070873] - Revert "Input: clear BTN_RIGHT/MIDDLE on buttonpads" (Benjamin Tissoires) [2070873] - Input: synaptics - enable InterTouch on ThinkPad T14/P14s Gen 1 AMD (Benjamin Tissoires) [2070873] - Input: add bounds checking to input_set_capability() (Benjamin Tissoires) [2070873] - Input: add input_copy_abs() function (Benjamin Tissoires) [2070873] - Input: set EV_ABS in dev->evbit even if input_alloc_absinfo() fails (Benjamin Tissoires) [2070873] - Input: aiptek - properly check endpoint type (Benjamin Tissoires) [2070873] - Input: elan_i2c - fix regulator enable count imbalance after suspend/resume (Benjamin Tissoires) [2070873] - Input: elan_i2c - move regulator_[en|dis]able() out of elan_[en|dis]able_power() (Benjamin Tissoires) [2070873] - Input: psmouse - set up dependency between PS/2 and SMBus companions (Benjamin Tissoires) [2070873] - Input: clear BTN_RIGHT/MIDDLE on buttonpads (Benjamin Tissoires) [2070873] - Input: gpio-keys - avoid clearing twice some memory (Benjamin Tissoires) [2070873] - Input: byd - fix typo in a comment (Benjamin Tissoires) [2070873] - Input: ff-core - correct magnitude setting for rumble compatibility (Benjamin Tissoires) [2070873] - Input: wacom_i2c - clean up the query device fields (Benjamin Tissoires) [2070873] - Input: appletouch - initialize work before device registration (Benjamin Tissoires) [2070873] - Input: elants_i2c - do not check Remark ID on eKTH3900/eKTH5312 (Benjamin Tissoires) [2070873] - Input: i8042 - enable deferred probe quirk for ASUS UM325UA (Benjamin Tissoires) [2070873] - Input: elantech - fix stack out of bound access in elantech_change_report_id() (Benjamin Tissoires) [2070873] - Input: i8042 - add deferred probe support (Benjamin Tissoires) [2070873] - Input: wacom_i2c - use macros for the bit masks (Benjamin Tissoires) [2070873] - Input: elantench - fix misreporting trackpoint coordinates (Benjamin Tissoires) [2070873] - Input: synaptics-rmi4 - Fix device hierarchy (Benjamin Tissoires) [2070873] - Input: remove unused header <linux/input/cy8ctmg110_pdata.h> (Benjamin Tissoires) [2070873] - Input: elants_i2c - make use of devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - Input: touchscreen - avoid bitwise vs logical OR warning (Benjamin Tissoires) [2070873] - Input: elan_i2c - reduce the resume time for controller in Whitebox (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "useable" -> "usable" (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "Modul" -> "Module" (Benjamin Tissoires) [2070873] - Input: remove dead CSR Prima2 PWRC driver (Benjamin Tissoires) [2070873] - Input: parkbd - switch to use module_parport_driver() (Benjamin Tissoires) [2070873] - Revert "Input: serio - make write method mandatory" (Benjamin Tissoires) [2070873] - Input: serio - make write method mandatory (Benjamin Tissoires) [2070873] - Input: ixp4xx-beeper - delete driver (Benjamin Tissoires) [2070873] - HID: elan: Fix potential double free in elan_input_configured (Benjamin Tissoires) [2070873] - HID: hid-led: fix maximum brightness for Dream Cheeky (Benjamin Tissoires) [2070873] - HID: bigben: fix slab-out-of-bounds Write in bigben_probe (Benjamin Tissoires) [2070873] - HID: multitouch: add quirks to enable Lenovo X12 trackpoint (Benjamin Tissoires) [2070873] - HID: multitouch: Add support for Google Whiskers Touchpad (Benjamin Tissoires) [2070873] - HID: Add driver for Razer Blackwidow keyboards (Benjamin Tissoires) [2070873] - HID: add SiGma Micro driver (Benjamin Tissoires) [2070873] - HID: google: modify HID device groups of eel (Benjamin Tissoires) [2070873] - HID: google: Add support for vivaldi to hid-hammer (Benjamin Tissoires) [2070873] - HID: google: extract Vivaldi hid feature mapping for use in hid-hammer (Benjamin Tissoires) [2070873] - Input: extract ChromeOS vivaldi physmap show function (Benjamin Tissoires) [2070873] - HID: google: switch to devm when registering keyboard backlight LED (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: Use dma_alloc_coherent for firmware update (Benjamin Tissoires) [2070873] - HID: input: accommodate priorities for slotted devices (Benjamin Tissoires) [2070873] - HID: input: remove the need for HID_QUIRK_INVERT (Benjamin Tissoires) [2070873] - HID: input: enforce Invert usage to be processed before InRange (Benjamin Tissoires) [2070873] - HID: core: for input reports, process the usages by priority list (Benjamin Tissoires) [2070873] - HID: compute an ordered list of input fields to process (Benjamin Tissoires) [2070873] - HID: input: move up out-of-range processing of input values (Benjamin Tissoires) [2070873] - HID: input: rework spaghetti code with switch statements (Benjamin Tissoires) [2070873] - HID: input: tag touchscreens as such if the physical is not there (Benjamin Tissoires) [2070873] - HID: core: split data fetching from processing in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: de-duplicate some code in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: statically allocate read buffers (Benjamin Tissoires) [2070873] - HID: uclogic: Support multiple frame input devices (Benjamin Tissoires) [2070873] - HID: uclogic: Define report IDs before their descriptors (Benjamin Tissoires) [2070873] - HID: uclogic: Put version first in rdesc namespace (Benjamin Tissoires) [2070873] - HID: uclogic: Use "frame" instead of "buttonpad" (Benjamin Tissoires) [2070873] - HID: uclogic: Use different constants for frame report IDs (Benjamin Tissoires) [2070873] - HID: uclogic: Specify total report size to buttonpad macro (Benjamin Tissoires) [2070873] - HID: uclogic: Switch to matching subreport bytes (Benjamin Tissoires) [2070873] - HID: uclogic: Replace pen_frame_flag with subreport_list (Benjamin Tissoires) [2070873] - HID: uclogic: Remove pen usage masking (Benjamin Tissoires) [2070873] - HID: apple: properly reflect LEDS dependency (Benjamin Tissoires) [2070873] - HID: apple: Add fn mapping for MacBook Pros with Touch Bar (Benjamin Tissoires) [2070873] - HID: apple: Add necessary IDs and configuration for T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Add support for keyboard backlight on certain T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 with fingerprint reader battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard 2015 FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard first generation FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Refactor key translation setup (Benjamin Tissoires) [2070873] - HID: uclogic: Handle virtual frame reports (Benjamin Tissoires) [2070873] - HID: uclogic: Skip non-input raw events earlier (Benjamin Tissoires) [2070873] - HID: uclogic: Access pen/frame params directly in raw_event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Split pen and frame raw event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion 13th frame button (Benjamin Tissoires) [2070873] - HID: uclogic: Rename Huion HS64 PID to Huion Tablet 2 (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion tilt reporting (Benjamin Tissoires) [2070873] - HID: i2c-hid: remove unneeded semicolon (Benjamin Tissoires) [2070873] - HID: i2c-hid: note that I2C xfer buffers are DMA-safe (Benjamin Tissoires) [2070873] - HID: i2c-hid: no longer need raw access to HID descriptor structure (Benjamin Tissoires) [2070873] - HID: i2c-hid: use helpers to do endian conversion in i2c_hid_get_input() (Benjamin Tissoires) [2070873] - HID: i2c-hid: rework i2c_hid_get_report() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: convert i2c_hid_execute_reset() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: create a helper for SET_POWER command (Benjamin Tissoires) [2070873] - HID: i2c-hid: define i2c_hid_read_register() and use it (Benjamin Tissoires) [2070873] - HID: i2c-hid: explicitly code setting and sending reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: refactor reset command (Benjamin Tissoires) [2070873] - HID: i2c-hid: use "struct i2c_hid" as argument in most calls (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix GET/SET_REPORT for unnumbered reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix handling numbered reports with IDs of 15 and above (Benjamin Tissoires) [2070873] - HID: google: Use struct_size() helper in kzalloc() (Benjamin Tissoires) [2070873] - HID: Add new Letsketch tablet driver (Benjamin Tissoires) [2070873] - HID: elo: Revert USB reference counting (Benjamin Tissoires) [2070873] - HID: elo: fix memory leak in elo_probe (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_ALL_APPLICATIONS (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_DICTATE (Benjamin Tissoires) [2070873] - HID: nintendo: check the return value of alloc_workqueue() (Benjamin Tissoires) [2070873] - HID: vivaldi: fix sysfs attributes leak (Benjamin Tissoires) [2070873] - HID: hid-thrustmaster: fix OOB read in thrustmaster_interrupts (Benjamin Tissoires) [2070873] - HID: Add support for open wheel and no attachment to T300 (Benjamin Tissoires) [2070873] - HID: logitech-dj: add new lightspeed receiver id (Benjamin Tissoires) [2070873] - HID:Add support for UGTABLET WP5540 (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Fix a lockdep splat (Benjamin Tissoires) [2070873] - HID: apple: Set the tilde quirk flag on the Wellspring 5 and later (Benjamin Tissoires) [2070873] - HID: wacom: Avoid using stale array indicies to read contact count (Benjamin Tissoires) [2070873] - HID: wacom: Ignore the confidence flag when a touch is removed (Benjamin Tissoires) [2070873] - HID: wacom: Reset expected and received contact counts at the same time (Benjamin Tissoires) [2070873] - HID: uhid: Use READ_ONCE()/WRITE_ONCE() for ->running (Benjamin Tissoires) [2070873] - HID: uhid: Fix worker destroying device without any protection (Benjamin Tissoires) [2070873] - HID: vivaldi: Minor cleanups (Benjamin Tissoires) [2070873] - HID: vivaldi: fix handling devices not using numbered reports (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15t-dr100 (Benjamin Tissoires) [2070873] - HID: magicmouse: Fix an error handling path in magicmouse_probe() (Benjamin Tissoires) [2070873] - HID: address kernel-doc warnings (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ishtp-fw-loader: Fix a kernel-doc formatting issue (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: Specify no cache snooping on TGL and ADL (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_frame_init_v1_buttonpad (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_huion_init (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_get_str_desc (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_init (Benjamin Tissoires) [2070873] - HID: apple: Add Magic Keyboard 2021 with fingerprint reader FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 magic keyboard FN key mapping (Benjamin Tissoires) [2070873] - HID: magicmouse: set Magic Trackpad 2021 name (Benjamin Tissoires) [2070873] - HID: magicmouse: set device name when it has been personalized (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with number pad (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with fingerprint reader (Benjamin Tissoires) [2070873] - HID: i2c-hid-of: Expose the touchscreen-inverted properties (Benjamin Tissoires) [2070873] - HID: quirks: Allow inverting the absolute X/Y values (Benjamin Tissoires) [2070873] - HID: hidraw: Replace hidraw device table mutex with a rwsem (Benjamin Tissoires) [2070873] - HID: thrustmaster use swap() to make code cleaner (Benjamin Tissoires) [2070873] - HID: debug: Add USI usages (Benjamin Tissoires) [2070873] - HID: input: Make hidinput_find_field() static (Benjamin Tissoires) [2070873] - HID: Add hid usages for USI style pens (Benjamin Tissoires) [2070873] - HID: hid-input: Add suffix also for HID_DG_PEN (Benjamin Tissoires) [2070873] - HID: Add map_msc() to avoid boilerplate code (Benjamin Tissoires) [2070873] - HID: do not inline some hid_hw_ functions (Benjamin Tissoires) [2070873] - HID: add suspend/resume helpers (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Use BIT to define quirks (Benjamin Tissoires) [2070873] - HID: apple: Do not reset quirks when the Fn key is not found (Benjamin Tissoires) [2070873] - HID: magicmouse: Report battery level over USB (Benjamin Tissoires) [2070873] - HID: i2c-hid: Report wakeup events (Benjamin Tissoires) [2070873] - HID: potential dereference of null pointer (Benjamin Tissoires) [2070873] - HID: holtek: fix mouse probing (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on Asus UX550VE (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: only enable IRQ wakeup when requested (Benjamin Tissoires) [2070873] - HID: google: add eel USB id (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-prodikeys (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-chicony (Benjamin Tissoires) [2070873] - HID: bigbenff: prevent null pointer dereference (Benjamin Tissoires) [2070873] - HID: sony: fix error path in probe (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy on some USB HID drivers (Benjamin Tissoires) [2070873] - HID: check for valid USB device for many HID drivers (Benjamin Tissoires) [2070873] - HID: wacom: fix problems when device is not a valid USB device (Benjamin Tissoires) [2070873] - HID: add hid_is_usb() function to make it simpler for USB detection (Benjamin Tissoires) [2070873] - HID: quirks: Add quirk for the Microsoft Surface 3 type-cover (Benjamin Tissoires) [2070873] - HID: multitouch: Fix Iiyama ProLite T1931SAW (0eef:0001 again!) (Benjamin Tissoires) [2070873] - HID: nintendo: eliminate dead datastructures in !CONFIG_NINTENDO_FF case (Benjamin Tissoires) [2070873] - HID: magicmouse: prevent division by 0 on scroll (Benjamin Tissoires) [2070873] - HID: thrustmaster: fix sparse warnings (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15-eu0xxx (Benjamin Tissoires) [2070873] - HID: input: set usage type to key on keycode remap (Benjamin Tissoires) [2070873] - HID: input: Fix parsing of HID_CP_CONSUMER_CONTROL fields (Benjamin Tissoires) [2070873] - HID: ft260: fix i2c probing for hwmon devices (Benjamin Tissoires) [2070873] - Revert "HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK" (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fix module device-id handling (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: hid-client: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fw-loader: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: use constants for modaliases (Benjamin Tissoires) [2070873] - HID: wacom: Use "Confidence" flag to prevent reporting invalid contacts (Benjamin Tissoires) [2070873] - HID: nintendo: unlock on error in joycon_leds_create() (Benjamin Tissoires) [2070873] - HID: nintendo: fix -Werror build (Benjamin Tissoires) [2070873] - HID: playstation: require multicolor LED functionality (Benjamin Tissoires) [2070873] - HID: u2fzero: properly handle timeouts in usb_submit_urb (Benjamin Tissoires) [2070873] - HID: u2fzero: clarify error check and length calculations (Benjamin Tissoires) [2070873] - HID: u2fzero: Support NitroKey U2F revision of the device (Benjamin Tissoires) [2070873] - HID: wacom: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: wacom: Shrink critical section in `wacom_add_shared_data` (Benjamin Tissoires) [2070873] - HID: nintendo: prevent needless queueing of the rumble worker (Benjamin Tissoires) [2070873] - HID: nintendo: ratelimit subcommands and rumble (Benjamin Tissoires) [2070873] - HID: nintendo: improve rumble performance and stability (Benjamin Tissoires) [2070873] - HID: nintendo: add IMU support (Benjamin Tissoires) [2070873] - HID: nintendo: add support for reading user calibration (Benjamin Tissoires) [2070873] - HID: nintendo: add support for charging grip (Benjamin Tissoires) [2070873] - HID: nintendo: set controller uniq to MAC (Benjamin Tissoires) [2070873] - HID: nintendo: reduce device removal subcommand errors (Benjamin Tissoires) [2070873] - HID: nintendo: patch hw version for userspace HID mappings (Benjamin Tissoires) [2070873] - HID: nintendo: send subcommands after receiving input report (Benjamin Tissoires) [2070873] - HID: nintendo: improve subcommand reliability (Benjamin Tissoires) [2070873] - HID: nintendo: add rumble support (Benjamin Tissoires) [2070873] - HID: nintendo: add home led support (Benjamin Tissoires) [2070873] - HID: nintendo: add power supply support (Benjamin Tissoires) [2070873] - HID: nintendo: add player led support (Benjamin Tissoires) [2070873] - HID: nintendo: add nintendo switch controller driver (Benjamin Tissoires) [2070873] - HID: playstation: fix return from dualsense_player_led_set_brightness() (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense player LEDs through LED class. (Benjamin Tissoires) [2070873] - leds: add new LED_FUNCTION_PLAYER for player LEDs for game controllers. (Benjamin Tissoires) [2070873] - Documentation: leds: standartizing LED names (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense lightbar through a multi-color LED. (Benjamin Tissoires) [2070873] - HID: surface-hid: Allow driver matching for target ID 1 devices (Benjamin Tissoires) [2070873] - HID: surface-hid: Use correct event registry for managing HID events (Benjamin Tissoires) [2070873] - HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK (Benjamin Tissoires) [2070873] - HID: apple: Bring back flag for Apple tilde key quirk (Benjamin Tissoires) [2070873] - HID: apple: Add support for the 2021 Magic Keyboard (Benjamin Tissoires) [2070873] - HID: apple: Rename MAGIC_KEYBOARD_ANSI to MAGIC_KEYBOARD_2015 (Benjamin Tissoires) [2070873] - HID: multitouch: disable sticky fingers for UPERFECT Y (Benjamin Tissoires) [2070873] - HID: cougar: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: roccat: Use struct_group() to zero kone_mouse_event (Benjamin Tissoires) [2070873] - HID: cp2112: Use struct_group() for memcpy() region (Benjamin Tissoires) [2070873] - HID: Add support for side buttons of Xiaomi Mi Dual Mode Wireless Mouse Silent (Benjamin Tissoires) [2070873] - HID: hid-debug: clean up snprintf() checks in hid_resolv_usage() (Benjamin Tissoires) [2070873] - HID: apple: Eliminate obsolete IR receiver quirks (Benjamin Tissoires) [2070873] - HID: core: add TransducerSerialNumber2 (Benjamin Tissoires) [2070873] - HID: u2fzero: ignore incomplete packets without data (Benjamin Tissoires) [2070873] - HID: wacom: Add new Intuos BT (CTL-4100WL/CTL-6100WL) device IDs (Benjamin Tissoires) [2070873] - HID: apple: Fix logical maximum and usage maximum of Magic Keyboard JIS (Benjamin Tissoires) [2070873] - HID: betop: fix slab-out-of-bounds Write in betop_probe (Benjamin Tissoires) [2070873] - HID: usbhid: Simplify code in hid_submit_ctrl() (Benjamin Tissoires) [2070873] - HID: usbhid: Fix warning caused by 0-length input reports (Benjamin Tissoires) [2070873] - HID: usbhid: Fix flood of "control queue full" messages (Benjamin Tissoires) [2070873] - HID: sony: Fix more ShanWan clone gamepads to not rumble when plugged in. (Benjamin Tissoires) [2070873] - HID: sony: support for the ghlive ps4 dongles (Benjamin Tissoires) [2070873] - HID: thrustmaster: clean up Makefile and adapt quirks (Benjamin Tissoires) [2070873] - HID: i2c-hid: Fix Elan touchpad regression (Benjamin Tissoires) [2070873] - HID: asus: Prevent Claymore sending suspend event (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: battery: provide CAPACITY property for newer devices (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in thrustmaster_interrupts() (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in remove (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leaks in probe (Benjamin Tissoires) [2070873] - HID: elo: update the reference count of the usb device structure (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: Use 'atomic_inc_return' instead of hand-writing it (Benjamin Tissoires) [2070873] - HID: apple: Add missing scan code event for keys handled by hid-apple (Benjamin Tissoires) [2070873] - HID: cmedia: add support for HS-100B mute button (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Use the devm variant of regulator_register_notifier() (Benjamin Tissoires) [2070873] - HID: wacom: Refactor touch input mute checks into a common function (Benjamin Tissoires) [2070873] - HID: wacom: Avoid sending empty sync events (Benjamin Tissoires) [2070873] - HID: wacom: Short-circuit processing of touch when it is disabled (Benjamin Tissoires) [2070873] - HID: wacom: set initial hardware touch switch state to 'off' (Benjamin Tissoires) [2070873] - HID: usbhid: free raw_report buffers in usbhid_stop (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Tie the reset line to true state of the regulator (Benjamin Tissoires) [2070873] - HID: magicmouse: high-resolution scroll threshold (Benjamin Tissoires) [2070873] - HID: magicmouse: enable high-resolution scroll (Benjamin Tissoires) [2070873] - HID: input: do not report stylus battery state as "full" (Benjamin Tissoires) [2070873] - HID: amd_sfh: Modify the hid name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Modify the bus name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for sensor discovery (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Remove useless DMA-32 fallback configuration (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add interrupt handler to process interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add functionality to clear interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Disable the interrupt for all command (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Correct the structure field name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Handle amd_sfh work buffer in PM ops (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add illuminance mask to limit ALS max value (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Increase sensor command timeout (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Update Copyright details (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dev_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Use dma_set_mask_and_coherent() (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dma_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add dyndbg prints for debugging (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for PM suspend and resume (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Move hid probe after sensor is enabled (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add command response to check command status (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix period data field to enable sensor (Benjamin Tissoires) [2054930 2070873] Resolves: rhbz#2089080, rhbz#2112338, rhbz#2105609, rhbz#2068582, rhbz#2070873, rhbz#2054930 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-08-04 16:04:36 +00:00
# CONFIG_HID_NINTENDO is not set
CONFIG_HID_NTI=m
CONFIG_HID_NTRIG=y
CONFIG_HID_ORTEK=m
CONFIG_HID_PANTHERLORD=m
# CONFIG_HID_PENMOUNT is not set
CONFIG_HID_PETALYNX=m
CONFIG_HID_PICOLCD=m
CONFIG_HID_PID=y
# CONFIG_HID_PLANTRONICS is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_HID_PLAYSTATION=m
CONFIG_HID_PRIMAX=m
CONFIG_HID_PRODIKEYS=m
kernel-5.14.0-258.el9 * Fri Feb 03 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-258.el9] - HID: amd_sfh: Fix warning unwind goto (Benjamin Tissoires) [2122844] - HID: revert CHERRY_MOUSE_000C quirk (Benjamin Tissoires) [2122844] - HID: i2c: let RMI devices decide what constitutes wakeup event (Benjamin Tissoires) [2122844] - HID: plantronics: Additional PIDs for double volume key presses quirk (Benjamin Tissoires) [2122844] - HID: multitouch: fix Asus ExpertBook P2 P2451FA trackpoint (Benjamin Tissoires) [2122844] - HID: mcp2221: don't connect hidraw (Benjamin Tissoires) [2122844] - HID: wacom: Ensure bootloader PID is usable in hidraw mode (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Guard FF init code against non-USB devices (Benjamin Tissoires) [2122844] - HID: input: do not query XP-PEN Deco LW battery (Benjamin Tissoires) [2122844] - HID: apple: enable APPLE_ISO_TILDE_QUIRK for the keyboards of Macs with the T2 chip (Benjamin Tissoires) [2122844] - HID: apple: fix key translations where multiple quirks attempt to translate the same key (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add missing check for dma_alloc_coherent (Benjamin Tissoires) [2122844] - HID: hid-sensor-custom: set fixed size for custom attributes (Benjamin Tissoires) [2122844] - Input: elants_i2c - properly handle the reset GPIO when power is off (Benjamin Tissoires) [2122844] - Input: i8042 - apply probe defer to more ASUS ZenBook models (Benjamin Tissoires) [2122844] - Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode (Benjamin Tissoires) [2122844] - Input: i8042 - fix leaking of platform device on module removal (Benjamin Tissoires) [2122844] - Input: document the units for resolution of size axes (Benjamin Tissoires) [2122844] - Input: synaptics - disable Intertouch for Lenovo T14 and P14s AMD G1 (Benjamin Tissoires) [2122844] - Input: deactivate MT slots when inhibiting or suspending devices (Benjamin Tissoires) [2122844] - Input: properly queue synthetic events (Benjamin Tissoires) [2122844] - Input: i8042 - add dritek quirk for Acer Aspire One AO532 (Benjamin Tissoires) [2122844] - Input: i8042 - add additional TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add debug output for quirks (Benjamin Tissoires) [2122844] - Input: i8042 - merge quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - move __initconst to fix code styling warning (Benjamin Tissoires) [2122844] - Input: usbtouchscreen - add driver_info sanity check (Benjamin Tissoires) [2122844] - HID: ite: Enable QUIRK_TOUCHPAD_ON_OFF_REPORT on Acer Aspire Switch V 10 (Benjamin Tissoires) [2122844] - HID: core: fix shift-out-of-bounds in hid_report_raw_event (Benjamin Tissoires) [2122844] - HID: hid-lg4ff: Add check for empty lbuf (Benjamin Tissoires) [2122844] - HID: uclogic: Add HID_QUIRK_HIDINPUT_FORCE quirk (Benjamin Tissoires) [2122844] - HID: fix I2C_HID not selected when I2C_HID_OF_ELAN is (Benjamin Tissoires) [2122844] - HID: usbhid: Add ALWAYS_POLL quirk for some mice (Benjamin Tissoires) [2122844] - HID: uclogic: Fix frame templates for big endian architectures (Benjamin Tissoires) [2122844] - HID: wacom: Fix logic used for 3rd barrel switch emulation (Benjamin Tissoires) [2122844] - HID: saitek: add madcatz variant of MMO7 mouse device ID (Benjamin Tissoires) [2122844] - HID: magicmouse: Do not set BTN_MOUSE on double report (Benjamin Tissoires) [2122844] - HID: playstation: add initial DualSense Edge controller support (Benjamin Tissoires) [2122844] - HID: playstation: stop DualSense output work on remove. (Benjamin Tissoires) [2122844] - HID: nintendo: check analog user calibration for plausibility (Benjamin Tissoires) [2122844] - HID: roccat: Fix use-after-free in roccat_read() (Benjamin Tissoires) [2122844] - hid: topre: Add driver fixing report descriptor (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" for SFH1.1 (Benjamin Tissoires) [2122844] - HID: uclogic: Fix warning in uclogic_rdesc_template_apply (Benjamin Tissoires) [2122844] - HID: uclogic: Add missing suffix for digitalizers (Benjamin Tissoires) [2122844] - HID: multitouch: Add memory barriers (Benjamin Tissoires) [2122844] - HID: nintendo: fix rumble worker null pointer deref (Benjamin Tissoires) [2122844] - HID: input: fix uclogic tablets (Benjamin Tissoires) [2122844] - HID: Add Apple Touchbar on T2 Macs in hid_have_special_driver list (Benjamin Tissoires) [2122844] - HID: add Lenovo Yoga C630 battery quirk (Benjamin Tissoires) [2122844] - HID: AMD_SFH: Add a DMI quirk entry for Chromebooks (Benjamin Tissoires) [2122844] - HID: thrustmaster: Add sparco wheel and fix array length (Benjamin Tissoires) [2122844] - HID: asus: ROG NKey: Ignore portion of 0x5a report (Benjamin Tissoires) [2122844] - HID: hidraw: fix memory leak in hidraw_release() (Benjamin Tissoires) [2122844] - HID: steam: Prevent NULL pointer dereference in steam_{recv,send}_report (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" (Benjamin Tissoires) [2122844] - HID: amd_sfh: Fix implicit declaration error on i386 (Benjamin Tissoires) [2122844] - HID: apple: Add "GANSS" to the non-Apple list (Benjamin Tissoires) [2122844] - HID: alps: Declare U1_UNICORN_LEGACY support (Benjamin Tissoires) [2122844] - HID: wacom: Force pen out of prox if no events have been received in a while (Benjamin Tissoires) [2122844] - HID: nintendo: Add missing array termination (Benjamin Tissoires) [2122844] - HID: lg-g15: Fix comment typo (Benjamin Tissoires) [2122844] - HID: amd_sfh: Implement SFH1.1 functionality (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move interrupt handling to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move amd_sfh_work to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move global functions to static (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add remove operation in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add PM operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add descriptor operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list variable to client data (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list struct to header file (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move common macros and structures (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add NULL check for hid device (Benjamin Tissoires) [2122844] - HID: core: remove unneeded assignment in hid_process_report() (Benjamin Tissoires) [2122844] - HID: mcp2221: prevent a buffer overflow in mcp_smbus_write() (Benjamin Tissoires) [2122844] - HID: nintendo: Set phys property of input device based on HID phys (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for XP-PEN Deco L (Benjamin Tissoires) [2122844] - HID: uclogic: Allow to generate frame templates (Benjamin Tissoires) [2122844] - HID: uclogic: Add KUnit tests for uclogic_rdesc_template_apply() (Benjamin Tissoires) [2122844] - HID: uclogic: Make template placeholder IDs generic (Benjamin Tissoires) [2122844] - HID: surface-hid: Add support for hot-removal (Benjamin Tissoires) [2122844] - HID: multitouch: new device class fix Lenovo X12 trackpad sticky (Benjamin Tissoires) [2122844] - HID: cp2112: prevent a buffer overflow in cp2112_xfer() (Benjamin Tissoires) [2122844] - HID: hid-input: add Surface Go battery quirk (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Fix syntax errors in comments (Benjamin Tissoires) [2122844] - HID: uclogic: properly format kernel-doc comment for hid_dbg() wrappers (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on non-Apple keyboard (Benjamin Tissoires) [2122844] - HID: nintendo: fix unused const warning (Benjamin Tissoires) [2122844] - HID: i2c-hid: elan: Add support for Elan eKTH6915 i2c-hid touchscreens (Benjamin Tissoires) [2122844] - HID: amd_sfh: Don't show client init failed as error when discovery fails (Benjamin Tissoires) [2122844] - HID: wacom: Don't register pad_input for touch switch (Benjamin Tissoires) [2122844] - HID: wacom: Only report rotation for art pen (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on Keychron keyboards (Benjamin Tissoires) [2122844] - HID: uclogic: Switch to Digitizer usage for styluses (Benjamin Tissoires) [2122844] - HID: uclogic: Add pen support for XP-PEN Star 06 (Benjamin Tissoires) [2122844] - HID: uclogic: Differentiate touch ring and touch strip (Benjamin Tissoires) [2122844] - HID: uclogic: Always shift touch reports to zero (Benjamin Tissoires) [2122844] - HID: uclogic: Do not focus on touch ring only (Benjamin Tissoires) [2122844] - HID: uclogic: Return raw parameters from v2 pen init (Benjamin Tissoires) [2122844] - HID: uclogic: Move param printing to a function (Benjamin Tissoires) [2122844] - HID: core: Display "SENSOR HUB" for sensor hub bus string in hid_info (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move bus declaration outside of amd-sfh (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add physical location to HID device (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add sensor name by index for debug info (Benjamin Tissoires) [2122844] - Hid: wacom: Fix kernel test robot warning (Benjamin Tissoires) [2122844] - HID: uclogic: Disable pen usage for Huion keyboard interfaces (Benjamin Tissoires) [2122844] - HID: uclogic: Support disabling pen usage (Benjamin Tissoires) [2122844] - HID: uclogic: Pass keyboard reports as is (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify pen/frame desc_ptr description (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify params desc_size description (Benjamin Tissoires) [2122844] - HID: Add support for Mega World controller force feedback (Benjamin Tissoires) [2122844] - HID: lenovo: Add note about different report numbers (Benjamin Tissoires) [2122844] - HID: lenovo: Sync Fn-lock state on button press for Compact and TrackPoint II keyboards (Benjamin Tissoires) [2122844] - HID: lenovo: Add support for ThinkPad TrackPoint Keyboard II (Benjamin Tissoires) [2122844] - HID: amd_sfh: change global variables to static (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion Q620M (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for bitmap dials (Benjamin Tissoires) [2122844] - HID: uclogic: Reduce indent for params format str/args (Benjamin Tissoires) [2122844] - HID: uclogic: Compress params format string (Benjamin Tissoires) [2122844] - HID: wacom: Correct power_supply type (Benjamin Tissoires) [2122844] - HID: wacom: Adding Support for new usages (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion touch ring reports (Benjamin Tissoires) [2122844] - HID: uclogic: Allow three frame parameter sets (Benjamin Tissoires) [2122844] - HID: uclogic: Support custom device suffix for frames (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for touch ring reports (Benjamin Tissoires) [2122844] Resolves: rhbz#2122844 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-03 20:30:24 +00:00
# CONFIG_HID_PXRC is not set
CONFIG_HIDRAW=y
kernel-5.14.0-142.el9 * Thu Aug 04 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-142.el9] - sit: do not call ipip6_dev_free() from sit_init_net() (Hangbin Liu) [2089080] - net: ping6: Fix memleak in ipv6_renew_options(). (Ivan Vecera) [2112338] - dma-direct: use the correct size for dma_set_encrypted() (Jerry Snitselaar) [2105609] - dma-debug: make things less spammy under memory pressure (Jerry Snitselaar) [2105609] - dma-iommu: Check that swiotlb is active before trying to use it (Jerry Snitselaar) [2105609] - Documentation: add a description for net.core.high_order_alloc_disable (Antoine Tenart) [2068582] - Input: bcm5974 - set missing URB_NO_TRANSFER_DMA_MAP urb flag (Benjamin Tissoires) [2070873] - Input: add Marine Navigation Keycodes (Benjamin Tissoires) [2070873] - Revert "Input: clear BTN_RIGHT/MIDDLE on buttonpads" (Benjamin Tissoires) [2070873] - Input: synaptics - enable InterTouch on ThinkPad T14/P14s Gen 1 AMD (Benjamin Tissoires) [2070873] - Input: add bounds checking to input_set_capability() (Benjamin Tissoires) [2070873] - Input: add input_copy_abs() function (Benjamin Tissoires) [2070873] - Input: set EV_ABS in dev->evbit even if input_alloc_absinfo() fails (Benjamin Tissoires) [2070873] - Input: aiptek - properly check endpoint type (Benjamin Tissoires) [2070873] - Input: elan_i2c - fix regulator enable count imbalance after suspend/resume (Benjamin Tissoires) [2070873] - Input: elan_i2c - move regulator_[en|dis]able() out of elan_[en|dis]able_power() (Benjamin Tissoires) [2070873] - Input: psmouse - set up dependency between PS/2 and SMBus companions (Benjamin Tissoires) [2070873] - Input: clear BTN_RIGHT/MIDDLE on buttonpads (Benjamin Tissoires) [2070873] - Input: gpio-keys - avoid clearing twice some memory (Benjamin Tissoires) [2070873] - Input: byd - fix typo in a comment (Benjamin Tissoires) [2070873] - Input: ff-core - correct magnitude setting for rumble compatibility (Benjamin Tissoires) [2070873] - Input: wacom_i2c - clean up the query device fields (Benjamin Tissoires) [2070873] - Input: appletouch - initialize work before device registration (Benjamin Tissoires) [2070873] - Input: elants_i2c - do not check Remark ID on eKTH3900/eKTH5312 (Benjamin Tissoires) [2070873] - Input: i8042 - enable deferred probe quirk for ASUS UM325UA (Benjamin Tissoires) [2070873] - Input: elantech - fix stack out of bound access in elantech_change_report_id() (Benjamin Tissoires) [2070873] - Input: i8042 - add deferred probe support (Benjamin Tissoires) [2070873] - Input: wacom_i2c - use macros for the bit masks (Benjamin Tissoires) [2070873] - Input: elantench - fix misreporting trackpoint coordinates (Benjamin Tissoires) [2070873] - Input: synaptics-rmi4 - Fix device hierarchy (Benjamin Tissoires) [2070873] - Input: remove unused header <linux/input/cy8ctmg110_pdata.h> (Benjamin Tissoires) [2070873] - Input: elants_i2c - make use of devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - Input: touchscreen - avoid bitwise vs logical OR warning (Benjamin Tissoires) [2070873] - Input: elan_i2c - reduce the resume time for controller in Whitebox (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "useable" -> "usable" (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "Modul" -> "Module" (Benjamin Tissoires) [2070873] - Input: remove dead CSR Prima2 PWRC driver (Benjamin Tissoires) [2070873] - Input: parkbd - switch to use module_parport_driver() (Benjamin Tissoires) [2070873] - Revert "Input: serio - make write method mandatory" (Benjamin Tissoires) [2070873] - Input: serio - make write method mandatory (Benjamin Tissoires) [2070873] - Input: ixp4xx-beeper - delete driver (Benjamin Tissoires) [2070873] - HID: elan: Fix potential double free in elan_input_configured (Benjamin Tissoires) [2070873] - HID: hid-led: fix maximum brightness for Dream Cheeky (Benjamin Tissoires) [2070873] - HID: bigben: fix slab-out-of-bounds Write in bigben_probe (Benjamin Tissoires) [2070873] - HID: multitouch: add quirks to enable Lenovo X12 trackpoint (Benjamin Tissoires) [2070873] - HID: multitouch: Add support for Google Whiskers Touchpad (Benjamin Tissoires) [2070873] - HID: Add driver for Razer Blackwidow keyboards (Benjamin Tissoires) [2070873] - HID: add SiGma Micro driver (Benjamin Tissoires) [2070873] - HID: google: modify HID device groups of eel (Benjamin Tissoires) [2070873] - HID: google: Add support for vivaldi to hid-hammer (Benjamin Tissoires) [2070873] - HID: google: extract Vivaldi hid feature mapping for use in hid-hammer (Benjamin Tissoires) [2070873] - Input: extract ChromeOS vivaldi physmap show function (Benjamin Tissoires) [2070873] - HID: google: switch to devm when registering keyboard backlight LED (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: Use dma_alloc_coherent for firmware update (Benjamin Tissoires) [2070873] - HID: input: accommodate priorities for slotted devices (Benjamin Tissoires) [2070873] - HID: input: remove the need for HID_QUIRK_INVERT (Benjamin Tissoires) [2070873] - HID: input: enforce Invert usage to be processed before InRange (Benjamin Tissoires) [2070873] - HID: core: for input reports, process the usages by priority list (Benjamin Tissoires) [2070873] - HID: compute an ordered list of input fields to process (Benjamin Tissoires) [2070873] - HID: input: move up out-of-range processing of input values (Benjamin Tissoires) [2070873] - HID: input: rework spaghetti code with switch statements (Benjamin Tissoires) [2070873] - HID: input: tag touchscreens as such if the physical is not there (Benjamin Tissoires) [2070873] - HID: core: split data fetching from processing in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: de-duplicate some code in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: statically allocate read buffers (Benjamin Tissoires) [2070873] - HID: uclogic: Support multiple frame input devices (Benjamin Tissoires) [2070873] - HID: uclogic: Define report IDs before their descriptors (Benjamin Tissoires) [2070873] - HID: uclogic: Put version first in rdesc namespace (Benjamin Tissoires) [2070873] - HID: uclogic: Use "frame" instead of "buttonpad" (Benjamin Tissoires) [2070873] - HID: uclogic: Use different constants for frame report IDs (Benjamin Tissoires) [2070873] - HID: uclogic: Specify total report size to buttonpad macro (Benjamin Tissoires) [2070873] - HID: uclogic: Switch to matching subreport bytes (Benjamin Tissoires) [2070873] - HID: uclogic: Replace pen_frame_flag with subreport_list (Benjamin Tissoires) [2070873] - HID: uclogic: Remove pen usage masking (Benjamin Tissoires) [2070873] - HID: apple: properly reflect LEDS dependency (Benjamin Tissoires) [2070873] - HID: apple: Add fn mapping for MacBook Pros with Touch Bar (Benjamin Tissoires) [2070873] - HID: apple: Add necessary IDs and configuration for T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Add support for keyboard backlight on certain T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 with fingerprint reader battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard 2015 FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard first generation FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Refactor key translation setup (Benjamin Tissoires) [2070873] - HID: uclogic: Handle virtual frame reports (Benjamin Tissoires) [2070873] - HID: uclogic: Skip non-input raw events earlier (Benjamin Tissoires) [2070873] - HID: uclogic: Access pen/frame params directly in raw_event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Split pen and frame raw event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion 13th frame button (Benjamin Tissoires) [2070873] - HID: uclogic: Rename Huion HS64 PID to Huion Tablet 2 (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion tilt reporting (Benjamin Tissoires) [2070873] - HID: i2c-hid: remove unneeded semicolon (Benjamin Tissoires) [2070873] - HID: i2c-hid: note that I2C xfer buffers are DMA-safe (Benjamin Tissoires) [2070873] - HID: i2c-hid: no longer need raw access to HID descriptor structure (Benjamin Tissoires) [2070873] - HID: i2c-hid: use helpers to do endian conversion in i2c_hid_get_input() (Benjamin Tissoires) [2070873] - HID: i2c-hid: rework i2c_hid_get_report() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: convert i2c_hid_execute_reset() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: create a helper for SET_POWER command (Benjamin Tissoires) [2070873] - HID: i2c-hid: define i2c_hid_read_register() and use it (Benjamin Tissoires) [2070873] - HID: i2c-hid: explicitly code setting and sending reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: refactor reset command (Benjamin Tissoires) [2070873] - HID: i2c-hid: use "struct i2c_hid" as argument in most calls (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix GET/SET_REPORT for unnumbered reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix handling numbered reports with IDs of 15 and above (Benjamin Tissoires) [2070873] - HID: google: Use struct_size() helper in kzalloc() (Benjamin Tissoires) [2070873] - HID: Add new Letsketch tablet driver (Benjamin Tissoires) [2070873] - HID: elo: Revert USB reference counting (Benjamin Tissoires) [2070873] - HID: elo: fix memory leak in elo_probe (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_ALL_APPLICATIONS (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_DICTATE (Benjamin Tissoires) [2070873] - HID: nintendo: check the return value of alloc_workqueue() (Benjamin Tissoires) [2070873] - HID: vivaldi: fix sysfs attributes leak (Benjamin Tissoires) [2070873] - HID: hid-thrustmaster: fix OOB read in thrustmaster_interrupts (Benjamin Tissoires) [2070873] - HID: Add support for open wheel and no attachment to T300 (Benjamin Tissoires) [2070873] - HID: logitech-dj: add new lightspeed receiver id (Benjamin Tissoires) [2070873] - HID:Add support for UGTABLET WP5540 (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Fix a lockdep splat (Benjamin Tissoires) [2070873] - HID: apple: Set the tilde quirk flag on the Wellspring 5 and later (Benjamin Tissoires) [2070873] - HID: wacom: Avoid using stale array indicies to read contact count (Benjamin Tissoires) [2070873] - HID: wacom: Ignore the confidence flag when a touch is removed (Benjamin Tissoires) [2070873] - HID: wacom: Reset expected and received contact counts at the same time (Benjamin Tissoires) [2070873] - HID: uhid: Use READ_ONCE()/WRITE_ONCE() for ->running (Benjamin Tissoires) [2070873] - HID: uhid: Fix worker destroying device without any protection (Benjamin Tissoires) [2070873] - HID: vivaldi: Minor cleanups (Benjamin Tissoires) [2070873] - HID: vivaldi: fix handling devices not using numbered reports (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15t-dr100 (Benjamin Tissoires) [2070873] - HID: magicmouse: Fix an error handling path in magicmouse_probe() (Benjamin Tissoires) [2070873] - HID: address kernel-doc warnings (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ishtp-fw-loader: Fix a kernel-doc formatting issue (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: Specify no cache snooping on TGL and ADL (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_frame_init_v1_buttonpad (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_huion_init (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_get_str_desc (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_init (Benjamin Tissoires) [2070873] - HID: apple: Add Magic Keyboard 2021 with fingerprint reader FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 magic keyboard FN key mapping (Benjamin Tissoires) [2070873] - HID: magicmouse: set Magic Trackpad 2021 name (Benjamin Tissoires) [2070873] - HID: magicmouse: set device name when it has been personalized (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with number pad (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with fingerprint reader (Benjamin Tissoires) [2070873] - HID: i2c-hid-of: Expose the touchscreen-inverted properties (Benjamin Tissoires) [2070873] - HID: quirks: Allow inverting the absolute X/Y values (Benjamin Tissoires) [2070873] - HID: hidraw: Replace hidraw device table mutex with a rwsem (Benjamin Tissoires) [2070873] - HID: thrustmaster use swap() to make code cleaner (Benjamin Tissoires) [2070873] - HID: debug: Add USI usages (Benjamin Tissoires) [2070873] - HID: input: Make hidinput_find_field() static (Benjamin Tissoires) [2070873] - HID: Add hid usages for USI style pens (Benjamin Tissoires) [2070873] - HID: hid-input: Add suffix also for HID_DG_PEN (Benjamin Tissoires) [2070873] - HID: Add map_msc() to avoid boilerplate code (Benjamin Tissoires) [2070873] - HID: do not inline some hid_hw_ functions (Benjamin Tissoires) [2070873] - HID: add suspend/resume helpers (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Use BIT to define quirks (Benjamin Tissoires) [2070873] - HID: apple: Do not reset quirks when the Fn key is not found (Benjamin Tissoires) [2070873] - HID: magicmouse: Report battery level over USB (Benjamin Tissoires) [2070873] - HID: i2c-hid: Report wakeup events (Benjamin Tissoires) [2070873] - HID: potential dereference of null pointer (Benjamin Tissoires) [2070873] - HID: holtek: fix mouse probing (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on Asus UX550VE (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: only enable IRQ wakeup when requested (Benjamin Tissoires) [2070873] - HID: google: add eel USB id (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-prodikeys (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-chicony (Benjamin Tissoires) [2070873] - HID: bigbenff: prevent null pointer dereference (Benjamin Tissoires) [2070873] - HID: sony: fix error path in probe (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy on some USB HID drivers (Benjamin Tissoires) [2070873] - HID: check for valid USB device for many HID drivers (Benjamin Tissoires) [2070873] - HID: wacom: fix problems when device is not a valid USB device (Benjamin Tissoires) [2070873] - HID: add hid_is_usb() function to make it simpler for USB detection (Benjamin Tissoires) [2070873] - HID: quirks: Add quirk for the Microsoft Surface 3 type-cover (Benjamin Tissoires) [2070873] - HID: multitouch: Fix Iiyama ProLite T1931SAW (0eef:0001 again!) (Benjamin Tissoires) [2070873] - HID: nintendo: eliminate dead datastructures in !CONFIG_NINTENDO_FF case (Benjamin Tissoires) [2070873] - HID: magicmouse: prevent division by 0 on scroll (Benjamin Tissoires) [2070873] - HID: thrustmaster: fix sparse warnings (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15-eu0xxx (Benjamin Tissoires) [2070873] - HID: input: set usage type to key on keycode remap (Benjamin Tissoires) [2070873] - HID: input: Fix parsing of HID_CP_CONSUMER_CONTROL fields (Benjamin Tissoires) [2070873] - HID: ft260: fix i2c probing for hwmon devices (Benjamin Tissoires) [2070873] - Revert "HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK" (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fix module device-id handling (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: hid-client: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fw-loader: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: use constants for modaliases (Benjamin Tissoires) [2070873] - HID: wacom: Use "Confidence" flag to prevent reporting invalid contacts (Benjamin Tissoires) [2070873] - HID: nintendo: unlock on error in joycon_leds_create() (Benjamin Tissoires) [2070873] - HID: nintendo: fix -Werror build (Benjamin Tissoires) [2070873] - HID: playstation: require multicolor LED functionality (Benjamin Tissoires) [2070873] - HID: u2fzero: properly handle timeouts in usb_submit_urb (Benjamin Tissoires) [2070873] - HID: u2fzero: clarify error check and length calculations (Benjamin Tissoires) [2070873] - HID: u2fzero: Support NitroKey U2F revision of the device (Benjamin Tissoires) [2070873] - HID: wacom: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: wacom: Shrink critical section in `wacom_add_shared_data` (Benjamin Tissoires) [2070873] - HID: nintendo: prevent needless queueing of the rumble worker (Benjamin Tissoires) [2070873] - HID: nintendo: ratelimit subcommands and rumble (Benjamin Tissoires) [2070873] - HID: nintendo: improve rumble performance and stability (Benjamin Tissoires) [2070873] - HID: nintendo: add IMU support (Benjamin Tissoires) [2070873] - HID: nintendo: add support for reading user calibration (Benjamin Tissoires) [2070873] - HID: nintendo: add support for charging grip (Benjamin Tissoires) [2070873] - HID: nintendo: set controller uniq to MAC (Benjamin Tissoires) [2070873] - HID: nintendo: reduce device removal subcommand errors (Benjamin Tissoires) [2070873] - HID: nintendo: patch hw version for userspace HID mappings (Benjamin Tissoires) [2070873] - HID: nintendo: send subcommands after receiving input report (Benjamin Tissoires) [2070873] - HID: nintendo: improve subcommand reliability (Benjamin Tissoires) [2070873] - HID: nintendo: add rumble support (Benjamin Tissoires) [2070873] - HID: nintendo: add home led support (Benjamin Tissoires) [2070873] - HID: nintendo: add power supply support (Benjamin Tissoires) [2070873] - HID: nintendo: add player led support (Benjamin Tissoires) [2070873] - HID: nintendo: add nintendo switch controller driver (Benjamin Tissoires) [2070873] - HID: playstation: fix return from dualsense_player_led_set_brightness() (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense player LEDs through LED class. (Benjamin Tissoires) [2070873] - leds: add new LED_FUNCTION_PLAYER for player LEDs for game controllers. (Benjamin Tissoires) [2070873] - Documentation: leds: standartizing LED names (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense lightbar through a multi-color LED. (Benjamin Tissoires) [2070873] - HID: surface-hid: Allow driver matching for target ID 1 devices (Benjamin Tissoires) [2070873] - HID: surface-hid: Use correct event registry for managing HID events (Benjamin Tissoires) [2070873] - HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK (Benjamin Tissoires) [2070873] - HID: apple: Bring back flag for Apple tilde key quirk (Benjamin Tissoires) [2070873] - HID: apple: Add support for the 2021 Magic Keyboard (Benjamin Tissoires) [2070873] - HID: apple: Rename MAGIC_KEYBOARD_ANSI to MAGIC_KEYBOARD_2015 (Benjamin Tissoires) [2070873] - HID: multitouch: disable sticky fingers for UPERFECT Y (Benjamin Tissoires) [2070873] - HID: cougar: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: roccat: Use struct_group() to zero kone_mouse_event (Benjamin Tissoires) [2070873] - HID: cp2112: Use struct_group() for memcpy() region (Benjamin Tissoires) [2070873] - HID: Add support for side buttons of Xiaomi Mi Dual Mode Wireless Mouse Silent (Benjamin Tissoires) [2070873] - HID: hid-debug: clean up snprintf() checks in hid_resolv_usage() (Benjamin Tissoires) [2070873] - HID: apple: Eliminate obsolete IR receiver quirks (Benjamin Tissoires) [2070873] - HID: core: add TransducerSerialNumber2 (Benjamin Tissoires) [2070873] - HID: u2fzero: ignore incomplete packets without data (Benjamin Tissoires) [2070873] - HID: wacom: Add new Intuos BT (CTL-4100WL/CTL-6100WL) device IDs (Benjamin Tissoires) [2070873] - HID: apple: Fix logical maximum and usage maximum of Magic Keyboard JIS (Benjamin Tissoires) [2070873] - HID: betop: fix slab-out-of-bounds Write in betop_probe (Benjamin Tissoires) [2070873] - HID: usbhid: Simplify code in hid_submit_ctrl() (Benjamin Tissoires) [2070873] - HID: usbhid: Fix warning caused by 0-length input reports (Benjamin Tissoires) [2070873] - HID: usbhid: Fix flood of "control queue full" messages (Benjamin Tissoires) [2070873] - HID: sony: Fix more ShanWan clone gamepads to not rumble when plugged in. (Benjamin Tissoires) [2070873] - HID: sony: support for the ghlive ps4 dongles (Benjamin Tissoires) [2070873] - HID: thrustmaster: clean up Makefile and adapt quirks (Benjamin Tissoires) [2070873] - HID: i2c-hid: Fix Elan touchpad regression (Benjamin Tissoires) [2070873] - HID: asus: Prevent Claymore sending suspend event (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: battery: provide CAPACITY property for newer devices (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in thrustmaster_interrupts() (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in remove (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leaks in probe (Benjamin Tissoires) [2070873] - HID: elo: update the reference count of the usb device structure (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: Use 'atomic_inc_return' instead of hand-writing it (Benjamin Tissoires) [2070873] - HID: apple: Add missing scan code event for keys handled by hid-apple (Benjamin Tissoires) [2070873] - HID: cmedia: add support for HS-100B mute button (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Use the devm variant of regulator_register_notifier() (Benjamin Tissoires) [2070873] - HID: wacom: Refactor touch input mute checks into a common function (Benjamin Tissoires) [2070873] - HID: wacom: Avoid sending empty sync events (Benjamin Tissoires) [2070873] - HID: wacom: Short-circuit processing of touch when it is disabled (Benjamin Tissoires) [2070873] - HID: wacom: set initial hardware touch switch state to 'off' (Benjamin Tissoires) [2070873] - HID: usbhid: free raw_report buffers in usbhid_stop (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Tie the reset line to true state of the regulator (Benjamin Tissoires) [2070873] - HID: magicmouse: high-resolution scroll threshold (Benjamin Tissoires) [2070873] - HID: magicmouse: enable high-resolution scroll (Benjamin Tissoires) [2070873] - HID: input: do not report stylus battery state as "full" (Benjamin Tissoires) [2070873] - HID: amd_sfh: Modify the hid name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Modify the bus name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for sensor discovery (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Remove useless DMA-32 fallback configuration (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add interrupt handler to process interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add functionality to clear interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Disable the interrupt for all command (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Correct the structure field name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Handle amd_sfh work buffer in PM ops (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add illuminance mask to limit ALS max value (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Increase sensor command timeout (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Update Copyright details (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dev_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Use dma_set_mask_and_coherent() (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dma_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add dyndbg prints for debugging (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for PM suspend and resume (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Move hid probe after sensor is enabled (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add command response to check command status (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix period data field to enable sensor (Benjamin Tissoires) [2054930 2070873] Resolves: rhbz#2089080, rhbz#2112338, rhbz#2105609, rhbz#2068582, rhbz#2070873, rhbz#2054930 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-08-04 16:04:36 +00:00
# CONFIG_HID_RAZER is not set
# CONFIG_HID_REDRAGON is not set
# CONFIG_HID_RETRODE is not set
CONFIG_HID_RMI=m
CONFIG_HID_ROCCAT=m
CONFIG_HID_SAITEK=m
CONFIG_HID_SAMSUNG=m
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_HID_SEMITEK=m
# CONFIG_HID_SENSOR_CUSTOM_INTEL_HINGE is not set
# CONFIG_HID_SENSOR_CUSTOM_SENSOR is not set
# CONFIG_HID_SENSOR_HUB is not set
kernel-5.14.0-142.el9 * Thu Aug 04 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-142.el9] - sit: do not call ipip6_dev_free() from sit_init_net() (Hangbin Liu) [2089080] - net: ping6: Fix memleak in ipv6_renew_options(). (Ivan Vecera) [2112338] - dma-direct: use the correct size for dma_set_encrypted() (Jerry Snitselaar) [2105609] - dma-debug: make things less spammy under memory pressure (Jerry Snitselaar) [2105609] - dma-iommu: Check that swiotlb is active before trying to use it (Jerry Snitselaar) [2105609] - Documentation: add a description for net.core.high_order_alloc_disable (Antoine Tenart) [2068582] - Input: bcm5974 - set missing URB_NO_TRANSFER_DMA_MAP urb flag (Benjamin Tissoires) [2070873] - Input: add Marine Navigation Keycodes (Benjamin Tissoires) [2070873] - Revert "Input: clear BTN_RIGHT/MIDDLE on buttonpads" (Benjamin Tissoires) [2070873] - Input: synaptics - enable InterTouch on ThinkPad T14/P14s Gen 1 AMD (Benjamin Tissoires) [2070873] - Input: add bounds checking to input_set_capability() (Benjamin Tissoires) [2070873] - Input: add input_copy_abs() function (Benjamin Tissoires) [2070873] - Input: set EV_ABS in dev->evbit even if input_alloc_absinfo() fails (Benjamin Tissoires) [2070873] - Input: aiptek - properly check endpoint type (Benjamin Tissoires) [2070873] - Input: elan_i2c - fix regulator enable count imbalance after suspend/resume (Benjamin Tissoires) [2070873] - Input: elan_i2c - move regulator_[en|dis]able() out of elan_[en|dis]able_power() (Benjamin Tissoires) [2070873] - Input: psmouse - set up dependency between PS/2 and SMBus companions (Benjamin Tissoires) [2070873] - Input: clear BTN_RIGHT/MIDDLE on buttonpads (Benjamin Tissoires) [2070873] - Input: gpio-keys - avoid clearing twice some memory (Benjamin Tissoires) [2070873] - Input: byd - fix typo in a comment (Benjamin Tissoires) [2070873] - Input: ff-core - correct magnitude setting for rumble compatibility (Benjamin Tissoires) [2070873] - Input: wacom_i2c - clean up the query device fields (Benjamin Tissoires) [2070873] - Input: appletouch - initialize work before device registration (Benjamin Tissoires) [2070873] - Input: elants_i2c - do not check Remark ID on eKTH3900/eKTH5312 (Benjamin Tissoires) [2070873] - Input: i8042 - enable deferred probe quirk for ASUS UM325UA (Benjamin Tissoires) [2070873] - Input: elantech - fix stack out of bound access in elantech_change_report_id() (Benjamin Tissoires) [2070873] - Input: i8042 - add deferred probe support (Benjamin Tissoires) [2070873] - Input: wacom_i2c - use macros for the bit masks (Benjamin Tissoires) [2070873] - Input: elantench - fix misreporting trackpoint coordinates (Benjamin Tissoires) [2070873] - Input: synaptics-rmi4 - Fix device hierarchy (Benjamin Tissoires) [2070873] - Input: remove unused header <linux/input/cy8ctmg110_pdata.h> (Benjamin Tissoires) [2070873] - Input: elants_i2c - make use of devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - Input: touchscreen - avoid bitwise vs logical OR warning (Benjamin Tissoires) [2070873] - Input: elan_i2c - reduce the resume time for controller in Whitebox (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "useable" -> "usable" (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "Modul" -> "Module" (Benjamin Tissoires) [2070873] - Input: remove dead CSR Prima2 PWRC driver (Benjamin Tissoires) [2070873] - Input: parkbd - switch to use module_parport_driver() (Benjamin Tissoires) [2070873] - Revert "Input: serio - make write method mandatory" (Benjamin Tissoires) [2070873] - Input: serio - make write method mandatory (Benjamin Tissoires) [2070873] - Input: ixp4xx-beeper - delete driver (Benjamin Tissoires) [2070873] - HID: elan: Fix potential double free in elan_input_configured (Benjamin Tissoires) [2070873] - HID: hid-led: fix maximum brightness for Dream Cheeky (Benjamin Tissoires) [2070873] - HID: bigben: fix slab-out-of-bounds Write in bigben_probe (Benjamin Tissoires) [2070873] - HID: multitouch: add quirks to enable Lenovo X12 trackpoint (Benjamin Tissoires) [2070873] - HID: multitouch: Add support for Google Whiskers Touchpad (Benjamin Tissoires) [2070873] - HID: Add driver for Razer Blackwidow keyboards (Benjamin Tissoires) [2070873] - HID: add SiGma Micro driver (Benjamin Tissoires) [2070873] - HID: google: modify HID device groups of eel (Benjamin Tissoires) [2070873] - HID: google: Add support for vivaldi to hid-hammer (Benjamin Tissoires) [2070873] - HID: google: extract Vivaldi hid feature mapping for use in hid-hammer (Benjamin Tissoires) [2070873] - Input: extract ChromeOS vivaldi physmap show function (Benjamin Tissoires) [2070873] - HID: google: switch to devm when registering keyboard backlight LED (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: Use dma_alloc_coherent for firmware update (Benjamin Tissoires) [2070873] - HID: input: accommodate priorities for slotted devices (Benjamin Tissoires) [2070873] - HID: input: remove the need for HID_QUIRK_INVERT (Benjamin Tissoires) [2070873] - HID: input: enforce Invert usage to be processed before InRange (Benjamin Tissoires) [2070873] - HID: core: for input reports, process the usages by priority list (Benjamin Tissoires) [2070873] - HID: compute an ordered list of input fields to process (Benjamin Tissoires) [2070873] - HID: input: move up out-of-range processing of input values (Benjamin Tissoires) [2070873] - HID: input: rework spaghetti code with switch statements (Benjamin Tissoires) [2070873] - HID: input: tag touchscreens as such if the physical is not there (Benjamin Tissoires) [2070873] - HID: core: split data fetching from processing in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: de-duplicate some code in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: statically allocate read buffers (Benjamin Tissoires) [2070873] - HID: uclogic: Support multiple frame input devices (Benjamin Tissoires) [2070873] - HID: uclogic: Define report IDs before their descriptors (Benjamin Tissoires) [2070873] - HID: uclogic: Put version first in rdesc namespace (Benjamin Tissoires) [2070873] - HID: uclogic: Use "frame" instead of "buttonpad" (Benjamin Tissoires) [2070873] - HID: uclogic: Use different constants for frame report IDs (Benjamin Tissoires) [2070873] - HID: uclogic: Specify total report size to buttonpad macro (Benjamin Tissoires) [2070873] - HID: uclogic: Switch to matching subreport bytes (Benjamin Tissoires) [2070873] - HID: uclogic: Replace pen_frame_flag with subreport_list (Benjamin Tissoires) [2070873] - HID: uclogic: Remove pen usage masking (Benjamin Tissoires) [2070873] - HID: apple: properly reflect LEDS dependency (Benjamin Tissoires) [2070873] - HID: apple: Add fn mapping for MacBook Pros with Touch Bar (Benjamin Tissoires) [2070873] - HID: apple: Add necessary IDs and configuration for T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Add support for keyboard backlight on certain T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 with fingerprint reader battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard 2015 FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard first generation FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Refactor key translation setup (Benjamin Tissoires) [2070873] - HID: uclogic: Handle virtual frame reports (Benjamin Tissoires) [2070873] - HID: uclogic: Skip non-input raw events earlier (Benjamin Tissoires) [2070873] - HID: uclogic: Access pen/frame params directly in raw_event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Split pen and frame raw event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion 13th frame button (Benjamin Tissoires) [2070873] - HID: uclogic: Rename Huion HS64 PID to Huion Tablet 2 (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion tilt reporting (Benjamin Tissoires) [2070873] - HID: i2c-hid: remove unneeded semicolon (Benjamin Tissoires) [2070873] - HID: i2c-hid: note that I2C xfer buffers are DMA-safe (Benjamin Tissoires) [2070873] - HID: i2c-hid: no longer need raw access to HID descriptor structure (Benjamin Tissoires) [2070873] - HID: i2c-hid: use helpers to do endian conversion in i2c_hid_get_input() (Benjamin Tissoires) [2070873] - HID: i2c-hid: rework i2c_hid_get_report() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: convert i2c_hid_execute_reset() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: create a helper for SET_POWER command (Benjamin Tissoires) [2070873] - HID: i2c-hid: define i2c_hid_read_register() and use it (Benjamin Tissoires) [2070873] - HID: i2c-hid: explicitly code setting and sending reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: refactor reset command (Benjamin Tissoires) [2070873] - HID: i2c-hid: use "struct i2c_hid" as argument in most calls (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix GET/SET_REPORT for unnumbered reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix handling numbered reports with IDs of 15 and above (Benjamin Tissoires) [2070873] - HID: google: Use struct_size() helper in kzalloc() (Benjamin Tissoires) [2070873] - HID: Add new Letsketch tablet driver (Benjamin Tissoires) [2070873] - HID: elo: Revert USB reference counting (Benjamin Tissoires) [2070873] - HID: elo: fix memory leak in elo_probe (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_ALL_APPLICATIONS (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_DICTATE (Benjamin Tissoires) [2070873] - HID: nintendo: check the return value of alloc_workqueue() (Benjamin Tissoires) [2070873] - HID: vivaldi: fix sysfs attributes leak (Benjamin Tissoires) [2070873] - HID: hid-thrustmaster: fix OOB read in thrustmaster_interrupts (Benjamin Tissoires) [2070873] - HID: Add support for open wheel and no attachment to T300 (Benjamin Tissoires) [2070873] - HID: logitech-dj: add new lightspeed receiver id (Benjamin Tissoires) [2070873] - HID:Add support for UGTABLET WP5540 (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Fix a lockdep splat (Benjamin Tissoires) [2070873] - HID: apple: Set the tilde quirk flag on the Wellspring 5 and later (Benjamin Tissoires) [2070873] - HID: wacom: Avoid using stale array indicies to read contact count (Benjamin Tissoires) [2070873] - HID: wacom: Ignore the confidence flag when a touch is removed (Benjamin Tissoires) [2070873] - HID: wacom: Reset expected and received contact counts at the same time (Benjamin Tissoires) [2070873] - HID: uhid: Use READ_ONCE()/WRITE_ONCE() for ->running (Benjamin Tissoires) [2070873] - HID: uhid: Fix worker destroying device without any protection (Benjamin Tissoires) [2070873] - HID: vivaldi: Minor cleanups (Benjamin Tissoires) [2070873] - HID: vivaldi: fix handling devices not using numbered reports (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15t-dr100 (Benjamin Tissoires) [2070873] - HID: magicmouse: Fix an error handling path in magicmouse_probe() (Benjamin Tissoires) [2070873] - HID: address kernel-doc warnings (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ishtp-fw-loader: Fix a kernel-doc formatting issue (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: Specify no cache snooping on TGL and ADL (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_frame_init_v1_buttonpad (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_huion_init (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_get_str_desc (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_init (Benjamin Tissoires) [2070873] - HID: apple: Add Magic Keyboard 2021 with fingerprint reader FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 magic keyboard FN key mapping (Benjamin Tissoires) [2070873] - HID: magicmouse: set Magic Trackpad 2021 name (Benjamin Tissoires) [2070873] - HID: magicmouse: set device name when it has been personalized (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with number pad (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with fingerprint reader (Benjamin Tissoires) [2070873] - HID: i2c-hid-of: Expose the touchscreen-inverted properties (Benjamin Tissoires) [2070873] - HID: quirks: Allow inverting the absolute X/Y values (Benjamin Tissoires) [2070873] - HID: hidraw: Replace hidraw device table mutex with a rwsem (Benjamin Tissoires) [2070873] - HID: thrustmaster use swap() to make code cleaner (Benjamin Tissoires) [2070873] - HID: debug: Add USI usages (Benjamin Tissoires) [2070873] - HID: input: Make hidinput_find_field() static (Benjamin Tissoires) [2070873] - HID: Add hid usages for USI style pens (Benjamin Tissoires) [2070873] - HID: hid-input: Add suffix also for HID_DG_PEN (Benjamin Tissoires) [2070873] - HID: Add map_msc() to avoid boilerplate code (Benjamin Tissoires) [2070873] - HID: do not inline some hid_hw_ functions (Benjamin Tissoires) [2070873] - HID: add suspend/resume helpers (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Use BIT to define quirks (Benjamin Tissoires) [2070873] - HID: apple: Do not reset quirks when the Fn key is not found (Benjamin Tissoires) [2070873] - HID: magicmouse: Report battery level over USB (Benjamin Tissoires) [2070873] - HID: i2c-hid: Report wakeup events (Benjamin Tissoires) [2070873] - HID: potential dereference of null pointer (Benjamin Tissoires) [2070873] - HID: holtek: fix mouse probing (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on Asus UX550VE (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: only enable IRQ wakeup when requested (Benjamin Tissoires) [2070873] - HID: google: add eel USB id (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-prodikeys (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-chicony (Benjamin Tissoires) [2070873] - HID: bigbenff: prevent null pointer dereference (Benjamin Tissoires) [2070873] - HID: sony: fix error path in probe (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy on some USB HID drivers (Benjamin Tissoires) [2070873] - HID: check for valid USB device for many HID drivers (Benjamin Tissoires) [2070873] - HID: wacom: fix problems when device is not a valid USB device (Benjamin Tissoires) [2070873] - HID: add hid_is_usb() function to make it simpler for USB detection (Benjamin Tissoires) [2070873] - HID: quirks: Add quirk for the Microsoft Surface 3 type-cover (Benjamin Tissoires) [2070873] - HID: multitouch: Fix Iiyama ProLite T1931SAW (0eef:0001 again!) (Benjamin Tissoires) [2070873] - HID: nintendo: eliminate dead datastructures in !CONFIG_NINTENDO_FF case (Benjamin Tissoires) [2070873] - HID: magicmouse: prevent division by 0 on scroll (Benjamin Tissoires) [2070873] - HID: thrustmaster: fix sparse warnings (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15-eu0xxx (Benjamin Tissoires) [2070873] - HID: input: set usage type to key on keycode remap (Benjamin Tissoires) [2070873] - HID: input: Fix parsing of HID_CP_CONSUMER_CONTROL fields (Benjamin Tissoires) [2070873] - HID: ft260: fix i2c probing for hwmon devices (Benjamin Tissoires) [2070873] - Revert "HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK" (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fix module device-id handling (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: hid-client: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fw-loader: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: use constants for modaliases (Benjamin Tissoires) [2070873] - HID: wacom: Use "Confidence" flag to prevent reporting invalid contacts (Benjamin Tissoires) [2070873] - HID: nintendo: unlock on error in joycon_leds_create() (Benjamin Tissoires) [2070873] - HID: nintendo: fix -Werror build (Benjamin Tissoires) [2070873] - HID: playstation: require multicolor LED functionality (Benjamin Tissoires) [2070873] - HID: u2fzero: properly handle timeouts in usb_submit_urb (Benjamin Tissoires) [2070873] - HID: u2fzero: clarify error check and length calculations (Benjamin Tissoires) [2070873] - HID: u2fzero: Support NitroKey U2F revision of the device (Benjamin Tissoires) [2070873] - HID: wacom: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: wacom: Shrink critical section in `wacom_add_shared_data` (Benjamin Tissoires) [2070873] - HID: nintendo: prevent needless queueing of the rumble worker (Benjamin Tissoires) [2070873] - HID: nintendo: ratelimit subcommands and rumble (Benjamin Tissoires) [2070873] - HID: nintendo: improve rumble performance and stability (Benjamin Tissoires) [2070873] - HID: nintendo: add IMU support (Benjamin Tissoires) [2070873] - HID: nintendo: add support for reading user calibration (Benjamin Tissoires) [2070873] - HID: nintendo: add support for charging grip (Benjamin Tissoires) [2070873] - HID: nintendo: set controller uniq to MAC (Benjamin Tissoires) [2070873] - HID: nintendo: reduce device removal subcommand errors (Benjamin Tissoires) [2070873] - HID: nintendo: patch hw version for userspace HID mappings (Benjamin Tissoires) [2070873] - HID: nintendo: send subcommands after receiving input report (Benjamin Tissoires) [2070873] - HID: nintendo: improve subcommand reliability (Benjamin Tissoires) [2070873] - HID: nintendo: add rumble support (Benjamin Tissoires) [2070873] - HID: nintendo: add home led support (Benjamin Tissoires) [2070873] - HID: nintendo: add power supply support (Benjamin Tissoires) [2070873] - HID: nintendo: add player led support (Benjamin Tissoires) [2070873] - HID: nintendo: add nintendo switch controller driver (Benjamin Tissoires) [2070873] - HID: playstation: fix return from dualsense_player_led_set_brightness() (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense player LEDs through LED class. (Benjamin Tissoires) [2070873] - leds: add new LED_FUNCTION_PLAYER for player LEDs for game controllers. (Benjamin Tissoires) [2070873] - Documentation: leds: standartizing LED names (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense lightbar through a multi-color LED. (Benjamin Tissoires) [2070873] - HID: surface-hid: Allow driver matching for target ID 1 devices (Benjamin Tissoires) [2070873] - HID: surface-hid: Use correct event registry for managing HID events (Benjamin Tissoires) [2070873] - HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK (Benjamin Tissoires) [2070873] - HID: apple: Bring back flag for Apple tilde key quirk (Benjamin Tissoires) [2070873] - HID: apple: Add support for the 2021 Magic Keyboard (Benjamin Tissoires) [2070873] - HID: apple: Rename MAGIC_KEYBOARD_ANSI to MAGIC_KEYBOARD_2015 (Benjamin Tissoires) [2070873] - HID: multitouch: disable sticky fingers for UPERFECT Y (Benjamin Tissoires) [2070873] - HID: cougar: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: roccat: Use struct_group() to zero kone_mouse_event (Benjamin Tissoires) [2070873] - HID: cp2112: Use struct_group() for memcpy() region (Benjamin Tissoires) [2070873] - HID: Add support for side buttons of Xiaomi Mi Dual Mode Wireless Mouse Silent (Benjamin Tissoires) [2070873] - HID: hid-debug: clean up snprintf() checks in hid_resolv_usage() (Benjamin Tissoires) [2070873] - HID: apple: Eliminate obsolete IR receiver quirks (Benjamin Tissoires) [2070873] - HID: core: add TransducerSerialNumber2 (Benjamin Tissoires) [2070873] - HID: u2fzero: ignore incomplete packets without data (Benjamin Tissoires) [2070873] - HID: wacom: Add new Intuos BT (CTL-4100WL/CTL-6100WL) device IDs (Benjamin Tissoires) [2070873] - HID: apple: Fix logical maximum and usage maximum of Magic Keyboard JIS (Benjamin Tissoires) [2070873] - HID: betop: fix slab-out-of-bounds Write in betop_probe (Benjamin Tissoires) [2070873] - HID: usbhid: Simplify code in hid_submit_ctrl() (Benjamin Tissoires) [2070873] - HID: usbhid: Fix warning caused by 0-length input reports (Benjamin Tissoires) [2070873] - HID: usbhid: Fix flood of "control queue full" messages (Benjamin Tissoires) [2070873] - HID: sony: Fix more ShanWan clone gamepads to not rumble when plugged in. (Benjamin Tissoires) [2070873] - HID: sony: support for the ghlive ps4 dongles (Benjamin Tissoires) [2070873] - HID: thrustmaster: clean up Makefile and adapt quirks (Benjamin Tissoires) [2070873] - HID: i2c-hid: Fix Elan touchpad regression (Benjamin Tissoires) [2070873] - HID: asus: Prevent Claymore sending suspend event (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: battery: provide CAPACITY property for newer devices (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in thrustmaster_interrupts() (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in remove (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leaks in probe (Benjamin Tissoires) [2070873] - HID: elo: update the reference count of the usb device structure (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: Use 'atomic_inc_return' instead of hand-writing it (Benjamin Tissoires) [2070873] - HID: apple: Add missing scan code event for keys handled by hid-apple (Benjamin Tissoires) [2070873] - HID: cmedia: add support for HS-100B mute button (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Use the devm variant of regulator_register_notifier() (Benjamin Tissoires) [2070873] - HID: wacom: Refactor touch input mute checks into a common function (Benjamin Tissoires) [2070873] - HID: wacom: Avoid sending empty sync events (Benjamin Tissoires) [2070873] - HID: wacom: Short-circuit processing of touch when it is disabled (Benjamin Tissoires) [2070873] - HID: wacom: set initial hardware touch switch state to 'off' (Benjamin Tissoires) [2070873] - HID: usbhid: free raw_report buffers in usbhid_stop (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Tie the reset line to true state of the regulator (Benjamin Tissoires) [2070873] - HID: magicmouse: high-resolution scroll threshold (Benjamin Tissoires) [2070873] - HID: magicmouse: enable high-resolution scroll (Benjamin Tissoires) [2070873] - HID: input: do not report stylus battery state as "full" (Benjamin Tissoires) [2070873] - HID: amd_sfh: Modify the hid name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Modify the bus name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for sensor discovery (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Remove useless DMA-32 fallback configuration (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add interrupt handler to process interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add functionality to clear interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Disable the interrupt for all command (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Correct the structure field name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Handle amd_sfh work buffer in PM ops (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add illuminance mask to limit ALS max value (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Increase sensor command timeout (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Update Copyright details (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dev_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Use dma_set_mask_and_coherent() (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dma_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add dyndbg prints for debugging (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for PM suspend and resume (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Move hid probe after sensor is enabled (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add command response to check command status (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix period data field to enable sensor (Benjamin Tissoires) [2054930 2070873] Resolves: rhbz#2089080, rhbz#2112338, rhbz#2105609, rhbz#2068582, rhbz#2070873, rhbz#2054930 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-08-04 16:04:36 +00:00
CONFIG_HID_SIGMAMICRO=m
CONFIG_HID_SMARTJOYPLUS=m
CONFIG_HID_SONY=m
CONFIG_HID_SPEEDLINK=m
# CONFIG_HID_STEAM is not set
CONFIG_HID_STEELSERIES=m
CONFIG_HID_SUNPLUS=m
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
CONFIG_HID_SUPPORT=y
CONFIG_HID_THINGM=m
CONFIG_HID_THRUSTMASTER=m
CONFIG_HID_TIVO=m
kernel-5.14.0-258.el9 * Fri Feb 03 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-258.el9] - HID: amd_sfh: Fix warning unwind goto (Benjamin Tissoires) [2122844] - HID: revert CHERRY_MOUSE_000C quirk (Benjamin Tissoires) [2122844] - HID: i2c: let RMI devices decide what constitutes wakeup event (Benjamin Tissoires) [2122844] - HID: plantronics: Additional PIDs for double volume key presses quirk (Benjamin Tissoires) [2122844] - HID: multitouch: fix Asus ExpertBook P2 P2451FA trackpoint (Benjamin Tissoires) [2122844] - HID: mcp2221: don't connect hidraw (Benjamin Tissoires) [2122844] - HID: wacom: Ensure bootloader PID is usable in hidraw mode (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Guard FF init code against non-USB devices (Benjamin Tissoires) [2122844] - HID: input: do not query XP-PEN Deco LW battery (Benjamin Tissoires) [2122844] - HID: apple: enable APPLE_ISO_TILDE_QUIRK for the keyboards of Macs with the T2 chip (Benjamin Tissoires) [2122844] - HID: apple: fix key translations where multiple quirks attempt to translate the same key (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add missing check for dma_alloc_coherent (Benjamin Tissoires) [2122844] - HID: hid-sensor-custom: set fixed size for custom attributes (Benjamin Tissoires) [2122844] - Input: elants_i2c - properly handle the reset GPIO when power is off (Benjamin Tissoires) [2122844] - Input: i8042 - apply probe defer to more ASUS ZenBook models (Benjamin Tissoires) [2122844] - Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode (Benjamin Tissoires) [2122844] - Input: i8042 - fix leaking of platform device on module removal (Benjamin Tissoires) [2122844] - Input: document the units for resolution of size axes (Benjamin Tissoires) [2122844] - Input: synaptics - disable Intertouch for Lenovo T14 and P14s AMD G1 (Benjamin Tissoires) [2122844] - Input: deactivate MT slots when inhibiting or suspending devices (Benjamin Tissoires) [2122844] - Input: properly queue synthetic events (Benjamin Tissoires) [2122844] - Input: i8042 - add dritek quirk for Acer Aspire One AO532 (Benjamin Tissoires) [2122844] - Input: i8042 - add additional TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add debug output for quirks (Benjamin Tissoires) [2122844] - Input: i8042 - merge quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - move __initconst to fix code styling warning (Benjamin Tissoires) [2122844] - Input: usbtouchscreen - add driver_info sanity check (Benjamin Tissoires) [2122844] - HID: ite: Enable QUIRK_TOUCHPAD_ON_OFF_REPORT on Acer Aspire Switch V 10 (Benjamin Tissoires) [2122844] - HID: core: fix shift-out-of-bounds in hid_report_raw_event (Benjamin Tissoires) [2122844] - HID: hid-lg4ff: Add check for empty lbuf (Benjamin Tissoires) [2122844] - HID: uclogic: Add HID_QUIRK_HIDINPUT_FORCE quirk (Benjamin Tissoires) [2122844] - HID: fix I2C_HID not selected when I2C_HID_OF_ELAN is (Benjamin Tissoires) [2122844] - HID: usbhid: Add ALWAYS_POLL quirk for some mice (Benjamin Tissoires) [2122844] - HID: uclogic: Fix frame templates for big endian architectures (Benjamin Tissoires) [2122844] - HID: wacom: Fix logic used for 3rd barrel switch emulation (Benjamin Tissoires) [2122844] - HID: saitek: add madcatz variant of MMO7 mouse device ID (Benjamin Tissoires) [2122844] - HID: magicmouse: Do not set BTN_MOUSE on double report (Benjamin Tissoires) [2122844] - HID: playstation: add initial DualSense Edge controller support (Benjamin Tissoires) [2122844] - HID: playstation: stop DualSense output work on remove. (Benjamin Tissoires) [2122844] - HID: nintendo: check analog user calibration for plausibility (Benjamin Tissoires) [2122844] - HID: roccat: Fix use-after-free in roccat_read() (Benjamin Tissoires) [2122844] - hid: topre: Add driver fixing report descriptor (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" for SFH1.1 (Benjamin Tissoires) [2122844] - HID: uclogic: Fix warning in uclogic_rdesc_template_apply (Benjamin Tissoires) [2122844] - HID: uclogic: Add missing suffix for digitalizers (Benjamin Tissoires) [2122844] - HID: multitouch: Add memory barriers (Benjamin Tissoires) [2122844] - HID: nintendo: fix rumble worker null pointer deref (Benjamin Tissoires) [2122844] - HID: input: fix uclogic tablets (Benjamin Tissoires) [2122844] - HID: Add Apple Touchbar on T2 Macs in hid_have_special_driver list (Benjamin Tissoires) [2122844] - HID: add Lenovo Yoga C630 battery quirk (Benjamin Tissoires) [2122844] - HID: AMD_SFH: Add a DMI quirk entry for Chromebooks (Benjamin Tissoires) [2122844] - HID: thrustmaster: Add sparco wheel and fix array length (Benjamin Tissoires) [2122844] - HID: asus: ROG NKey: Ignore portion of 0x5a report (Benjamin Tissoires) [2122844] - HID: hidraw: fix memory leak in hidraw_release() (Benjamin Tissoires) [2122844] - HID: steam: Prevent NULL pointer dereference in steam_{recv,send}_report (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" (Benjamin Tissoires) [2122844] - HID: amd_sfh: Fix implicit declaration error on i386 (Benjamin Tissoires) [2122844] - HID: apple: Add "GANSS" to the non-Apple list (Benjamin Tissoires) [2122844] - HID: alps: Declare U1_UNICORN_LEGACY support (Benjamin Tissoires) [2122844] - HID: wacom: Force pen out of prox if no events have been received in a while (Benjamin Tissoires) [2122844] - HID: nintendo: Add missing array termination (Benjamin Tissoires) [2122844] - HID: lg-g15: Fix comment typo (Benjamin Tissoires) [2122844] - HID: amd_sfh: Implement SFH1.1 functionality (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move interrupt handling to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move amd_sfh_work to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move global functions to static (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add remove operation in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add PM operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add descriptor operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list variable to client data (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list struct to header file (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move common macros and structures (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add NULL check for hid device (Benjamin Tissoires) [2122844] - HID: core: remove unneeded assignment in hid_process_report() (Benjamin Tissoires) [2122844] - HID: mcp2221: prevent a buffer overflow in mcp_smbus_write() (Benjamin Tissoires) [2122844] - HID: nintendo: Set phys property of input device based on HID phys (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for XP-PEN Deco L (Benjamin Tissoires) [2122844] - HID: uclogic: Allow to generate frame templates (Benjamin Tissoires) [2122844] - HID: uclogic: Add KUnit tests for uclogic_rdesc_template_apply() (Benjamin Tissoires) [2122844] - HID: uclogic: Make template placeholder IDs generic (Benjamin Tissoires) [2122844] - HID: surface-hid: Add support for hot-removal (Benjamin Tissoires) [2122844] - HID: multitouch: new device class fix Lenovo X12 trackpad sticky (Benjamin Tissoires) [2122844] - HID: cp2112: prevent a buffer overflow in cp2112_xfer() (Benjamin Tissoires) [2122844] - HID: hid-input: add Surface Go battery quirk (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Fix syntax errors in comments (Benjamin Tissoires) [2122844] - HID: uclogic: properly format kernel-doc comment for hid_dbg() wrappers (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on non-Apple keyboard (Benjamin Tissoires) [2122844] - HID: nintendo: fix unused const warning (Benjamin Tissoires) [2122844] - HID: i2c-hid: elan: Add support for Elan eKTH6915 i2c-hid touchscreens (Benjamin Tissoires) [2122844] - HID: amd_sfh: Don't show client init failed as error when discovery fails (Benjamin Tissoires) [2122844] - HID: wacom: Don't register pad_input for touch switch (Benjamin Tissoires) [2122844] - HID: wacom: Only report rotation for art pen (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on Keychron keyboards (Benjamin Tissoires) [2122844] - HID: uclogic: Switch to Digitizer usage for styluses (Benjamin Tissoires) [2122844] - HID: uclogic: Add pen support for XP-PEN Star 06 (Benjamin Tissoires) [2122844] - HID: uclogic: Differentiate touch ring and touch strip (Benjamin Tissoires) [2122844] - HID: uclogic: Always shift touch reports to zero (Benjamin Tissoires) [2122844] - HID: uclogic: Do not focus on touch ring only (Benjamin Tissoires) [2122844] - HID: uclogic: Return raw parameters from v2 pen init (Benjamin Tissoires) [2122844] - HID: uclogic: Move param printing to a function (Benjamin Tissoires) [2122844] - HID: core: Display "SENSOR HUB" for sensor hub bus string in hid_info (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move bus declaration outside of amd-sfh (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add physical location to HID device (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add sensor name by index for debug info (Benjamin Tissoires) [2122844] - Hid: wacom: Fix kernel test robot warning (Benjamin Tissoires) [2122844] - HID: uclogic: Disable pen usage for Huion keyboard interfaces (Benjamin Tissoires) [2122844] - HID: uclogic: Support disabling pen usage (Benjamin Tissoires) [2122844] - HID: uclogic: Pass keyboard reports as is (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify pen/frame desc_ptr description (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify params desc_size description (Benjamin Tissoires) [2122844] - HID: Add support for Mega World controller force feedback (Benjamin Tissoires) [2122844] - HID: lenovo: Add note about different report numbers (Benjamin Tissoires) [2122844] - HID: lenovo: Sync Fn-lock state on button press for Compact and TrackPoint II keyboards (Benjamin Tissoires) [2122844] - HID: lenovo: Add support for ThinkPad TrackPoint Keyboard II (Benjamin Tissoires) [2122844] - HID: amd_sfh: change global variables to static (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion Q620M (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for bitmap dials (Benjamin Tissoires) [2122844] - HID: uclogic: Reduce indent for params format str/args (Benjamin Tissoires) [2122844] - HID: uclogic: Compress params format string (Benjamin Tissoires) [2122844] - HID: wacom: Correct power_supply type (Benjamin Tissoires) [2122844] - HID: wacom: Adding Support for new usages (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion touch ring reports (Benjamin Tissoires) [2122844] - HID: uclogic: Allow three frame parameter sets (Benjamin Tissoires) [2122844] - HID: uclogic: Support custom device suffix for frames (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for touch ring reports (Benjamin Tissoires) [2122844] Resolves: rhbz#2122844 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-03 20:30:24 +00:00
# CONFIG_HID_TOPRE is not set
CONFIG_HID_TOPSEED=m
CONFIG_HID_TWINHAN=m
# CONFIG_HID_U2FZERO is not set
CONFIG_HID_UCLOGIC=m
# CONFIG_HID_UDRAW_PS3 is not set
# CONFIG_HID_VIEWSONIC is not set
# CONFIG_HID_VIVALDI is not set
kernel-5.14.0-258.el9 * Fri Feb 03 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-258.el9] - HID: amd_sfh: Fix warning unwind goto (Benjamin Tissoires) [2122844] - HID: revert CHERRY_MOUSE_000C quirk (Benjamin Tissoires) [2122844] - HID: i2c: let RMI devices decide what constitutes wakeup event (Benjamin Tissoires) [2122844] - HID: plantronics: Additional PIDs for double volume key presses quirk (Benjamin Tissoires) [2122844] - HID: multitouch: fix Asus ExpertBook P2 P2451FA trackpoint (Benjamin Tissoires) [2122844] - HID: mcp2221: don't connect hidraw (Benjamin Tissoires) [2122844] - HID: wacom: Ensure bootloader PID is usable in hidraw mode (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Guard FF init code against non-USB devices (Benjamin Tissoires) [2122844] - HID: input: do not query XP-PEN Deco LW battery (Benjamin Tissoires) [2122844] - HID: apple: enable APPLE_ISO_TILDE_QUIRK for the keyboards of Macs with the T2 chip (Benjamin Tissoires) [2122844] - HID: apple: fix key translations where multiple quirks attempt to translate the same key (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add missing check for dma_alloc_coherent (Benjamin Tissoires) [2122844] - HID: hid-sensor-custom: set fixed size for custom attributes (Benjamin Tissoires) [2122844] - Input: elants_i2c - properly handle the reset GPIO when power is off (Benjamin Tissoires) [2122844] - Input: i8042 - apply probe defer to more ASUS ZenBook models (Benjamin Tissoires) [2122844] - Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode (Benjamin Tissoires) [2122844] - Input: i8042 - fix leaking of platform device on module removal (Benjamin Tissoires) [2122844] - Input: document the units for resolution of size axes (Benjamin Tissoires) [2122844] - Input: synaptics - disable Intertouch for Lenovo T14 and P14s AMD G1 (Benjamin Tissoires) [2122844] - Input: deactivate MT slots when inhibiting or suspending devices (Benjamin Tissoires) [2122844] - Input: properly queue synthetic events (Benjamin Tissoires) [2122844] - Input: i8042 - add dritek quirk for Acer Aspire One AO532 (Benjamin Tissoires) [2122844] - Input: i8042 - add additional TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add debug output for quirks (Benjamin Tissoires) [2122844] - Input: i8042 - merge quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - move __initconst to fix code styling warning (Benjamin Tissoires) [2122844] - Input: usbtouchscreen - add driver_info sanity check (Benjamin Tissoires) [2122844] - HID: ite: Enable QUIRK_TOUCHPAD_ON_OFF_REPORT on Acer Aspire Switch V 10 (Benjamin Tissoires) [2122844] - HID: core: fix shift-out-of-bounds in hid_report_raw_event (Benjamin Tissoires) [2122844] - HID: hid-lg4ff: Add check for empty lbuf (Benjamin Tissoires) [2122844] - HID: uclogic: Add HID_QUIRK_HIDINPUT_FORCE quirk (Benjamin Tissoires) [2122844] - HID: fix I2C_HID not selected when I2C_HID_OF_ELAN is (Benjamin Tissoires) [2122844] - HID: usbhid: Add ALWAYS_POLL quirk for some mice (Benjamin Tissoires) [2122844] - HID: uclogic: Fix frame templates for big endian architectures (Benjamin Tissoires) [2122844] - HID: wacom: Fix logic used for 3rd barrel switch emulation (Benjamin Tissoires) [2122844] - HID: saitek: add madcatz variant of MMO7 mouse device ID (Benjamin Tissoires) [2122844] - HID: magicmouse: Do not set BTN_MOUSE on double report (Benjamin Tissoires) [2122844] - HID: playstation: add initial DualSense Edge controller support (Benjamin Tissoires) [2122844] - HID: playstation: stop DualSense output work on remove. (Benjamin Tissoires) [2122844] - HID: nintendo: check analog user calibration for plausibility (Benjamin Tissoires) [2122844] - HID: roccat: Fix use-after-free in roccat_read() (Benjamin Tissoires) [2122844] - hid: topre: Add driver fixing report descriptor (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" for SFH1.1 (Benjamin Tissoires) [2122844] - HID: uclogic: Fix warning in uclogic_rdesc_template_apply (Benjamin Tissoires) [2122844] - HID: uclogic: Add missing suffix for digitalizers (Benjamin Tissoires) [2122844] - HID: multitouch: Add memory barriers (Benjamin Tissoires) [2122844] - HID: nintendo: fix rumble worker null pointer deref (Benjamin Tissoires) [2122844] - HID: input: fix uclogic tablets (Benjamin Tissoires) [2122844] - HID: Add Apple Touchbar on T2 Macs in hid_have_special_driver list (Benjamin Tissoires) [2122844] - HID: add Lenovo Yoga C630 battery quirk (Benjamin Tissoires) [2122844] - HID: AMD_SFH: Add a DMI quirk entry for Chromebooks (Benjamin Tissoires) [2122844] - HID: thrustmaster: Add sparco wheel and fix array length (Benjamin Tissoires) [2122844] - HID: asus: ROG NKey: Ignore portion of 0x5a report (Benjamin Tissoires) [2122844] - HID: hidraw: fix memory leak in hidraw_release() (Benjamin Tissoires) [2122844] - HID: steam: Prevent NULL pointer dereference in steam_{recv,send}_report (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" (Benjamin Tissoires) [2122844] - HID: amd_sfh: Fix implicit declaration error on i386 (Benjamin Tissoires) [2122844] - HID: apple: Add "GANSS" to the non-Apple list (Benjamin Tissoires) [2122844] - HID: alps: Declare U1_UNICORN_LEGACY support (Benjamin Tissoires) [2122844] - HID: wacom: Force pen out of prox if no events have been received in a while (Benjamin Tissoires) [2122844] - HID: nintendo: Add missing array termination (Benjamin Tissoires) [2122844] - HID: lg-g15: Fix comment typo (Benjamin Tissoires) [2122844] - HID: amd_sfh: Implement SFH1.1 functionality (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move interrupt handling to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move amd_sfh_work to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move global functions to static (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add remove operation in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add PM operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add descriptor operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list variable to client data (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list struct to header file (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move common macros and structures (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add NULL check for hid device (Benjamin Tissoires) [2122844] - HID: core: remove unneeded assignment in hid_process_report() (Benjamin Tissoires) [2122844] - HID: mcp2221: prevent a buffer overflow in mcp_smbus_write() (Benjamin Tissoires) [2122844] - HID: nintendo: Set phys property of input device based on HID phys (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for XP-PEN Deco L (Benjamin Tissoires) [2122844] - HID: uclogic: Allow to generate frame templates (Benjamin Tissoires) [2122844] - HID: uclogic: Add KUnit tests for uclogic_rdesc_template_apply() (Benjamin Tissoires) [2122844] - HID: uclogic: Make template placeholder IDs generic (Benjamin Tissoires) [2122844] - HID: surface-hid: Add support for hot-removal (Benjamin Tissoires) [2122844] - HID: multitouch: new device class fix Lenovo X12 trackpad sticky (Benjamin Tissoires) [2122844] - HID: cp2112: prevent a buffer overflow in cp2112_xfer() (Benjamin Tissoires) [2122844] - HID: hid-input: add Surface Go battery quirk (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Fix syntax errors in comments (Benjamin Tissoires) [2122844] - HID: uclogic: properly format kernel-doc comment for hid_dbg() wrappers (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on non-Apple keyboard (Benjamin Tissoires) [2122844] - HID: nintendo: fix unused const warning (Benjamin Tissoires) [2122844] - HID: i2c-hid: elan: Add support for Elan eKTH6915 i2c-hid touchscreens (Benjamin Tissoires) [2122844] - HID: amd_sfh: Don't show client init failed as error when discovery fails (Benjamin Tissoires) [2122844] - HID: wacom: Don't register pad_input for touch switch (Benjamin Tissoires) [2122844] - HID: wacom: Only report rotation for art pen (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on Keychron keyboards (Benjamin Tissoires) [2122844] - HID: uclogic: Switch to Digitizer usage for styluses (Benjamin Tissoires) [2122844] - HID: uclogic: Add pen support for XP-PEN Star 06 (Benjamin Tissoires) [2122844] - HID: uclogic: Differentiate touch ring and touch strip (Benjamin Tissoires) [2122844] - HID: uclogic: Always shift touch reports to zero (Benjamin Tissoires) [2122844] - HID: uclogic: Do not focus on touch ring only (Benjamin Tissoires) [2122844] - HID: uclogic: Return raw parameters from v2 pen init (Benjamin Tissoires) [2122844] - HID: uclogic: Move param printing to a function (Benjamin Tissoires) [2122844] - HID: core: Display "SENSOR HUB" for sensor hub bus string in hid_info (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move bus declaration outside of amd-sfh (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add physical location to HID device (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add sensor name by index for debug info (Benjamin Tissoires) [2122844] - Hid: wacom: Fix kernel test robot warning (Benjamin Tissoires) [2122844] - HID: uclogic: Disable pen usage for Huion keyboard interfaces (Benjamin Tissoires) [2122844] - HID: uclogic: Support disabling pen usage (Benjamin Tissoires) [2122844] - HID: uclogic: Pass keyboard reports as is (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify pen/frame desc_ptr description (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify params desc_size description (Benjamin Tissoires) [2122844] - HID: Add support for Mega World controller force feedback (Benjamin Tissoires) [2122844] - HID: lenovo: Add note about different report numbers (Benjamin Tissoires) [2122844] - HID: lenovo: Sync Fn-lock state on button press for Compact and TrackPoint II keyboards (Benjamin Tissoires) [2122844] - HID: lenovo: Add support for ThinkPad TrackPoint Keyboard II (Benjamin Tissoires) [2122844] - HID: amd_sfh: change global variables to static (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion Q620M (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for bitmap dials (Benjamin Tissoires) [2122844] - HID: uclogic: Reduce indent for params format str/args (Benjamin Tissoires) [2122844] - HID: uclogic: Compress params format string (Benjamin Tissoires) [2122844] - HID: wacom: Correct power_supply type (Benjamin Tissoires) [2122844] - HID: wacom: Adding Support for new usages (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion touch ring reports (Benjamin Tissoires) [2122844] - HID: uclogic: Allow three frame parameter sets (Benjamin Tissoires) [2122844] - HID: uclogic: Support custom device suffix for frames (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for touch ring reports (Benjamin Tissoires) [2122844] Resolves: rhbz#2122844 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-03 20:30:24 +00:00
# CONFIG_HID_VRC2 is not set
CONFIG_HID_WACOM=m
CONFIG_HID_WALTOP=m
CONFIG_HID_WIIMOTE=m
kernel-5.14.0-142.el9 * Thu Aug 04 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-142.el9] - sit: do not call ipip6_dev_free() from sit_init_net() (Hangbin Liu) [2089080] - net: ping6: Fix memleak in ipv6_renew_options(). (Ivan Vecera) [2112338] - dma-direct: use the correct size for dma_set_encrypted() (Jerry Snitselaar) [2105609] - dma-debug: make things less spammy under memory pressure (Jerry Snitselaar) [2105609] - dma-iommu: Check that swiotlb is active before trying to use it (Jerry Snitselaar) [2105609] - Documentation: add a description for net.core.high_order_alloc_disable (Antoine Tenart) [2068582] - Input: bcm5974 - set missing URB_NO_TRANSFER_DMA_MAP urb flag (Benjamin Tissoires) [2070873] - Input: add Marine Navigation Keycodes (Benjamin Tissoires) [2070873] - Revert "Input: clear BTN_RIGHT/MIDDLE on buttonpads" (Benjamin Tissoires) [2070873] - Input: synaptics - enable InterTouch on ThinkPad T14/P14s Gen 1 AMD (Benjamin Tissoires) [2070873] - Input: add bounds checking to input_set_capability() (Benjamin Tissoires) [2070873] - Input: add input_copy_abs() function (Benjamin Tissoires) [2070873] - Input: set EV_ABS in dev->evbit even if input_alloc_absinfo() fails (Benjamin Tissoires) [2070873] - Input: aiptek - properly check endpoint type (Benjamin Tissoires) [2070873] - Input: elan_i2c - fix regulator enable count imbalance after suspend/resume (Benjamin Tissoires) [2070873] - Input: elan_i2c - move regulator_[en|dis]able() out of elan_[en|dis]able_power() (Benjamin Tissoires) [2070873] - Input: psmouse - set up dependency between PS/2 and SMBus companions (Benjamin Tissoires) [2070873] - Input: clear BTN_RIGHT/MIDDLE on buttonpads (Benjamin Tissoires) [2070873] - Input: gpio-keys - avoid clearing twice some memory (Benjamin Tissoires) [2070873] - Input: byd - fix typo in a comment (Benjamin Tissoires) [2070873] - Input: ff-core - correct magnitude setting for rumble compatibility (Benjamin Tissoires) [2070873] - Input: wacom_i2c - clean up the query device fields (Benjamin Tissoires) [2070873] - Input: appletouch - initialize work before device registration (Benjamin Tissoires) [2070873] - Input: elants_i2c - do not check Remark ID on eKTH3900/eKTH5312 (Benjamin Tissoires) [2070873] - Input: i8042 - enable deferred probe quirk for ASUS UM325UA (Benjamin Tissoires) [2070873] - Input: elantech - fix stack out of bound access in elantech_change_report_id() (Benjamin Tissoires) [2070873] - Input: i8042 - add deferred probe support (Benjamin Tissoires) [2070873] - Input: wacom_i2c - use macros for the bit masks (Benjamin Tissoires) [2070873] - Input: elantench - fix misreporting trackpoint coordinates (Benjamin Tissoires) [2070873] - Input: synaptics-rmi4 - Fix device hierarchy (Benjamin Tissoires) [2070873] - Input: remove unused header <linux/input/cy8ctmg110_pdata.h> (Benjamin Tissoires) [2070873] - Input: elants_i2c - make use of devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - Input: touchscreen - avoid bitwise vs logical OR warning (Benjamin Tissoires) [2070873] - Input: elan_i2c - reduce the resume time for controller in Whitebox (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "useable" -> "usable" (Benjamin Tissoires) [2070873] - Input: Fix spelling mistake in Kconfig "Modul" -> "Module" (Benjamin Tissoires) [2070873] - Input: remove dead CSR Prima2 PWRC driver (Benjamin Tissoires) [2070873] - Input: parkbd - switch to use module_parport_driver() (Benjamin Tissoires) [2070873] - Revert "Input: serio - make write method mandatory" (Benjamin Tissoires) [2070873] - Input: serio - make write method mandatory (Benjamin Tissoires) [2070873] - Input: ixp4xx-beeper - delete driver (Benjamin Tissoires) [2070873] - HID: elan: Fix potential double free in elan_input_configured (Benjamin Tissoires) [2070873] - HID: hid-led: fix maximum brightness for Dream Cheeky (Benjamin Tissoires) [2070873] - HID: bigben: fix slab-out-of-bounds Write in bigben_probe (Benjamin Tissoires) [2070873] - HID: multitouch: add quirks to enable Lenovo X12 trackpoint (Benjamin Tissoires) [2070873] - HID: multitouch: Add support for Google Whiskers Touchpad (Benjamin Tissoires) [2070873] - HID: Add driver for Razer Blackwidow keyboards (Benjamin Tissoires) [2070873] - HID: add SiGma Micro driver (Benjamin Tissoires) [2070873] - HID: google: modify HID device groups of eel (Benjamin Tissoires) [2070873] - HID: google: Add support for vivaldi to hid-hammer (Benjamin Tissoires) [2070873] - HID: google: extract Vivaldi hid feature mapping for use in hid-hammer (Benjamin Tissoires) [2070873] - Input: extract ChromeOS vivaldi physmap show function (Benjamin Tissoires) [2070873] - HID: google: switch to devm when registering keyboard backlight LED (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: Use dma_alloc_coherent for firmware update (Benjamin Tissoires) [2070873] - HID: input: accommodate priorities for slotted devices (Benjamin Tissoires) [2070873] - HID: input: remove the need for HID_QUIRK_INVERT (Benjamin Tissoires) [2070873] - HID: input: enforce Invert usage to be processed before InRange (Benjamin Tissoires) [2070873] - HID: core: for input reports, process the usages by priority list (Benjamin Tissoires) [2070873] - HID: compute an ordered list of input fields to process (Benjamin Tissoires) [2070873] - HID: input: move up out-of-range processing of input values (Benjamin Tissoires) [2070873] - HID: input: rework spaghetti code with switch statements (Benjamin Tissoires) [2070873] - HID: input: tag touchscreens as such if the physical is not there (Benjamin Tissoires) [2070873] - HID: core: split data fetching from processing in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: de-duplicate some code in hid_input_field() (Benjamin Tissoires) [2070873] - HID: core: statically allocate read buffers (Benjamin Tissoires) [2070873] - HID: uclogic: Support multiple frame input devices (Benjamin Tissoires) [2070873] - HID: uclogic: Define report IDs before their descriptors (Benjamin Tissoires) [2070873] - HID: uclogic: Put version first in rdesc namespace (Benjamin Tissoires) [2070873] - HID: uclogic: Use "frame" instead of "buttonpad" (Benjamin Tissoires) [2070873] - HID: uclogic: Use different constants for frame report IDs (Benjamin Tissoires) [2070873] - HID: uclogic: Specify total report size to buttonpad macro (Benjamin Tissoires) [2070873] - HID: uclogic: Switch to matching subreport bytes (Benjamin Tissoires) [2070873] - HID: uclogic: Replace pen_frame_flag with subreport_list (Benjamin Tissoires) [2070873] - HID: uclogic: Remove pen usage masking (Benjamin Tissoires) [2070873] - HID: apple: properly reflect LEDS dependency (Benjamin Tissoires) [2070873] - HID: apple: Add fn mapping for MacBook Pros with Touch Bar (Benjamin Tissoires) [2070873] - HID: apple: Add necessary IDs and configuration for T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Add support for keyboard backlight on certain T2 Macs. (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 with fingerprint reader battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard 2021 battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard 2015 FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Magic Keyboard first generation FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Refactor key translation setup (Benjamin Tissoires) [2070873] - HID: uclogic: Handle virtual frame reports (Benjamin Tissoires) [2070873] - HID: uclogic: Skip non-input raw events earlier (Benjamin Tissoires) [2070873] - HID: uclogic: Access pen/frame params directly in raw_event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Split pen and frame raw event handling (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion 13th frame button (Benjamin Tissoires) [2070873] - HID: uclogic: Rename Huion HS64 PID to Huion Tablet 2 (Benjamin Tissoires) [2070873] - HID: uclogic: Support Huion tilt reporting (Benjamin Tissoires) [2070873] - HID: i2c-hid: remove unneeded semicolon (Benjamin Tissoires) [2070873] - HID: i2c-hid: note that I2C xfer buffers are DMA-safe (Benjamin Tissoires) [2070873] - HID: i2c-hid: no longer need raw access to HID descriptor structure (Benjamin Tissoires) [2070873] - HID: i2c-hid: use helpers to do endian conversion in i2c_hid_get_input() (Benjamin Tissoires) [2070873] - HID: i2c-hid: rework i2c_hid_get_report() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: convert i2c_hid_execute_reset() to use i2c_hid_xfer() (Benjamin Tissoires) [2070873] - HID: i2c-hid: create a helper for SET_POWER command (Benjamin Tissoires) [2070873] - HID: i2c-hid: define i2c_hid_read_register() and use it (Benjamin Tissoires) [2070873] - HID: i2c-hid: explicitly code setting and sending reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: refactor reset command (Benjamin Tissoires) [2070873] - HID: i2c-hid: use "struct i2c_hid" as argument in most calls (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix GET/SET_REPORT for unnumbered reports (Benjamin Tissoires) [2070873] - HID: i2c-hid: fix handling numbered reports with IDs of 15 and above (Benjamin Tissoires) [2070873] - HID: google: Use struct_size() helper in kzalloc() (Benjamin Tissoires) [2070873] - HID: Add new Letsketch tablet driver (Benjamin Tissoires) [2070873] - HID: elo: Revert USB reference counting (Benjamin Tissoires) [2070873] - HID: elo: fix memory leak in elo_probe (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_ALL_APPLICATIONS (Benjamin Tissoires) [2070873] - HID: add mapping for KEY_DICTATE (Benjamin Tissoires) [2070873] - HID: nintendo: check the return value of alloc_workqueue() (Benjamin Tissoires) [2070873] - HID: vivaldi: fix sysfs attributes leak (Benjamin Tissoires) [2070873] - HID: hid-thrustmaster: fix OOB read in thrustmaster_interrupts (Benjamin Tissoires) [2070873] - HID: Add support for open wheel and no attachment to T300 (Benjamin Tissoires) [2070873] - HID: logitech-dj: add new lightspeed receiver id (Benjamin Tissoires) [2070873] - HID:Add support for UGTABLET WP5540 (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Fix a lockdep splat (Benjamin Tissoires) [2070873] - HID: apple: Set the tilde quirk flag on the Wellspring 5 and later (Benjamin Tissoires) [2070873] - HID: wacom: Avoid using stale array indicies to read contact count (Benjamin Tissoires) [2070873] - HID: wacom: Ignore the confidence flag when a touch is removed (Benjamin Tissoires) [2070873] - HID: wacom: Reset expected and received contact counts at the same time (Benjamin Tissoires) [2070873] - HID: uhid: Use READ_ONCE()/WRITE_ONCE() for ->running (Benjamin Tissoires) [2070873] - HID: uhid: Fix worker destroying device without any protection (Benjamin Tissoires) [2070873] - HID: vivaldi: Minor cleanups (Benjamin Tissoires) [2070873] - HID: vivaldi: fix handling devices not using numbered reports (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15t-dr100 (Benjamin Tissoires) [2070873] - HID: magicmouse: Fix an error handling path in magicmouse_probe() (Benjamin Tissoires) [2070873] - HID: address kernel-doc warnings (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ishtp-fw-loader: Fix a kernel-doc formatting issue (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: Specify no cache snooping on TGL and ADL (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_frame_init_v1_buttonpad (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_huion_init (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_get_str_desc (Benjamin Tissoires) [2070873] - HID: hid-uclogic-params: Invalid parameter check in uclogic_params_init (Benjamin Tissoires) [2070873] - HID: apple: Add Magic Keyboard 2021 with fingerprint reader FN key mapping (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 magic keyboard FN key mapping (Benjamin Tissoires) [2070873] - HID: magicmouse: set Magic Trackpad 2021 name (Benjamin Tissoires) [2070873] - HID: magicmouse: set device name when it has been personalized (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with number pad (Benjamin Tissoires) [2070873] - HID: apple: Add 2021 Magic Keyboard with fingerprint reader (Benjamin Tissoires) [2070873] - HID: i2c-hid-of: Expose the touchscreen-inverted properties (Benjamin Tissoires) [2070873] - HID: quirks: Allow inverting the absolute X/Y values (Benjamin Tissoires) [2070873] - HID: hidraw: Replace hidraw device table mutex with a rwsem (Benjamin Tissoires) [2070873] - HID: thrustmaster use swap() to make code cleaner (Benjamin Tissoires) [2070873] - HID: debug: Add USI usages (Benjamin Tissoires) [2070873] - HID: input: Make hidinput_find_field() static (Benjamin Tissoires) [2070873] - HID: Add hid usages for USI style pens (Benjamin Tissoires) [2070873] - HID: hid-input: Add suffix also for HID_DG_PEN (Benjamin Tissoires) [2070873] - HID: Add map_msc() to avoid boilerplate code (Benjamin Tissoires) [2070873] - HID: do not inline some hid_hw_ functions (Benjamin Tissoires) [2070873] - HID: add suspend/resume helpers (Benjamin Tissoires) [2070873] - HID: apple: Report Magic Keyboard battery over USB (Benjamin Tissoires) [2070873] - HID: apple: Use BIT to define quirks (Benjamin Tissoires) [2070873] - HID: apple: Do not reset quirks when the Fn key is not found (Benjamin Tissoires) [2070873] - HID: magicmouse: Report battery level over USB (Benjamin Tissoires) [2070873] - HID: i2c-hid: Report wakeup events (Benjamin Tissoires) [2070873] - HID: potential dereference of null pointer (Benjamin Tissoires) [2070873] - HID: holtek: fix mouse probing (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on Asus UX550VE (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: ipc: only enable IRQ wakeup when requested (Benjamin Tissoires) [2070873] - HID: google: add eel USB id (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-prodikeys (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy to hid-chicony (Benjamin Tissoires) [2070873] - HID: bigbenff: prevent null pointer dereference (Benjamin Tissoires) [2070873] - HID: sony: fix error path in probe (Benjamin Tissoires) [2070873] - HID: add USB_HID dependancy on some USB HID drivers (Benjamin Tissoires) [2070873] - HID: check for valid USB device for many HID drivers (Benjamin Tissoires) [2070873] - HID: wacom: fix problems when device is not a valid USB device (Benjamin Tissoires) [2070873] - HID: add hid_is_usb() function to make it simpler for USB detection (Benjamin Tissoires) [2070873] - HID: quirks: Add quirk for the Microsoft Surface 3 type-cover (Benjamin Tissoires) [2070873] - HID: multitouch: Fix Iiyama ProLite T1931SAW (0eef:0001 again!) (Benjamin Tissoires) [2070873] - HID: nintendo: eliminate dead datastructures in !CONFIG_NINTENDO_FF case (Benjamin Tissoires) [2070873] - HID: magicmouse: prevent division by 0 on scroll (Benjamin Tissoires) [2070873] - HID: thrustmaster: fix sparse warnings (Benjamin Tissoires) [2070873] - HID: Ignore battery for Elan touchscreen on HP Envy X360 15-eu0xxx (Benjamin Tissoires) [2070873] - HID: input: set usage type to key on keycode remap (Benjamin Tissoires) [2070873] - HID: input: Fix parsing of HID_CP_CONSUMER_CONTROL fields (Benjamin Tissoires) [2070873] - HID: ft260: fix i2c probing for hwmon devices (Benjamin Tissoires) [2070873] - Revert "HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK" (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fix module device-id handling (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: hid-client: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: fw-loader: only load for matching devices (Benjamin Tissoires) [2070873] - HID: intel-ish-hid: use constants for modaliases (Benjamin Tissoires) [2070873] - HID: wacom: Use "Confidence" flag to prevent reporting invalid contacts (Benjamin Tissoires) [2070873] - HID: nintendo: unlock on error in joycon_leds_create() (Benjamin Tissoires) [2070873] - HID: nintendo: fix -Werror build (Benjamin Tissoires) [2070873] - HID: playstation: require multicolor LED functionality (Benjamin Tissoires) [2070873] - HID: u2fzero: properly handle timeouts in usb_submit_urb (Benjamin Tissoires) [2070873] - HID: u2fzero: clarify error check and length calculations (Benjamin Tissoires) [2070873] - HID: u2fzero: Support NitroKey U2F revision of the device (Benjamin Tissoires) [2070873] - HID: wacom: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: wacom: Shrink critical section in `wacom_add_shared_data` (Benjamin Tissoires) [2070873] - HID: nintendo: prevent needless queueing of the rumble worker (Benjamin Tissoires) [2070873] - HID: nintendo: ratelimit subcommands and rumble (Benjamin Tissoires) [2070873] - HID: nintendo: improve rumble performance and stability (Benjamin Tissoires) [2070873] - HID: nintendo: add IMU support (Benjamin Tissoires) [2070873] - HID: nintendo: add support for reading user calibration (Benjamin Tissoires) [2070873] - HID: nintendo: add support for charging grip (Benjamin Tissoires) [2070873] - HID: nintendo: set controller uniq to MAC (Benjamin Tissoires) [2070873] - HID: nintendo: reduce device removal subcommand errors (Benjamin Tissoires) [2070873] - HID: nintendo: patch hw version for userspace HID mappings (Benjamin Tissoires) [2070873] - HID: nintendo: send subcommands after receiving input report (Benjamin Tissoires) [2070873] - HID: nintendo: improve subcommand reliability (Benjamin Tissoires) [2070873] - HID: nintendo: add rumble support (Benjamin Tissoires) [2070873] - HID: nintendo: add home led support (Benjamin Tissoires) [2070873] - HID: nintendo: add power supply support (Benjamin Tissoires) [2070873] - HID: nintendo: add player led support (Benjamin Tissoires) [2070873] - HID: nintendo: add nintendo switch controller driver (Benjamin Tissoires) [2070873] - HID: playstation: fix return from dualsense_player_led_set_brightness() (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense player LEDs through LED class. (Benjamin Tissoires) [2070873] - leds: add new LED_FUNCTION_PLAYER for player LEDs for game controllers. (Benjamin Tissoires) [2070873] - Documentation: leds: standartizing LED names (Benjamin Tissoires) [2070873] - HID: playstation: expose DualSense lightbar through a multi-color LED. (Benjamin Tissoires) [2070873] - HID: surface-hid: Allow driver matching for target ID 1 devices (Benjamin Tissoires) [2070873] - HID: surface-hid: Use correct event registry for managing HID events (Benjamin Tissoires) [2070873] - HID: hid-asus.c: Maps key 0x35 (display off) to KEY_SCREENLOCK (Benjamin Tissoires) [2070873] - HID: apple: Bring back flag for Apple tilde key quirk (Benjamin Tissoires) [2070873] - HID: apple: Add support for the 2021 Magic Keyboard (Benjamin Tissoires) [2070873] - HID: apple: Rename MAGIC_KEYBOARD_ANSI to MAGIC_KEYBOARD_2015 (Benjamin Tissoires) [2070873] - HID: multitouch: disable sticky fingers for UPERFECT Y (Benjamin Tissoires) [2070873] - HID: cougar: Make use of the helper function devm_add_action_or_reset() (Benjamin Tissoires) [2070873] - HID: roccat: Use struct_group() to zero kone_mouse_event (Benjamin Tissoires) [2070873] - HID: cp2112: Use struct_group() for memcpy() region (Benjamin Tissoires) [2070873] - HID: Add support for side buttons of Xiaomi Mi Dual Mode Wireless Mouse Silent (Benjamin Tissoires) [2070873] - HID: hid-debug: clean up snprintf() checks in hid_resolv_usage() (Benjamin Tissoires) [2070873] - HID: apple: Eliminate obsolete IR receiver quirks (Benjamin Tissoires) [2070873] - HID: core: add TransducerSerialNumber2 (Benjamin Tissoires) [2070873] - HID: u2fzero: ignore incomplete packets without data (Benjamin Tissoires) [2070873] - HID: wacom: Add new Intuos BT (CTL-4100WL/CTL-6100WL) device IDs (Benjamin Tissoires) [2070873] - HID: apple: Fix logical maximum and usage maximum of Magic Keyboard JIS (Benjamin Tissoires) [2070873] - HID: betop: fix slab-out-of-bounds Write in betop_probe (Benjamin Tissoires) [2070873] - HID: usbhid: Simplify code in hid_submit_ctrl() (Benjamin Tissoires) [2070873] - HID: usbhid: Fix warning caused by 0-length input reports (Benjamin Tissoires) [2070873] - HID: usbhid: Fix flood of "control queue full" messages (Benjamin Tissoires) [2070873] - HID: sony: Fix more ShanWan clone gamepads to not rumble when plugged in. (Benjamin Tissoires) [2070873] - HID: sony: support for the ghlive ps4 dongles (Benjamin Tissoires) [2070873] - HID: thrustmaster: clean up Makefile and adapt quirks (Benjamin Tissoires) [2070873] - HID: i2c-hid: Fix Elan touchpad regression (Benjamin Tissoires) [2070873] - HID: asus: Prevent Claymore sending suspend event (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: battery: provide CAPACITY property for newer devices (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in thrustmaster_interrupts() (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leak in remove (Benjamin Tissoires) [2070873] - HID: thrustmaster: Fix memory leaks in probe (Benjamin Tissoires) [2070873] - HID: elo: update the reference count of the usb device structure (Benjamin Tissoires) [2070873] - HID: logitech-hidpp: Use 'atomic_inc_return' instead of hand-writing it (Benjamin Tissoires) [2070873] - HID: apple: Add missing scan code event for keys handled by hid-apple (Benjamin Tissoires) [2070873] - HID: cmedia: add support for HS-100B mute button (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Use the devm variant of regulator_register_notifier() (Benjamin Tissoires) [2070873] - HID: wacom: Refactor touch input mute checks into a common function (Benjamin Tissoires) [2070873] - HID: wacom: Avoid sending empty sync events (Benjamin Tissoires) [2070873] - HID: wacom: Short-circuit processing of touch when it is disabled (Benjamin Tissoires) [2070873] - HID: wacom: set initial hardware touch switch state to 'off' (Benjamin Tissoires) [2070873] - HID: usbhid: free raw_report buffers in usbhid_stop (Benjamin Tissoires) [2070873] - HID: i2c-hid: goodix: Tie the reset line to true state of the regulator (Benjamin Tissoires) [2070873] - HID: magicmouse: high-resolution scroll threshold (Benjamin Tissoires) [2070873] - HID: magicmouse: enable high-resolution scroll (Benjamin Tissoires) [2070873] - HID: input: do not report stylus battery state as "full" (Benjamin Tissoires) [2070873] - HID: amd_sfh: Modify the hid name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Modify the bus name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for sensor discovery (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Remove useless DMA-32 fallback configuration (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add interrupt handler to process interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add functionality to clear interrupts (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Disable the interrupt for all command (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Correct the structure field name (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Handle amd_sfh work buffer in PM ops (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add illuminance mask to limit ALS max value (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Increase sensor command timeout (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Update Copyright details (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dev_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Use dma_set_mask_and_coherent() (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: switch from 'pci_' to 'dma_' API (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix potential NULL pointer dereference (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add dyndbg prints for debugging (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add support for PM suspend and resume (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Move hid probe after sensor is enabled (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Add command response to check command status (Benjamin Tissoires) [2054930 2070873] - HID: amd_sfh: Fix period data field to enable sensor (Benjamin Tissoires) [2054930 2070873] Resolves: rhbz#2089080, rhbz#2112338, rhbz#2105609, rhbz#2068582, rhbz#2070873, rhbz#2054930 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-08-04 16:04:36 +00:00
CONFIG_HID_XIAOMI=m
# CONFIG_HID_XINMO is not set
CONFIG_HID=y
CONFIG_HID_ZEROPLUS=m
CONFIG_HID_ZYDACRON=m
CONFIG_HIGH_RES_TIMERS=y
CONFIG_HINIC=m
# CONFIG_HIP04_ETH is not set
# CONFIG_HIPPI is not set
# CONFIG_HISI_DMA is not set
# CONFIG_HISI_FEMAC is not set
# CONFIG_HISI_HIKEY_USB is not set
CONFIG_HISILICON_ERRATUM_161010101=y
CONFIG_HISILICON_ERRATUM_161600802=y
CONFIG_HISILICON_LPC=y
CONFIG_HISI_PMU=y
# CONFIG_HIST_TRIGGERS_DEBUG is not set
CONFIG_HIST_TRIGGERS=y
# CONFIG_HIX5HD2_GMAC is not set
# CONFIG_HMC425 is not set
# CONFIG_HMC6352 is not set
CONFIG_HMM_MIRROR=y
# CONFIG_HNS3 is not set
# CONFIG_HOLTEK_FF is not set
CONFIG_HOTPLUG_CPU=y
CONFIG_HOTPLUG_PCI_ACPI_IBM=m
CONFIG_HOTPLUG_PCI_ACPI=y
# CONFIG_HOTPLUG_PCI_CPCI is not set
# CONFIG_HOTPLUG_PCI_PCIE is not set
CONFIG_HOTPLUG_PCI_POWERNV=y
CONFIG_HOTPLUG_PCI_RPA_DLPAR=m
CONFIG_HOTPLUG_PCI_RPA=m
CONFIG_HOTPLUG_PCI_SHPC=y
CONFIG_HOTPLUG_PCI=y
# CONFIG_HP03 is not set
# CONFIG_HP206C is not set
# CONFIG_HPFS_FS is not set
# CONFIG_HP_ILO is not set
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
# CONFIG_HSA_AMD_P2P is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
CONFIG_HSA_AMD_SVM=y
CONFIG_HSA_AMD=y
# CONFIG_HSI is not set
kernel-5.14.0-354.el9 * Thu Aug 10 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-354.el9] - phy: qcom-snps-femto-v2: use qcom_snps_hsphy_suspend/resume error code (Adrien Thierry) [RHEL-1019] - phy: qcom-snps-femto-v2: properly enable ref clock (Adrien Thierry) [RHEL-1019] - phy: qcom-snps-femto-v2: keep cfg_ahb_clk enabled during runtime suspend (Adrien Thierry) [RHEL-1019] - fs: do not update freeing inode i_io_list (Carlos Maiolino) [2228888] - fs: record I_DIRTY_TIME even if inode already has I_DIRTY_INODE (Carlos Maiolino) [2228888] - writeback: Avoid skipping inode writeback (Carlos Maiolino) [2228888] - netfilter: nf_tables: Introduce NFT_MSG_GETSETELEM_RESET (Phil Sutter) [1925492] - netfilter: nf_tables: fix wrong pointer passed to PTR_ERR() (Phil Sutter) [1925492] - netfilter: nf_tables: add support to destroy operation (Phil Sutter) [1925492] - netfilter: nf_tables: set element extended ACK reporting support (Phil Sutter) [1925492] - netfilter: nf_tables: Introduce NFT_MSG_GETRULE_RESET (Phil Sutter) [1925492] - netfilter: nf_tables: Extend nft_expr_ops::dump callback parameters (Phil Sutter) [1925492] - redhat: configs: generic: Enabel NVIDIA_WMI_EC_BACKLIGHT support (Kate Hsuan) [2185526 2221211] - Add acpi video to the filter_modules.sh for rhel (Kate Hsuan) [2185526 2221211] - ACPI: video: Allow selecting NVidia-WMI-EC or Apple GMUX backlight from the cmdline (Kate Hsuan) [2185526 2221211] - platform/x86: nvidia-wmi-ec-backlight: Add force module parameter (Kate Hsuan) [2185526 2221211] - platform/x86: nvidia-wmi-ec-backlight: Use acpi_video_get_backlight_type() (Kate Hsuan) [2185526 2221211] - ACPI: video: Add Nvidia WMI EC brightness control detection (v3) (Kate Hsuan) [2185526 2221211] - platform/x86: nvidia-wmi-ec-backlight: Move fw interface definitions to a header (v2) (Kate Hsuan) [2185526 2221211] - platform/x86: Rename wmaa-backlight-wmi to nvidia-wmi-ec-backlight (Kate Hsuan) [2185526 2221211] - platform/x86: Remove "WMAA" from identifier names in wmaa-backlight-wmi.c (Kate Hsuan) [2185526 2221211] - platform/x86: Add driver for ACPI WMAA EC-based backlight control (Kate Hsuan) [2185526 2221211] - mm/hugetlb_vmemmap: rename ARCH_WANT_HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Jeff Moyer) [2217652] - mm/vmemmap/devdax: fix kernel crash when probing devdax devices (Jeff Moyer) [2217652] - hsr: ratelimit only when errors are printed (Felix Maurer) [2177256] - net: hsr: Don't log netdev_err message on unknown prp dst node (Felix Maurer) [2177256] - selftests: Add a basic HSR test. (Felix Maurer) [2177256] - hsr: Use a single struct for self_node. (Felix Maurer) [2177256] - hsr: Synchronize sequence number updates. (Felix Maurer) [2177256] - hsr: Synchronize sending frames to have always incremented outgoing seq nr. (Felix Maurer) [2177256] - hsr: Disable netpoll. (Felix Maurer) [2177256] - hsr: Avoid double remove of a node. (Felix Maurer) [2177256] - hsr: Add a rcu-read lock to hsr_forward_skb(). (Felix Maurer) [2177256] - Revert "net: hsr: use hlist_head instead of list_head for mac addresses" (Felix Maurer) [2177256] - net: hsr: Fix potential use-after-free (Felix Maurer) [2177256] - net: hsr: avoid possible NULL deref in skb_clone() (Felix Maurer) [2177256] - flow_dissector: Add support for HSRv0 (Felix Maurer) [2177256] - flow_dissector: Add support for HSR (Felix Maurer) [2177256] - net: hsr: fix hsr build error when lockdep is not enabled (Felix Maurer) [2177256] - net: hsr: fix suspicious RCU usage warning in hsr_node_get_first() (Felix Maurer) [2177256] - net: hsr: use hlist_head instead of list_head for mac addresses (Felix Maurer) [2177256] - hsr: remove get_prp_lan_id() (Felix Maurer) [2177256] - net: hsr: Add support for redbox supervision frames (Felix Maurer) [2177256] - redhat: Enable HSR and PRP (Felix Maurer) [2177256] Resolves: rhbz#1925492, rhbz#2177256, rhbz#2185526, rhbz#2217652, rhbz#2221211, rhbz#2228888, RHEL-1019 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-08-10 17:28:03 +00:00
CONFIG_HSR=m
# CONFIG_HTC_I2CPLD is not set
# CONFIG_HTC_PASIC3 is not set
kernel-5.14.0-163.el9 * Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9] - x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362] - rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827] - s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827] - s390: make command line configurable (Mete Durlu) [2060827] - s390: support command lines longer than 896 bytes (Mete Durlu) [2060827] - s390/kexec_file: move kernel image size check (Mete Durlu) [2060827] - s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827] - rhel: set previously unused GPIO config items (Al Stone) [2071835] - gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835] - gpio: sim: check the label length when setting up device properties (Al Stone) [2071835] - gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835] - gpio: sim: fix a typo (Al Stone) [2071835] - gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835] - gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835] - gpio: sim: fix the chip_name configfs item (Al Stone) [2071835] - gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835] - gpio: virtio: Add IRQ support (Al Stone) [2071835] - gpio: virtio: remove timeout (Al Stone) [2071835] - gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835] - gpio: mxs: Fix header comment (Al Stone) [2071835] - gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835] - gpio: grgpio: Fix device removing (Al Stone) [2071835] - gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835] - gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835] - gpio: sch: make irq_chip immutable (Al Stone) [2071835] - gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835] - gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpio: tegra186: Add HTE support (Al Stone) [2071835] - gpiolib: Add HTE support (Al Stone) [2071835] - hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835] - hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835] - hte: Add Tegra HTE test driver (Al Stone) [2071835] - hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835] - gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835] - gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835] - gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835] - gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835] - gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835] - gpio: pl061: Make the irqchip immutable (Al Stone) [2071835] - gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835] - gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835] - gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835] - gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835] - gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835] - gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835] - gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835] - gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835] - gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835] - gpiolib: Move error message out of a spinlock (Al Stone) [2071835] - gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835] - gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835] - gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835] - gpiolib: acpi: use correct format characters (Al Stone) [2071835] - gpiolib: Get rid of redundant 'else' (Al Stone) [2071835] - gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835] - gpio: Properly document parent data union (Al Stone) [2071835] - gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835] - gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835] - gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835] - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835] - gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835] - gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835] - gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: make struct comments into real kernel docs (Al Stone) [2071835] - gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835] - gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835] - gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835] - gpiolib: Never return internal error codes to user space (Al Stone) [2071835] - gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835] - gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835] - gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835] - gpio: Remove unused local OF node pointers (Al Stone) [2071835] - gpio: Propagate firmware node from a parent device (Al Stone) [2071835] - gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835] - gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835] - gpiolib: allow line names from device props to override driver names (Al Stone) [2071835] - gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835] - gpio: sim: new testing module (Al Stone) [2071835] - gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835] - gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835] - gpio: sch: fix typo in a comment (Al Stone) [2071835] - gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835] - gpiolib: improve coding style for local variables (Al Stone) [2071835] - gpio: aggregator: Add interrupt support (Al Stone) [2071835] - gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835] - gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835] - gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835] - gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835] - gpio: bd70528 Drop BD70528 support (Al Stone) [2071835] - gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835] - gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835] - gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835] - gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835] - gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835] - gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835] - gpio: virtio: Fix sparse warnings (Al Stone) [2071835] - gpio: clean up Kconfig file (Al Stone) [2071835] - gpio: Allow per-parent interrupt data (Al Stone) [2071835] - gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835] - gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835] - gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835] - gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835] - gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835] - gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835] - gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835] - gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835] - gpio: Add virtio-gpio driver (Al Stone) [2071835] - gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835] - gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835] - gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835] - gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835] - gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835] - gpio: tegra186: Add ACPI support (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835] - dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835] - gpiolib: of: constify few local device_node variables (Al Stone) [2071835] - gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835] - devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713] - netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713] - netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713] - devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713] - bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713] - devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713] - devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713] - eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713] - eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713] - eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713] - devlink: expose instance locking and add locked port registering (Petr Oros) [2101713] - mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713] - vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809] - vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809] - intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036] - intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036] - rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830] - soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830] - fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830] - rhel: Enable EFI DXE memory attributes (Al Stone) [2071830] - rhel: Enable EFI COCO secret (Al Stone) [2071830] - firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830] - firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830] - efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830] - powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830] - firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830] - firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830] - video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830] - efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830] - efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830] - edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830] - firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830] - printk: stop including cache.h from printk.h (Al Stone) [2071830] - efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830] - efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830] - efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830] - efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830] - efi: libstub: declare DXE services table (Al Stone) [2071830] - firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830] - firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830] - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830] - firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830] - firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830] - firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830] - firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830] - firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830] - firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830] - firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830] - firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830] - firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830] - firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830] - firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830] - firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830] - firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830] - firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830] - efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830] - efi: Save location of EFI confidential computing area (Al Stone) [2071830] - efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830] - efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830] - EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830] - efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830] - firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830] - firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830] - firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830] - firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830] - rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830] - firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830] - pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830] - efi/mokvar: move up init order (Al Stone) [2071830] - sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830] - sysfb: Make config option dependencies explicit (Al Stone) [2071830] - efi: fix return value of __setup handlers (Al Stone) [2071830] - efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830] - firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830] - firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830] - firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830] - firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830] - firmware: imx: add get resource owner api (Al Stone) [2071830] - firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830] - firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830] - drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830] - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830] - efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830] - virtio: wrap config->reset calls (Al Stone) [2071830] - efi: use default_groups in kobj_type (Al Stone) [2071830] - efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830] - efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830] - drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830] - firmware: edd: remove empty default_attrs array (Al Stone) [2071830] - firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830] - qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830] - firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830] - firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830] - firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830] - firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830] - firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830] - firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830] - firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830] - firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830] - include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830] - firmware: arm_scmi: Refactor message response path (Al Stone) [2071830] - firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830] - firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830] - firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830] - firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830] - firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830] - efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830] - efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830] - firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830] - firmware: arm_scmi: Add optee transport (Al Stone) [2071830] - firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830] - firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830] - firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830] - firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830] - exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830] - firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830] - firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830] - firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830] - firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830] - firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830] - firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830] - efi/cper: use stack buffer for error record decoding (Al Stone) [2071830] - efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830] - firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830] - firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830] - firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830] - firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830] - firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830] - efi: cper: check section header more appropriately (Al Stone) [2071830] - efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830] - rhel: Enable Simple FrameBuffer support (Al Stone) [2071830] - rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830] - firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830] - firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830] - firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830] - firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830] - firmware: arm_scmi: Add virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830] - firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830] - firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830] - firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830] - firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830] - firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830] - firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830] - firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830] - firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830] - firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830] - firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830] - firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830] - firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830] - efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830] - drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830] - drivers: firmware: Add PDI load API support (Al Stone) [2071830] - drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830] - drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830] - bus: Make remove callback return void (Al Stone) [2071830] - s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830] - PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830] - s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830] - s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830] - redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata) - redhat: add missing CVE reference to latest changelog entries (Patrick Talbert) Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 10:37:47 +00:00
# CONFIG_HTE is not set
# CONFIG_HTE_TEGRA194 is not set
# CONFIG_HTE_TEGRA194_TEST is not set
# CONFIG_HTS221 is not set
# CONFIG_HTU21 is not set
# CONFIG_HUAWEI_WMI is not set
CONFIG_HUGETLBFS=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON is not set
kernel-5.14.0-179.el9 * Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9] - dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028] - dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028] - dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028] - MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028] - dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028] - dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028] - dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028] - dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028] - dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028] - dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028] - dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028] - dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028] - dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028] - dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028] - dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028] - MAINTAINERS: update my email address (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028] - dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028] - dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028] - dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028] - dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028] - dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028] - dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028] - dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028] - dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028] - dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028] - Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028] - pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693] - gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693] - pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693] - ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693] - pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693] - nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526] - Enabling configs for AUTH support. (Gopal Tiwari) [2124526] - nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526] - nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526] - nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526] - nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526] - nvmet: fix a use-after-free (Gopal Tiwari) [2124526] - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526] - nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526] - nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526] - nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526] - nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526] - nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526] - nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526] - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526] - nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526] - nvme: refactor namespace probing (Gopal Tiwari) [2124526] - nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526] - nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526] - nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526] - nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526] - nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526] - nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526] - nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526] - nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526] - nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: don't always build constants.o (Gopal Tiwari) [2124526] - nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526] - nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526] - nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526] - nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526] - nvme-auth: fix off by one checks (Gopal Tiwari) [2124526] - nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526] - nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526] - nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526] - nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526] - nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526] - nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526] - nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526] - nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526] - nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526] - nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526] - nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_shash() (Gopal Tiwari) [2124526] - lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526] - nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526] - nvme: implement In-Band authentication (Gopal Tiwari) [2124526] - nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526] - nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526] - nvme: remove a double word in a comment (Gopal Tiwari) [2124526] - nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526] - nvme: remove unused timeout parameter (Gopal Tiwari) [2124526] - nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526] - nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526] - nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: fix block device naming collision (Gopal Tiwari) [2124526] - nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526] - nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526] - nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526] - nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526] - nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526] - nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526] - nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526] - block: simplify disk shutdown (Gopal Tiwari) [2124526] - nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526] - nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526] - nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526] - nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526] - nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526] - nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526] - nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526] - nvmet: fix typo in comment (Gopal Tiwari) [2124526] - nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526] - nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526] - nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526] - nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526] - nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526] - nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526] - nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526] - nvme: set dma alignment to dword (Gopal Tiwari) [2124526] - nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526] - nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526] - nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526] - nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526] - nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526] - nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526] - nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526] - nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526] - nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526] - nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526] - nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526] - nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526] - nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526] - nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526] - nvmet: don't fold lines (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526] - nvme-tcp: don't fold the line (Gopal Tiwari) [2124526] - nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526] - nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526] - nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526] - nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526] - nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526] - nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526] - nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526] - nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526] - nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526] - nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526] - nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526] - nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526] - nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526] - nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882} - watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882} - watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882} - cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632] - Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161] - net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161] - docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161] - net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161] - tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161] - net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161] - net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161] - net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161] - tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161] - net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161] - net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161] - net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161] - net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161] - tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161] - tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161] - tcp: get rid of rst_seq_match (Antoine Tenart) [2059161] - tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161] - tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161] - ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161] - net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161] - net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161] - net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161] - skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161] - net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161] - net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161] - net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161] - net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161] - net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161] - skb: make drop reason booleanable (Antoine Tenart) [2059161] - net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161] - net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161] - net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161] - net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161] - net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161] - net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161] - net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161] - net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161] - ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161] - net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161] - net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161] - net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161] - arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054] - irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140] - add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352] - mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352] - mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352] - mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352] - mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352] - mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352] - powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352] - powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352] - s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352] - arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352] - mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352] - mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352] - mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352] - mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352] - mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352] - mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352] - mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352] - mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352] - mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352] - mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352] - s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352] - compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352] - config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352] - config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352] - config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352] - config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352] - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352] - mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352] - mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352] - userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352] - mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352] - mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352] - kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352] - mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352] - mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352] - include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352] - video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352] - ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352] - mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352] - mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352] - mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352] - mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352] - mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352] - mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352] - mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352] - fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352] - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352] - fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352] - mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352] - mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352] - mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352] - mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352] - kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352] - no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352] - kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352] - page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352] - mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352] - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352] - memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352] - mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352] - vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352] - mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352] - mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352] - mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352] - mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352] - mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352] - kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352] - mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352] - mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352] - s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352] - mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352] - mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352] - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352] - highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352] - mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352] - mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352] - fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352] - mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352] - mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352] - Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352] - mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352] - mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352] - mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352] - mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352] - mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352] - Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352] - ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352] - fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352] - readahead: Update comments (Chris von Recklinghausen) [2120352] - mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352] - mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352] - fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352] - readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352] - mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352] - mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352] - s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352] - mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352] - mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352] - mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352] - mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352] - mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352] - mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352] - kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352] - kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352] - kasan: reorder reporting functions (Chris von Recklinghausen) [2120352] - kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352] - kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352] - kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352] - kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352] - kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352] - kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352] - kasan: restructure kasan_report (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352] - kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352] - kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352] - kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352] - kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352] - kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352] - kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: more line breaks in reports (Chris von Recklinghausen) [2120352] - kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352] - kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352] - kasan: update function name in comments (Chris von Recklinghausen) [2120352] - mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352] - kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352] - kasan: documentation updates (Chris von Recklinghausen) [2120352] - arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352] - kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352] - kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352] - kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352] - kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352] - kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352] - kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352] - kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352] - kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352] - kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352] - mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352] - kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352] - kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352] - kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352] - mm: unexport page_init_poison (Chris von Recklinghausen) [2120352] - kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352] - mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352] - Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352] - selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352] - mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352] - mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352] - mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352] - Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352] - Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352] - Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352] - mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352] - mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352] - mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352] - mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352] - mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352] - mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352] - mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352] - kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352] - mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352] - mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352] - mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352] - mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352] - mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352] - mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352] - mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352] - mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352] - mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352] - mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352] - mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352] - memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352] - NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352] - NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352] - mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352] - mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352] - mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352] - mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352] - mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352] - mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352] - mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352] - mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352] - userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352] - mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352] - mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352] - mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352] - mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352] - mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352] - mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352] - mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352] - mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352] - mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352] - mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352] - mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352] - mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352] - mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352] - mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352] - mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352] - mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352] - mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352] - mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352] - mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352] - mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352] - mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352] - mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352] - memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352] - memcg: unify force charging conditions (Chris von Recklinghausen) [2120352] - memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352] - mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352] - memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352] - mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - tmpfs: support for file creation time (Chris von Recklinghausen) [2120352] - mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352] - mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352] - mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352] - mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352] - filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352] - mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352] - remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352] - remove inode_congested() (Chris von Recklinghausen) [2120352] - mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352] - mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352] - mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352] - mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352] - mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352] - mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352] - fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352] - fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352] - afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352] - fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352] - fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352] - fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352] - resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352] - resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352] - signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352] - task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352] - task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352] - task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352] - task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352] - ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352] - ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352] - ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352] - ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352] - mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352] - s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352] - mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352] - mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352] - mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352] - mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352] - memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352] - mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352] - mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352] - mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352] - mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352] - mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352] - mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352] - fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352] - mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352] - mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352] - mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352] - s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352] - s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352] - s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352] - s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352] - mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352] - usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352] - Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352] - uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352] - uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352] - arm64: simplify access_ok() (Chris von Recklinghausen) [2120352] - uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352] - x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352] - x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352] - mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352] - mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352] - tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352] - memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352] - memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352] - mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352] - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352] - ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352] - mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352] - mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352] - Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352] - mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352] - memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352] - fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352] - fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352] - selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352] - mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352] - frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352] - mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352] - mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352] - frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352] - mm: remove cleancache (Chris von Recklinghausen) [2120352] - lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352] - sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352] - delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352] - delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352] - mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352] - mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352] - bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352] - mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352] - find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352] - include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352] - lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352] - arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352] - include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352] - bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352] - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352] - mm: fix some comment errors (Chris von Recklinghausen) [2120352] - zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352] - mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352] - mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352] - mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352] - mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352] - mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352] - mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352] - mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352] - mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352] - mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352] - mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352] - mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352] - mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352] - mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352] - mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352] - vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352] - mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352] - mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352] - mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352] - mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352] - mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352] - mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352] - mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352] - mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352] - mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352] - mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352] - mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352] - mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352] - mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352] - mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352] - mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352] - mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352] - shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352] - mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352] - mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352] - gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352] - mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352] - mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352] - mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352] - mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352] - mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352] - kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352] - mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352] - virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - fscache: Rewrite documentation (Chris von Recklinghausen) [2120352] - ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352] - ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352] - taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352] - exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352] - exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352] - exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352] - exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352] - exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352] - signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352] - signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352] - signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352] - coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352] - signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352] - signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352] - signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352] - signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352] - exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352] - h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352] - kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352] - mm: Remove slab from struct page (Chris von Recklinghausen) [2120352] - mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352] - bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352] - mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352] - mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352] - mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352] - mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352] - mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352] - mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352] - mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352] - mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352] - mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352] - mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352] - mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352] - mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352] - mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352] - kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352] - kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352] - fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352] - fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352] - iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352] - objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352] - exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352] - kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352] - exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352] - exit: Implement kthread_exit (Chris von Recklinghausen) [2120352] - exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352] - exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352] - exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352] - exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352] - exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352] - mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352] - selftests/damon: split test cases (Chris von Recklinghausen) [2120352] - selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352] - selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352] - mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352] - percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352] - memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352] - powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352] - s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352] - hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352] - kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352] - hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352] - mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352] - mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352] - mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352] - signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352] - signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352] - signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352] - signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352] - mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352] - mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352] - mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352] - mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352] - vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352] - selftests/damon: support watermarks (Chris von Recklinghausen) [2120352] - tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352] - mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352] - selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352] - include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352] - include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352] - mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352] - mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352] - mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352] - mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352] - mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352] - mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352] - mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352] - mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352] - mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352] - mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352] - mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352] - mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352] - mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352] - mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352] - mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352] - mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352] - hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352] - mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352] - mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352] - mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352] - mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352] - mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352] - mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352] - mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352] - mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352] - mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352] - mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352] - mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352] - mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352] - memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352] - mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352] - mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352] - mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352] - mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352] - mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352] - fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352] - mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352] - mm: export bdi_unregister (Chris von Recklinghausen) [2120352] - mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352] - mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352] - mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352] - mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352] - mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352] - signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352] - signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352] - signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352] - exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352] - signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352] - signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352] - ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352] - MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352] - signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352] - signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352] - docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352] - mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352] - mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352] - pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352] - mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352] - s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352] - coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352] - kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352] - kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352] - coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352] - exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352] - exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352] - ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352] - signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352] - scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352] - entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352] - mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352] - s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352] - io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352] - io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352] - io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352] - io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352] - io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352] - io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352] - io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352] - io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352] - io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352] - signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352] - s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352] - mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352] - io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352] - io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352] - io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352] - io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352] - fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352] - fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352] - kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352] - asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352] - asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352] - memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352] - Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352] - x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352] - mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352] - arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352] - powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352] - powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352] - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352] - powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352] - s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352] - s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352] - mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352] - x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352] - powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352] - powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352] - powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352] - powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352] - powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352] - arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352] - arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352] - mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352] - mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352] - x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352] - powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352] - powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352] - powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352] - powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352] - powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352] - powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352] - mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352] - mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352] - mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352] - arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352] - arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352] - x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352] - mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352] - hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352] - hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352] - x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352] - arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352] - hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352] - x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352] - x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352] - Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352] - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352] - x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352] - x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352] - arm64: fix typos in comments (Chris von Recklinghausen) [2120352] - powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352] - mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352] - mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352] - hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352] - mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352] - mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352] - mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352] - arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352] - mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352] - x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352] - x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352] - powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352] - s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352] - s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352] - s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352] - s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352] - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352] - powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352] - hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352] - x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352] - powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352] - powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352] - powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352] - powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352] - s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352] - s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352] - s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352] - mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352] - mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352] - powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352] - ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352] - docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352] - powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352] - mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352] - x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352] - mm: page table check (Chris von Recklinghausen) [2120352] - mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352] - mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352] - mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352] - powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352] - x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352] - s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352] - powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352] - s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352] - hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352] - s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352] - s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352] - s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352] - s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352] - s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352] - powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352] - powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352] - powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352] - powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352] - powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352] - powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352] - powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352] - powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352] - powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352] - powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352] - powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352] - powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352] - powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352] - x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352] - x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352] - powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352] - powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352] - powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352] - powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352] - powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352] - powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352] - powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352] - powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352] - powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352] - powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352] - powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352] - powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352] - Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352] - powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352] - s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352] - powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352] - powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352] - kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352] - arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352] - hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352] - memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352] - hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352] - hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352] - hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352] - mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352] - hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352] - s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352] - mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352] - powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352] - powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352] - powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352] - s390: make command line configurable (Chris von Recklinghausen) [2120352] - s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352] - s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352] - s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352] - s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352] - s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352] - s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352] - s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352] - s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352] - s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352] - powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352] - reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352] - exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352] - exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352] - powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352] - s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352] - powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352] - s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352] - s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352] - x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352] - x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352] - x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352] - x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352] - powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352] - powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352] - powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352] - powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352] - powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352] - powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352] - powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352] - powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352] - s390: fix typo in linker script (Chris von Recklinghausen) [2120352] - s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352] - s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352] - powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352] - powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352] - s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352] - s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352] - powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352] - powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352] - s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352] - s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352] - s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352] - s390: add support for KFENCE (Chris von Recklinghausen) [2120352] - s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352] - s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352] - s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352] - s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352] - s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352] - s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352] - s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352] - s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352] - s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352] - s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352] - s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352] - s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352] - s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352] - s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352] - s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352] - s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352] - s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352] - s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352] - powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352] - Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352] - Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352] - Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352] - Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352] - Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352] - Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352] - Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352] - selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352] - selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352] - selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352] - mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352] - mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352] - mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352] Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 19:28:31 +00:00
# CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON is not set
CONFIG_HVC_CONSOLE=y
# CONFIG_HVC_OLD_HVSI is not set
CONFIG_HVC_OPAL=y
CONFIG_HVC_RTAS=y
CONFIG_HVCS=m
# CONFIG_HVC_UDBG is not set
CONFIG_HV_PERF_CTRS=y
CONFIG_HW_CONSOLE=y
CONFIG_HWLAT_TRACER=y
# CONFIG_HWMON_DEBUG_CHIP is not set
CONFIG_HWMON=y
CONFIG_HWPOISON_INJECT=m
# CONFIG_HW_RANDOM_BA431 is not set
# CONFIG_HW_RANDOM_CCTRNG is not set
CONFIG_HW_RANDOM_HISI=y
CONFIG_HW_RANDOM_POWERNV=m
CONFIG_HW_RANDOM_PSERIES=m
CONFIG_HW_RANDOM_TIMERIOMEM=m
CONFIG_HW_RANDOM_TPM=y
CONFIG_HW_RANDOM_VIRTIO=y
# CONFIG_HW_RANDOM_XIPHERA is not set
CONFIG_HW_RANDOM=y
CONFIG_HWSPINLOCK=y
# CONFIG_HX711 is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_HYPERV_BALLOON=m
CONFIG_HYPERV_IOMMU=y
CONFIG_HYPERV_KEYBOARD=m
CONFIG_HYPERV=m
CONFIG_HYPERV_NET=m
CONFIG_HYPERV_STORAGE=m
# CONFIG_HYPERV_TESTING is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_HYPERV_UTILS=m
CONFIG_HYPERV_VSOCKETS=m
# CONFIG_HZ_1000 is not set
CONFIG_HZ_100=y
# CONFIG_HZ_250 is not set
# CONFIG_HZ_300 is not set
# CONFIG_HZ_PERIODIC is not set
CONFIG_I2C_ALGOBIT=m
CONFIG_I2C_ALGOPCA=m
CONFIG_I2C_ALGOPCF=m
# CONFIG_I2C_ALI1535 is not set
# CONFIG_I2C_ALI1563 is not set
# CONFIG_I2C_ALI15X3 is not set
# CONFIG_I2C_AMD756 is not set
# CONFIG_I2C_AMD756_S4882 is not set
# CONFIG_I2C_AMD8111 is not set
# CONFIG_I2C_AMD_MP2 is not set
# CONFIG_I2C_ARB_GPIO_CHALLENGE is not set
# CONFIG_I2C_CADENCE is not set
# CONFIG_I2C_CBUS_GPIO is not set
CONFIG_I2C_CHARDEV=m
CONFIG_I2C_COMPAT=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_I2C_CP2615 is not set
# CONFIG_I2C_DEBUG_ALGO is not set
# CONFIG_I2C_DEBUG_BUS is not set
# CONFIG_I2C_DEBUG_CORE is not set
# CONFIG_I2C_DEMUX_PINCTRL is not set
# CONFIG_I2C_DESIGNWARE_PCI is not set
CONFIG_I2C_DESIGNWARE_PLATFORM=m
# CONFIG_I2C_DESIGNWARE_SLAVE is not set
CONFIG_I2C_DIOLAN_U2C=m
# CONFIG_I2C_EMEV2 is not set
CONFIG_I2C_GPIO_FAULT_INJECTOR=y
# CONFIG_I2C_GPIO is not set
CONFIG_I2C_HELPER_AUTO=y
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_I2C_HID_ACPI=m
kernel-5.14.0-258.el9 * Fri Feb 03 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-258.el9] - HID: amd_sfh: Fix warning unwind goto (Benjamin Tissoires) [2122844] - HID: revert CHERRY_MOUSE_000C quirk (Benjamin Tissoires) [2122844] - HID: i2c: let RMI devices decide what constitutes wakeup event (Benjamin Tissoires) [2122844] - HID: plantronics: Additional PIDs for double volume key presses quirk (Benjamin Tissoires) [2122844] - HID: multitouch: fix Asus ExpertBook P2 P2451FA trackpoint (Benjamin Tissoires) [2122844] - HID: mcp2221: don't connect hidraw (Benjamin Tissoires) [2122844] - HID: wacom: Ensure bootloader PID is usable in hidraw mode (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Guard FF init code against non-USB devices (Benjamin Tissoires) [2122844] - HID: input: do not query XP-PEN Deco LW battery (Benjamin Tissoires) [2122844] - HID: apple: enable APPLE_ISO_TILDE_QUIRK for the keyboards of Macs with the T2 chip (Benjamin Tissoires) [2122844] - HID: apple: fix key translations where multiple quirks attempt to translate the same key (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add missing check for dma_alloc_coherent (Benjamin Tissoires) [2122844] - HID: hid-sensor-custom: set fixed size for custom attributes (Benjamin Tissoires) [2122844] - Input: elants_i2c - properly handle the reset GPIO when power is off (Benjamin Tissoires) [2122844] - Input: i8042 - apply probe defer to more ASUS ZenBook models (Benjamin Tissoires) [2122844] - Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode (Benjamin Tissoires) [2122844] - Input: i8042 - fix leaking of platform device on module removal (Benjamin Tissoires) [2122844] - Input: document the units for resolution of size axes (Benjamin Tissoires) [2122844] - Input: synaptics - disable Intertouch for Lenovo T14 and P14s AMD G1 (Benjamin Tissoires) [2122844] - Input: deactivate MT slots when inhibiting or suspending devices (Benjamin Tissoires) [2122844] - Input: properly queue synthetic events (Benjamin Tissoires) [2122844] - Input: i8042 - add dritek quirk for Acer Aspire One AO532 (Benjamin Tissoires) [2122844] - Input: i8042 - add additional TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - add debug output for quirks (Benjamin Tissoires) [2122844] - Input: i8042 - merge quirk tables (Benjamin Tissoires) [2122844] - Input: i8042 - move __initconst to fix code styling warning (Benjamin Tissoires) [2122844] - Input: usbtouchscreen - add driver_info sanity check (Benjamin Tissoires) [2122844] - HID: ite: Enable QUIRK_TOUCHPAD_ON_OFF_REPORT on Acer Aspire Switch V 10 (Benjamin Tissoires) [2122844] - HID: core: fix shift-out-of-bounds in hid_report_raw_event (Benjamin Tissoires) [2122844] - HID: hid-lg4ff: Add check for empty lbuf (Benjamin Tissoires) [2122844] - HID: uclogic: Add HID_QUIRK_HIDINPUT_FORCE quirk (Benjamin Tissoires) [2122844] - HID: fix I2C_HID not selected when I2C_HID_OF_ELAN is (Benjamin Tissoires) [2122844] - HID: usbhid: Add ALWAYS_POLL quirk for some mice (Benjamin Tissoires) [2122844] - HID: uclogic: Fix frame templates for big endian architectures (Benjamin Tissoires) [2122844] - HID: wacom: Fix logic used for 3rd barrel switch emulation (Benjamin Tissoires) [2122844] - HID: saitek: add madcatz variant of MMO7 mouse device ID (Benjamin Tissoires) [2122844] - HID: magicmouse: Do not set BTN_MOUSE on double report (Benjamin Tissoires) [2122844] - HID: playstation: add initial DualSense Edge controller support (Benjamin Tissoires) [2122844] - HID: playstation: stop DualSense output work on remove. (Benjamin Tissoires) [2122844] - HID: nintendo: check analog user calibration for plausibility (Benjamin Tissoires) [2122844] - HID: roccat: Fix use-after-free in roccat_read() (Benjamin Tissoires) [2122844] - hid: topre: Add driver fixing report descriptor (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" for SFH1.1 (Benjamin Tissoires) [2122844] - HID: uclogic: Fix warning in uclogic_rdesc_template_apply (Benjamin Tissoires) [2122844] - HID: uclogic: Add missing suffix for digitalizers (Benjamin Tissoires) [2122844] - HID: multitouch: Add memory barriers (Benjamin Tissoires) [2122844] - HID: nintendo: fix rumble worker null pointer deref (Benjamin Tissoires) [2122844] - HID: input: fix uclogic tablets (Benjamin Tissoires) [2122844] - HID: Add Apple Touchbar on T2 Macs in hid_have_special_driver list (Benjamin Tissoires) [2122844] - HID: add Lenovo Yoga C630 battery quirk (Benjamin Tissoires) [2122844] - HID: AMD_SFH: Add a DMI quirk entry for Chromebooks (Benjamin Tissoires) [2122844] - HID: thrustmaster: Add sparco wheel and fix array length (Benjamin Tissoires) [2122844] - HID: asus: ROG NKey: Ignore portion of 0x5a report (Benjamin Tissoires) [2122844] - HID: hidraw: fix memory leak in hidraw_release() (Benjamin Tissoires) [2122844] - HID: steam: Prevent NULL pointer dereference in steam_{recv,send}_report (Benjamin Tissoires) [2122844] - HID: amd_sfh: Handle condition of "no sensors" (Benjamin Tissoires) [2122844] - HID: amd_sfh: Fix implicit declaration error on i386 (Benjamin Tissoires) [2122844] - HID: apple: Add "GANSS" to the non-Apple list (Benjamin Tissoires) [2122844] - HID: alps: Declare U1_UNICORN_LEGACY support (Benjamin Tissoires) [2122844] - HID: wacom: Force pen out of prox if no events have been received in a while (Benjamin Tissoires) [2122844] - HID: nintendo: Add missing array termination (Benjamin Tissoires) [2122844] - HID: lg-g15: Fix comment typo (Benjamin Tissoires) [2122844] - HID: amd_sfh: Implement SFH1.1 functionality (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move interrupt handling to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move amd_sfh_work to common interface (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move global functions to static (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add remove operation in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add PM operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add descriptor operations in amd_mp2_ops (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list variable to client data (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move request_list struct to header file (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move common macros and structures (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add NULL check for hid device (Benjamin Tissoires) [2122844] - HID: core: remove unneeded assignment in hid_process_report() (Benjamin Tissoires) [2122844] - HID: mcp2221: prevent a buffer overflow in mcp_smbus_write() (Benjamin Tissoires) [2122844] - HID: nintendo: Set phys property of input device based on HID phys (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for XP-PEN Deco L (Benjamin Tissoires) [2122844] - HID: uclogic: Allow to generate frame templates (Benjamin Tissoires) [2122844] - HID: uclogic: Add KUnit tests for uclogic_rdesc_template_apply() (Benjamin Tissoires) [2122844] - HID: uclogic: Make template placeholder IDs generic (Benjamin Tissoires) [2122844] - HID: surface-hid: Add support for hot-removal (Benjamin Tissoires) [2122844] - HID: multitouch: new device class fix Lenovo X12 trackpad sticky (Benjamin Tissoires) [2122844] - HID: cp2112: prevent a buffer overflow in cp2112_xfer() (Benjamin Tissoires) [2122844] - HID: hid-input: add Surface Go battery quirk (Benjamin Tissoires) [2122844] - HID: logitech-hidpp: Fix syntax errors in comments (Benjamin Tissoires) [2122844] - HID: uclogic: properly format kernel-doc comment for hid_dbg() wrappers (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on non-Apple keyboard (Benjamin Tissoires) [2122844] - HID: nintendo: fix unused const warning (Benjamin Tissoires) [2122844] - HID: i2c-hid: elan: Add support for Elan eKTH6915 i2c-hid touchscreens (Benjamin Tissoires) [2122844] - HID: amd_sfh: Don't show client init failed as error when discovery fails (Benjamin Tissoires) [2122844] - HID: wacom: Don't register pad_input for touch switch (Benjamin Tissoires) [2122844] - HID: wacom: Only report rotation for art pen (Benjamin Tissoires) [2122844] - HID: apple: Properly handle function keys on Keychron keyboards (Benjamin Tissoires) [2122844] - HID: uclogic: Switch to Digitizer usage for styluses (Benjamin Tissoires) [2122844] - HID: uclogic: Add pen support for XP-PEN Star 06 (Benjamin Tissoires) [2122844] - HID: uclogic: Differentiate touch ring and touch strip (Benjamin Tissoires) [2122844] - HID: uclogic: Always shift touch reports to zero (Benjamin Tissoires) [2122844] - HID: uclogic: Do not focus on touch ring only (Benjamin Tissoires) [2122844] - HID: uclogic: Return raw parameters from v2 pen init (Benjamin Tissoires) [2122844] - HID: uclogic: Move param printing to a function (Benjamin Tissoires) [2122844] - HID: core: Display "SENSOR HUB" for sensor hub bus string in hid_info (Benjamin Tissoires) [2122844] - HID: amd_sfh: Move bus declaration outside of amd-sfh (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add physical location to HID device (Benjamin Tissoires) [2122844] - HID: amd_sfh: Add sensor name by index for debug info (Benjamin Tissoires) [2122844] - Hid: wacom: Fix kernel test robot warning (Benjamin Tissoires) [2122844] - HID: uclogic: Disable pen usage for Huion keyboard interfaces (Benjamin Tissoires) [2122844] - HID: uclogic: Support disabling pen usage (Benjamin Tissoires) [2122844] - HID: uclogic: Pass keyboard reports as is (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify pen/frame desc_ptr description (Benjamin Tissoires) [2122844] - HID: uclogic: Clarify params desc_size description (Benjamin Tissoires) [2122844] - HID: Add support for Mega World controller force feedback (Benjamin Tissoires) [2122844] - HID: lenovo: Add note about different report numbers (Benjamin Tissoires) [2122844] - HID: lenovo: Sync Fn-lock state on button press for Compact and TrackPoint II keyboards (Benjamin Tissoires) [2122844] - HID: lenovo: Add support for ThinkPad TrackPoint Keyboard II (Benjamin Tissoires) [2122844] - HID: amd_sfh: change global variables to static (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion Q620M (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for bitmap dials (Benjamin Tissoires) [2122844] - HID: uclogic: Reduce indent for params format str/args (Benjamin Tissoires) [2122844] - HID: uclogic: Compress params format string (Benjamin Tissoires) [2122844] - HID: wacom: Correct power_supply type (Benjamin Tissoires) [2122844] - HID: wacom: Adding Support for new usages (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for Huion touch ring reports (Benjamin Tissoires) [2122844] - HID: uclogic: Allow three frame parameter sets (Benjamin Tissoires) [2122844] - HID: uclogic: Support custom device suffix for frames (Benjamin Tissoires) [2122844] - HID: uclogic: Add support for touch ring reports (Benjamin Tissoires) [2122844] Resolves: rhbz#2122844 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-03 20:30:24 +00:00
# CONFIG_I2C_HID_OF_ELAN is not set
# CONFIG_I2C_HID_OF_GOODIX is not set
# CONFIG_I2C_HID_OF is not set
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
CONFIG_I2C_HID=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_I2C_HISI is not set
# CONFIG_I2C_HIX5HD2 is not set
# CONFIG_I2C_I801 is not set
# CONFIG_I2C_ISCH is not set
CONFIG_I2C_MLXCPLD=m
CONFIG_I2C_MPC=m
# CONFIG_I2C_MUX_GPIO is not set
# CONFIG_I2C_MUX_GPMUX is not set
# CONFIG_I2C_MUX_LTC4306 is not set
CONFIG_I2C_MUX=m
CONFIG_I2C_MUX_MLXCPLD=m
# CONFIG_I2C_MUX_PCA9541 is not set
# CONFIG_I2C_MUX_PCA954x is not set
# CONFIG_I2C_MUX_REG is not set
CONFIG_I2C_NFORCE2=m
# CONFIG_I2C_NFORCE2_S4985 is not set
# CONFIG_I2C_NOMADIK is not set
# CONFIG_I2C_NVIDIA_GPU is not set
# CONFIG_I2C_OCORES is not set
CONFIG_I2C_OPAL=y
CONFIG_I2C_PCA_PLATFORM=m
# CONFIG_I2C_PIIX4 is not set
# CONFIG_I2C_QCOM_CCI is not set
# CONFIG_I2C_RK3X is not set
# CONFIG_I2C_ROBOTFUZZ_OSIF is not set
CONFIG_I2C_SIMTEC=m
# CONFIG_I2C_SIS5595 is not set
# CONFIG_I2C_SIS630 is not set
# CONFIG_I2C_SIS96X is not set
# CONFIG_I2C_SLAVE is not set
CONFIG_I2C_STUB=m
# CONFIG_I2C_TAOS_EVM is not set
CONFIG_I2C_TINY_USB=m
# CONFIG_I2C_VIA is not set
# CONFIG_I2C_VIAPRO is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_I2C_VIPERBOARD is not set
kernel-5.14.0-181.el9 * Wed Oct 26 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-181.el9] - perf test: Record only user callchains on the "Check Arm64 callgraphs are complete in fp mode" test (Michael Petlan) [2049125] - scsi: core: Allow the ALUA transitioning state enough time (Ewan D. Milne) [2132461] - scsi: ch: Do not initialise statics to 0 (Ewan D. Milne) [2132461] - scsi: core: Fix warning in scsi_alloc_sgtables() (Ewan D. Milne) [2132461] - scsi: sg: Allow waiting for commands to complete on removed device (Ewan D. Milne) [2132461] - scsi: core: Move the definition of SCSI_QUEUE_DELAY (Ewan D. Milne) [2132461] - scsi: core: Shorten long warning messages (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix zone transition to full condition (Ewan D. Milne) [2132461] - scsi: sd: Fix interpretation of VPD B9h length (Ewan D. Milne) [2132461] - scsi: core: Return BLK_STS_TRANSPORT for ALUA transitioning (Ewan D. Milne) [2132461] - scsi: sd_zbc: Prevent zone information memory leak (Ewan D. Milne) [2132461] - scsi: sd: Fix potential NULL pointer dereference (Ewan D. Milne) [2132461] - scsi: sd: Don't call blk_cleanup_disk() in sd_probe() (Ewan D. Milne) [2132461] - scsi: sr: Add memory allocation failure handling for get_capabilities() (Ewan D. Milne) [2132461] - scsi: sd: Reorganize DIF/DIX code to avoid calling revalidate twice (Ewan D. Milne) [2132461] - scsi: sd: Optimal I/O size should be a multiple of reported granularity (Ewan D. Milne) [2132461] - scsi: sd: Switch to using scsi_device VPD pages (Ewan D. Milne) [2132461] - scsi: sd: Use cached ATA Information VPD page (Ewan D. Milne) [2132461] - scsi: core: Do not truncate INQUIRY data on modern devices (Ewan D. Milne) [2132461] - scsi: core: Cache VPD pages b0, b1, b2 (Ewan D. Milne) [2132461] - scsi: core: Pick suitable allocation length in scsi_report_opcode() (Ewan D. Milne) [2132461] - scsi: core: Query VPD size before getting full page (Ewan D. Milne) [2132461] - scsi: fcoe: Fix Wstringop-overflow warnings in fcoe_wwn_from_mac() (Ewan D. Milne) [2132461] - scsi: core: Refine how we set tag_set NUMA node (Ewan D. Milne) [2132461] - scsi: scsi_debug: Add gap zone support (Ewan D. Milne) [2132461] - scsi: scsi_debug: Rename zone type constants (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix a typo (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Hide gap zones (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Return early in sd_zbc_check_zoned_characteristics() (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Introduce struct zoned_disk_info (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Use logical blocks as unit when querying zones (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Verify that the zone size is a power of two (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Improve source code documentation (Ewan D. Milne) [2132461] - scsi: core: Increase max device queue_depth to 4096 (Ewan D. Milne) [2132461] - scsi: sr: Do not leak information in ioctl (Ewan D. Milne) [2132461] - scsi: sd: Clean up gendisk if device_add_disk() failed (Ewan D. Milne) [2132461] - scsi: sd: sd_read_cpr() requires VPD pages (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix sdebug_blk_mq_poll() in_use_bm bitmap use (Ewan D. Milne) [2132461] - scsi: core: sysfs: Remove comments that conflict with the actual logic (Ewan D. Milne) [2132461] - scsi: core: Remove unreachable code warning (Ewan D. Milne) [2132461] - scsi: libfc: Fix use after free in fc_exch_abts_resp() (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix qc_lock use in sdebug_blk_mq_poll() (Ewan D. Milne) [2132461] - scsi: scsi_debug: Silence unexpected unlock warnings (Ewan D. Milne) [2132461] - scsi: Remove unused member cmd_pool for structure scsi_host_template (Ewan D. Milne) [2132461] - scsi: core: Make "access_state" sysfs attribute always visible (Ewan D. Milne) [2132461] - scsi: scsi_debug: Add environmental reporting log subpage (Ewan D. Milne) [2132461] - scsi: scsi_debug: Add no_rwlock parameter (Ewan D. Milne) [2132461] - scsi: scsi_debug: Divide power on reset UNIT ATTENTION (Ewan D. Milne) [2132461] - scsi: scsi_debug: Refine sdebug_blk_mq_poll() (Ewan D. Milne) [2132461] - scsi: scsi_debug: Call scsi_done() directly (Ewan D. Milne) [2132461] - scsi: scsi_debug: Use TASK SET FULL more (Ewan D. Milne) [2132461] - scsi: scsi_debug: Strengthen defer_t accesses (Ewan D. Milne) [2132461] - scsi: ch: Don't use GFP_DMA (Ewan D. Milne) [2132461] - wireguard: netlink: avoid variable-sized memcpy on sockaddr (Hangbin Liu) [2135317] - rhel: Enable imx8 I2C configs properly (Al Stone) [2071838] - i2c: imx: fix typo in comment (Al Stone) [2071838] - i2c: dev: Force case user pointers in compat_i2cdev_ioctl() (Al Stone) [2071838] - i2c: dev: check return value when calling dev_set_name() (Al Stone) [2071838] - i2c: imx: Implement errata ERR007805 or e7805 bus frequency limit (Al Stone) [2071838] - i2c: mux: demux-pinctrl: do not deactivate a master that is not active (Al Stone) [2071838] - i2c: add tracepoints for I2C slave events (Al Stone) [2071838] - i2c: tegra: Add SMBus block read function (Al Stone) [2071838] - i2c: core: Use generic_handle_irq_safe() in i2c_handle_smbus_host_notify(). (Al Stone) [2071838] - i2c: smbus: Check for parent device before dereference (Al Stone) [2071838] - i2c: imx: allow COMPILE_TEST (Al Stone) [2071838] - i2c: don't expose function which is only used internally (Al Stone) [2071838] - i2c: ACPI: Replace acpi_bus_get_device() (Al Stone) [2071838] - i2c: smbus: Use device_*() functions instead of of_*() (Al Stone) [2071838] - Revert "i2c: core: support bus regulator controlling in adapter" (Al Stone) [2071838] - i2c: validate user data in compat ioctl (Al Stone) [2071838] - i2c: acpi: Do not instantiate I2C-clients on boards with known bogus DSDT entries (Al Stone) [2071838] - i2c: acpi: Add i2c_acpi_new_device_by_fwnode() function (Al Stone) [2071838] - i2c: acpi: Use acpi_dev_ready_for_enumeration() helper (Al Stone) [2071838] - i2c: tegra: use i2c_timings for bus clock freq (Al Stone) [2071838] - i2c: virtio: fix completion handling (Al Stone) [2071838] - i2c: enable async suspend/resume on i2c client devices (Al Stone) [2071838] - i2c: enable async suspend/resume for i2c adapters (Al Stone) [2071838] - i2c: tegra: Add the ACPI support (Al Stone) [2071838] - i2c: mux: gpio: Use array_size() helper (Al Stone) [2071838] - i2c: mux: gpio: Don't dereference fwnode from struct device (Al Stone) [2071838] - i2c: mux: gpio: Replace custom acpi_get_local_address() (Al Stone) [2071838] - i2c: imx: Add timer for handling the stop condition (Al Stone) [2071838] - i2c: virtio: disable timeout handling (Al Stone) [2071838] - i2c: Allow an ACPI driver to manage the device's power state during probe (Al Stone) [2071838] - i2c: virtio: Add support for zero-length requests (Al Stone) [2071838] - i2c: tegra: Ensure that device is suspended before driver is removed (Al Stone) [2071838] - i2c: acpi: Replace custom function with device_match_acpi_handle() (Al Stone) [2071838] - i2c: switch from 'pci_' to 'dma_' API (Al Stone) [2071838] - i2c: acpi: fix resource leak in reconfiguration device addition (Al Stone) [2071838] - i2c: virtio: add a virtio i2c frontend driver (Al Stone) [2071838] - i2c: remove dead PMC MSP TWI/SMBus/I2C driver (Al Stone) [2071838] - i2c: dev: Use sysfs_emit() in "show" functions (Al Stone) [2071838] - i2c: dev: Define pr_fmt() and drop duplication substrings (Al Stone) [2071838] - i2c: imx: : use proper DMAENGINE API for termination (Al Stone) [2071838] - remove CONFIG_PTE_MARKER and add CONFIG_PTE_MARKER_UFFD_WP (Chris von Recklinghausen) [2136480] - mm/uffd: move USERFAULTFD configs into mm/ (Chris von Recklinghausen) [2136480] - mm: enable PTE markers by default (Chris von Recklinghausen) [2136480] - mm/uffd: PTE_MARKER_UFFD_WP (Chris von Recklinghausen) [2136480] - scsi: qedf: Populate sysfs attributes for vport (Nilesh Javali) [2125169] - scsi: qedf: Fix typo in comment (Nilesh Javali) [2111468] - scsi: qedf: Remove redundant variable op (Nilesh Javali) [2111468] - scsi: qedf: Remove an unneeded NULL check on list iterator (Nilesh Javali) [2111468] - scsi: qedf: Remove unnecessary code (Nilesh Javali) [2111468] - scsi: bnx2fc: Avoid using get_cpu() in bnx2fc_cmd_alloc() (Nilesh Javali) [2119312] - scsi: bnx2fc: Fix spelling mistake "mis-match" -> "mismatch" (Nilesh Javali) [2119312] - scsi: bnx2fc: Make use of the helper macro kthread_run() (Nilesh Javali) [2119312] - scsi: bnx2fc: Fix typo in comments (Nilesh Javali) [2119312] Resolves: rhbz#2049125, rhbz#2132461, rhbz#2135317, rhbz#2071838, rhbz#2136480, rhbz#2125169, rhbz#2111468, rhbz#2119312 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-26 07:40:28 +00:00
# CONFIG_I2C_VIRTIO is not set
# CONFIG_I2C_XILINX is not set
CONFIG_I2C=y
# CONFIG_I3C is not set
CONFIG_I40E_DCB=y
CONFIG_I40E=m
CONFIG_I40EVF=m
kernel-5.14.0-79.el9 * Tue Apr 19 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-79.el9] - redhat/configs: Enable WDT devices used by qemu VMs (Prarit Bhargava) [2074160] - redhat/configs: disable CONFIG_CAN_SOFTING (Brian Masney) [2073521] - myri10ge: mark as unmaintained (Michal Schmidt) [2054208] - benet: mark device 0xe220 (Lancer) as unmaintained (Michal Schmidt) [2054208] - bnx2: mark as unmaintained (Michal Schmidt) [2054208] - ice: Fix race condition during interface enslave (Petr Oros) [1988953] - ice: Fix error with handling of bonding MTU (Petr Oros) [1988953] - ice: Avoid RTNL lock when re-creating auxiliary device (Petr Oros) [1988953] - ice: Fix KASAN error in LAG NETDEV_UNREGISTER handler (Petr Oros) [1988953] - userfaultfd/selftests: fix calculation of expected ioctls (Desnes A. Nunes do Rosario) [2036152] - userfaultfd/selftests: fix feature support detection (Desnes A. Nunes do Rosario) [2036152] - userfaultfd/selftests: don't rely on GNU extensions for random numbers (Desnes A. Nunes do Rosario) [2036152] - CI: Drop baseline runs (Veronika Kabatova) - PCI: hv: Propagate coherence from VMbus device to PCI device (Vitaly Kuznetsov) [2068432] - Drivers: hv: vmbus: Propagate VMbus coherence to each VMbus device (Vitaly Kuznetsov) [2068432] - ibmvnic: fix race between xmit and reset (Gustavo Walbon) [2061556] - mlxsw: spectrum_router: Increase parsing depth for multipath hash (Ivan Vecera) [2020113] - mlxsw: Remove old parsing depth infrastructure (Ivan Vecera) [2020113] - mlxsw: Convert existing consumers to use new API for parsing configuration (Ivan Vecera) [2020113] - mlxsw: spectrum: Add infrastructure for parsing configuration (Ivan Vecera) [2020113] - ipv6: separate ndisc_ns_create() from ndisc_send_ns() (Ivan Vecera) [2073506] - powerpc/mce: Modify the real address error logging messages (Desnes A. Nunes do Rosario) [2068688] - selftests/powerpc: Add test for real address error handling (Desnes A. Nunes do Rosario) [2068688] - powerpc/pseries: Parse control memory access error (Desnes A. Nunes do Rosario) [2068688] - tools headers UAPI: Sync linux/perf_event.h with the kernel sources (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix power_pmu_disable to call clear_pmi_irq_pending only if PMI is pending (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Only define power_pmu_wants_prompt_pmi() for CONFIG_PPC64 (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Add data source encodings for power10 platform (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Add encodings to represent data based on newer composite PERF_MEM_LVLNUM* fields (Desnes A. Nunes do Rosario) [2048779] - perf: Add new macros for mem_hops field (Desnes A. Nunes do Rosario) [2048779] - tools headers UAPI: Add new macros for mem_hops field to perf_event.h (Desnes A. Nunes do Rosario) [2048779] - bpf: Remove config check to enable bpf support for branch records (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Update global/local variants for p_stage_cyc (Desnes A. Nunes do Rosario) [2048779] - perf sort: Include global and local variants for p_stage_cyc sort key (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'p_stage_cyc' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'ins_lat' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'weight' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf vendor events power10: Add metric events JSON file for power10 platform (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Add support to expose instruction and data address registers as part of extended regs (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Refactor the code definition of perf reg extended mask in tools side header file (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix cycles/instructions as PM_CYC/PM_INST_CMPL in power10 (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Expose instruction and data address registers as part of extended regs (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Refactor the code definition of perf reg extended mask (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix data source encodings for L2.1 and L3.1 accesses (Desnes A. Nunes do Rosario) [2048779] - tools/perf: Add mem_hops field in perf_mem_data_src structure (Desnes A. Nunes do Rosario) [2048779] - perf: Add mem_hops field in perf_mem_data_src structure (Desnes A. Nunes do Rosario) [2048779] - perf: Add comment about current state of PERF_MEM_LVL_* namespace and remove an extra line (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix the check for SIAR value (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Drop the case of returning 0 as instruction pointer (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Use stack siar instead of mfspr (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf/hv-gpci: Fix counter value parsing (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix PMU callbacks to clear pending PMI before resetting an overflown PMC (Desnes A. Nunes do Rosario) [2048779] - EVM: fix the evm= __setup handler return value (Bruno Meneguele) [2063906] - ima: Do not print policy rule with inactive LSM labels (Bruno Meneguele) [2063906] - ima: Allow template selection with ima_template[_fmt]= after ima_hash= (Bruno Meneguele) [2063906] - ima: Remove ima_policy file before directory (Bruno Meneguele) [2063906] - integrity: check the return value of audit_log_start() (Bruno Meneguele) [2063906] - ima: fix reference leak in asymmetric_verify() (Bruno Meneguele) [2063906] - ipv4: avoid using shared IP generator for connected sockets (Paolo Abeni) [2062428] {CVE-2020-36516} - bpf/selftests: Fix namespace mount setup in tc_redirect (Yauheni Kaliuta) [2063067] - memcg: do not tweak node in alloc_mem_cgroup_per_node_info (Nico Pache) [2024054] - mm: make free_area_init_node aware of memory less nodes (Nico Pache) [2024054] - mm, memory_hotplug: reorganize new pgdat initialization (Nico Pache) [2024054] - mm, memory_hotplug: drop arch_free_nodedata (Nico Pache) [2024054] - mm: handle uninitialized numa nodes gracefully (Nico Pache) [2024054] - mm, memory_hotplug: make arch_alloc_nodedata independent on CONFIG_MEMORY_HOTPLUG (Nico Pache) [2024054] - block: restore the old set_task_ioprio() behaviour wrt PF_EXITING (Ming Lei) [2066297] - scsi: core: scsi_logging: Fix a BUG (Ming Lei) [2066297] - scsi: sr: Fix typo in CDROM(CLOSETRAY|EJECT) handling (Ming Lei) [2066297] - block: add __must_check for *add_disk*() callers (Ming Lei) [2066297] - scsi: bsg: Fix errno when scsi_bsg_register_queue() fails (Ming Lei) [2066297] - scsi: st: Add missing break in switch statement in st_ioctl() (Ming Lei) [2066297] - scsi: core: scsi_ioctl: Fix error code propagation in SG_IO (Ming Lei) [2066297] - scsi: bsg: Fix device unregistration (Ming Lei) [2066297] - scsi: bsg-lib: Fix commands without data transfer in bsg_transport_sg_io_fn() (Ming Lei) [2066297] - scsi: bsg: Fix commands without data transfer in scsi_bsg_sg_io_fn() (Ming Lei) [2066297] - block: limit request dispatch loop duration (Ming Lei) [2066297] - block: fix rq-qos breakage from skipping rq_qos_done_bio() (Ming Lei) [2066297] - block: flush plug based on hardware and software queue order (Ming Lei) [2066297] - block: ensure plug merging checks the correct queue at least once (Ming Lei) [2066297] - block: update io_ticks when io hang (Ming Lei) [2066297] - block: release rq qos structures for queue without disk (Ming Lei) [2066297] - block: fix blk_mq_attempt_bio_merge and rq_qos_throttle protection (Ming Lei) [2066297] - blktrace: fix use after free for struct blk_trace (Ming Lei) [2066297] - block: clear iocb->private in blkdev_bio_end_io_async() (Ming Lei) [2066297] - block/wbt: fix negative inflight counter when remove scsi device (Ming Lei) [2066297] - block-map: add __GFP_ZERO flag for alloc_page in function bio_copy_kern (Ming Lei) [2066297] - block: loop:use kstatfs.f_bsize of backing file to set discard granularity (Ming Lei) [2066297] - block: Add handling for zone append command in blk_complete_request (Ming Lei) [2066297] - loop: revert "make autoclear operation asynchronous" (Ming Lei) [2066297] - block: fix DIO handling regressions in blkdev_read_iter() (Ming Lei) [2066297] - blk-mq: fix missing blk_account_io_done() in error path (Ming Lei) [2066297] - block: cleanup q->srcu (Ming Lei) [2066297] - block: Remove unnecessary variable assignment (Ming Lei) [2066297] - brd: remove brd_devices_mutex mutex (Ming Lei) [2066297] - aoe: remove redundant assignment on variable n (Ming Lei) [2066297] - loop: remove redundant initialization of pointer node (Ming Lei) [2066297] - block/rnbd-clt-sysfs: use default_groups in kobj_type (Ming Lei) [2066297] - pktcdvd: convert to use attribute groups (Ming Lei) [2066297] - rsxx: Drop PCI legacy power management (Ming Lei) [2066297] - mtip32xx: convert to generic power management (Ming Lei) [2066297] - mtip32xx: remove pointless drvdata lookups (Ming Lei) [2066297] - mtip32xx: remove pointless drvdata checking (Ming Lei) [2066297] - drbd: Use struct_group() to zero algs (Ming Lei) [2066297] - loop: make autoclear operation asynchronous (Ming Lei) [2066297] - null_blk: cast command status to integer (Ming Lei) [2066297] - pktdvd: stop using bdi congestion framework. (Ming Lei) [2066297] - block: null_blk: batched complete poll requests (Ming Lei) [2066297] - floppy: Add max size check for user space request (Ming Lei) [2066297] - floppy: Fix hang in watchdog when disk is ejected (Ming Lei) [2066297] - loop: don't hold lo_mutex during __loop_clr_fd() (Ming Lei) [2066297] - MAINTAINERS: add entries for block layer documentation (Ming Lei) [2066297] - docs: sysfs-block: add contact for nomerges (Ming Lei) [2066297] - docs: sysfs-block: sort alphabetically (Ming Lei) [2066297] - docs: sysfs-block: move to stable directory (Ming Lei) [2066297] - block: fix old-style declaration (Ming Lei) [2066297] - nvme-pci: fix queue_rqs list splitting (Ming Lei) [2066297] - block: introduce rq_list_move (Ming Lei) [2066297] - block: drop needless assignment in set_task_ioprio() (Ming Lei) [2066297] - bio.h: fix kernel-doc warnings (Ming Lei) [2066297] - block: check minor range in device_add_disk() (Ming Lei) [2066297] - block: use "unsigned long" for blk_validate_block_size(). (Ming Lei) [2066297] - block: fix error unwinding in device_add_disk (Ming Lei) [2066297] - block: call blk_exit_queue() before freeing q->stats (Ming Lei) [2066297] - block: fix error in handling dead task for ioprio setting (Ming Lei) [2066297] - blk-mq: blk-mq: check quiesce state before queue_rqs (Ming Lei) [2066297] - block: only build the icq tracking code when needed (Ming Lei) [2066297] - block: fold create_task_io_context into ioc_find_get_icq (Ming Lei) [2066297] - block: open code create_task_io_context in set_task_ioprio (Ming Lei) [2066297] - block: fold get_task_io_context into set_task_ioprio (Ming Lei) [2066297] - block: move set_task_ioprio to blk-ioc.c (Ming Lei) [2066297] - block: cleanup ioc_clear_queue (Ming Lei) [2066297] - block: refactor put_io_context (Ming Lei) [2066297] - block: remove the NULL ioc check in put_io_context (Ming Lei) [2066297] - block: refactor put_iocontext_active (Ming Lei) [2066297] - block: simplify struct io_context refcounting (Ming Lei) [2066297] - block: remove the nr_task field from struct io_context (Ming Lei) [2066297] - nvme: add support for mq_ops->queue_rqs() (Ming Lei) [2066297] - block: add mq_ops->queue_rqs hook (Ming Lei) [2066297] - block: use singly linked list for bio cache (Ming Lei) [2066297] - block: add completion handler for fast path (Ming Lei) [2066297] - block: make queue stat accounting a reference (Ming Lei) [2066297] - bdev: Improve lookup_bdev documentation (Ming Lei) [2066297] - mtd_blkdevs: don't scan partitions for plain mtdblock (Ming Lei) [2066297] - blk-mq: Optimise blk_mq_queue_tag_busy_iter() for shared tags (Ming Lei) [2066297] - blk-mq: Delete busy_iter_fn (Ming Lei) [2066297] - blk-mq: Drop busy_iter_fn blk_mq_hw_ctx argument (Ming Lei) [2066297] - blk-mq: don't use plug->mq_list->q directly in blk_mq_run_dispatch_ops() (Ming Lei) [2066297] - blk-mq: don't run might_sleep() if the operation needn't blocking (Ming Lei) [2066297] - blk-mq: run dispatch lock once in case of issuing from list (Ming Lei) [2066297] - blk-mq: pass request queue to blk_mq_run_dispatch_ops (Ming Lei) [2066297] - blk-mq: move srcu from blk_mq_hw_ctx to request_queue (Ming Lei) [2066297] - blk-mq: remove hctx_lock and hctx_unlock (Ming Lei) [2066297] - block: switch to atomic_t for request references (Ming Lei) [2066297] - block: move direct_IO into our own read_iter handler (Ming Lei) [2066297] - mm: move filemap_range_needs_writeback() into header (Ming Lei) [2066297] - mm: move more expensive part of XA setup out of mapping check (Ming Lei) [2066297] - block: get rid of useless goto and label in blk_mq_get_new_requests() (Ming Lei) [2066297] - blk-mq: check q->poll_stat in queue_poll_stat_show (Ming Lei) [2066297] - scsi: remove the gendisk argument to scsi_ioctl (Ming Lei) [2066297] - block: remove the gendisk argument to blk_execute_rq (Ming Lei) [2066297] - block: remove the ->rq_disk field in struct request (Ming Lei) [2066297] - block: don't check ->rq_disk in merges (Ming Lei) [2066297] - mtd_blkdevs: remove the sector out of range check in do_blktrans_request (Ming Lei) [2066297] - block: Remove redundant initialization of variable ret (Ming Lei) [2066297] - block: simplify ioc_lookup_icq (Ming Lei) [2066297] - block: simplify ioc_create_icq (Ming Lei) [2066297] - block: return the io_context from create_task_io_context (Ming Lei) [2066297] - block: use alloc_io_context in __copy_io (Ming Lei) [2066297] - block: factor out a alloc_io_context helper (Ming Lei) [2066297] - block: remove get_io_context_active (Ming Lei) [2066297] - block: move the remaining elv.icq handling to the I/O scheduler (Ming Lei) [2066297] - block: move blk_mq_sched_assign_ioc to blk-ioc.c (Ming Lei) [2066297] - block: mark put_io_context_active static (Ming Lei) [2066297] - Revert "block: Provide blk_mq_sched_get_icq()" (Ming Lei) [2066297] - bfq: use bfq_bic_lookup in bfq_limit_depth (Ming Lei) [2066297] - bfq: simplify bfq_bic_lookup (Ming Lei) [2066297] - fork: move copy_io to block/blk-ioc.c (Ming Lei) [2066297] - bfq: Do not let waker requests skip proper accounting (Ming Lei) [2066297] - bfq: Log waker detections (Ming Lei) [2066297] - bfq: Provide helper to generate bfqq name (Ming Lei) [2066297] - bfq: Limit waker detection in time (Ming Lei) [2066297] - bfq: Limit number of requests consumed by each cgroup (Ming Lei) [2066297] - bfq: Store full bitmap depth in bfq_data (Ming Lei) [2066297] - bfq: Track number of allocated requests in bfq_entity (Ming Lei) [2066297] - block: Provide blk_mq_sched_get_icq() (Ming Lei) [2066297] - mmc: core: Use blk_mq_complete_request_direct(). (Ming Lei) [2066297] - blk-mq: Add blk_mq_complete_request_direct() (Ming Lei) [2066297] - blk-crypto: remove blk_crypto_unregister() (Ming Lei) [2066297] - block: don't include <linux/part_stat.h> in blk.h (Ming Lei) [2066297] - block: don't include <linux/idr.h> in blk.h (Ming Lei) [2066297] - block: don't include <linux/blk-mq.h> in blk.h (Ming Lei) [2066297] - block: don't include blk-mq.h in blk.h (Ming Lei) [2066297] - block: don't include blk-mq-sched.h in blk.h (Ming Lei) [2066297] - block: remove the e argument to elevator_exit (Ming Lei) [2066297] - block: remove elevator_exit (Ming Lei) [2066297] - block: move blk_get_flush_queue to blk-flush.c (Ming Lei) [2066297] - blk_mq: remove repeated includes (Ming Lei) [2066297] - block: move io_context creation into where it's needed (Ming Lei) [2066297] - block: only allocate poll_stats if there's a user of them (Ming Lei) [2066297] - blk-ioprio: don't set bio priority if not needed (Ming Lei) [2066297] - blk-mq: move more plug handling from blk_mq_submit_bio into blk_add_rq_to_plug (Ming Lei) [2066297] - sr: set GENHD_FL_REMOVABLE earlier (Ming Lei) [2066297] - block: cleanup the GENHD_FL_* definitions (Ming Lei) [2066297] - block: don't set GENHD_FL_NO_PART for hidden gendisks (Ming Lei) [2066297] - block: remove GENHD_FL_EXT_DEVT (Ming Lei) [2066297] - block: remove GENHD_FL_SUPPRESS_PARTITION_INFO (Ming Lei) [2066297] - mmc: don't set GENHD_FL_SUPPRESS_PARTITION_INFO (Ming Lei) [2066297] - null_blk: don't suppress partitioning information (Ming Lei) [2066297] - block: remove the GENHD_FL_HIDDEN check in blkdev_get_no_open (Ming Lei) [2066297] - block: rename GENHD_FL_NO_PART_SCAN to GENHD_FL_NO_PART (Ming Lei) [2066297] - block: merge disk_scan_partitions and blkdev_reread_part (Ming Lei) [2066297] - block: remove a dead check in show_partition (Ming Lei) [2066297] - block: remove GENHD_FL_CD (Ming Lei) [2066297] - block: move GENHD_FL_BLOCK_EVENTS_ON_EXCL_WRITE to disk->event_flags (Ming Lei) [2066297] - block: move GENHD_FL_NATIVE_CAPACITY to disk->state (Ming Lei) [2066297] - block: don't include blk-mq headers in blk-core.c (Ming Lei) [2066297] - block: move blk_print_req_error to blk-mq.c (Ming Lei) [2066297] - block: move blk_dump_rq_flags to blk-mq.c (Ming Lei) [2066297] - block: move blk_account_io_{start,done} to blk-mq.c (Ming Lei) [2066297] - block: move blk_steal_bios to blk-mq.c (Ming Lei) [2066297] - block: move blk_rq_init to blk-mq.c (Ming Lei) [2066297] - block: move request based cloning helpers to blk-mq.c (Ming Lei) [2066297] - blk-mq: move blk_mq_flush_plug_list (Ming Lei) [2066297] - block: remove blk-exec.c (Ming Lei) [2066297] - block: remove rq_flush_dcache_pages (Ming Lei) [2066297] - block: move blk_rq_err_bytes to scsi (Ming Lei) [2066297] - block: remove blk_{get,put}_request (Ming Lei) [2066297] - block: remove QUEUE_FLAG_SCSI_PASSTHROUGH (Ming Lei) [2066297] - block: remove the initialize_rq_fn blk_mq_ops method (Ming Lei) [2066297] - scsi: add a scsi_alloc_request helper (Ming Lei) [2066297] - bsg-lib: initialize the bsg_job in bsg_transport_sg_io_fn (Ming Lei) [2066297] - nfsd/blocklayout: use ->get_unique_id instead of sending SCSI commands (Ming Lei) [2066297] - sd: implement ->get_unique_id (Ming Lei) [2066297] - block: add a ->get_unique_id method (Ming Lei) [2066297] - scsi: bsg: Move the whole request execution into the SCSI/transport handlers (Ming Lei) [2066297] - scsi: block: Remove the remaining SG_IO-related fields from struct request_queue (Ming Lei) [2066297] - scsi: block: Remove BLK_SCSI_MAX_CMDS (Ming Lei) [2066297] - scsi: bsg: Simplify device registration (Ming Lei) [2066297] - scsi: sr: cdrom: Move cdrom_read_cdda_bpc() into the sr driver (Ming Lei) [2066297] - scsi: scsi_ioctl: Unexport sg_scsi_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Factor SG_IO handling into a helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Factor SCSI_IOCTL_GET_IDLUN handling into a helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Consolidate the START STOP UNIT handling (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove a very misleading comment (Ming Lei) [2066297] - scsi: core: Rename CONFIG_BLK_SCSI_REQUEST to CONFIG_SCSI_COMMON (Ming Lei) [2066297] - scsi: scsi_ioctl: Move the "block layer" SCSI ioctl handling to drivers/scsi (Ming Lei) [2066297] - scsi: scsi_ioctl: Simplify SCSI passthrough permission checking (Ming Lei) [2066297] - scsi: scsi_ioctl: Move scsi_command_size_tbl to scsi_common.c (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_req_init() (Ming Lei) [2066297] - scsi: bsg: Move bsg_scsi_ops to drivers/scsi/ (Ming Lei) [2066297] - scsi: bsg: Decouple from scsi_cmd_ioctl() (Ming Lei) [2066297] - scsi: block: Add a queue_max_bytes() helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Call scsi_cmd_ioctl() from scsi_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_verify_blk_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_cmd_blk_ioctl() (Ming Lei) [2066297] - scsi: cdrom: Remove the call to scsi_cmd_blk_ioctl() from cdrom_ioctl() (Ming Lei) [2066297] - scsi: st: Simplify ioctl handling (Ming Lei) [2066297] - scsi: core: Remove scsi_compat_ioctl() (Ming Lei) [2066297] - scsi: sg: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: ch: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: sd: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: sr: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: bsg: Remove support for SCSI_IOCTL_SEND_COMMAND (Ming Lei) [2066297] - block: fix argument type of bio_trim() (Ming Lei) [2066297] - powerpc/xive: Export XIVE IPI information for online-only processors. (Steve Best) [2038242] - RDMA/cma: Do not change route.addr.src_addr outside state checks (Kamal Heib) [2056771] - RDMA/ib_srp: Fix a deadlock (Kamal Heib) [2056771] - RDMA/siw: Fix broken RDMA Read Fence/Resume logic. (Kamal Heib) [2056771] - IB/cm: Release previously acquired reference counter in the cm_id_priv (Kamal Heib) [2056771] - RDMA/siw: Fix refcounting leak in siw_create_qp() (Kamal Heib) [2056771] - RDMA/ucma: Protect mc during concurrent multicast leaves (Kamal Heib) [2056771] - RDMA/cma: Use correct address when leaving multicast group (Kamal Heib) [2056771] - IB/hfi1: Fix tstats alloc and dealloc (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix AIP early init panic (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix alloc failure with larger txqueuelen (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix panic with larger ipoib send_queue_size (Kamal Heib) [2049164 2056771] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Kamal Heib) [2056771] - RDMA/irdma: Remove the redundant return (Kamal Heib) [2037988 2056771] - RDMA/irdma: Make the source udp port vary (Kamal Heib) [2037988 2056771] - RDMA/core: Calculate UDP source port based on flow label or lqpn/rqpn (Kamal Heib) [2056771] - IB/iser: Align coding style across driver (Kamal Heib) [2056771] - IB/iser: Remove un-needed casting to/from void pointer (Kamal Heib) [2056771] - IB/iser: Don't suppress send completions (Kamal Heib) [2056771] - IB/iser: Rename ib_ret local variable (Kamal Heib) [2056771] - IB/iser: Fix RNR errors (Kamal Heib) [2056771] - IB/iser: Remove deprecated pi_guard module param (Kamal Heib) [2056771] - IB/mlx5: Expose NDR speed through MAD (Kamal Heib) [2056771] - RDMA/mad: Delete duplicated init_query_mad functions (Kamal Heib) [2056771] - RDMA: Use default_groups in kobj_type (Kamal Heib) [2056771] - RDMA/irdma: Use auxiliary_device driver data helpers (Kamal Heib) [2037988 2056771] - driver core: auxiliary bus: Add driver data helpers (Kamal Heib) [2056771] - RDMA/cma: Let cma_resolve_ib_dev() continue search even after empty entry (Kamal Heib) [2056771] - RDMA/core: Let ib_find_gid() continue search even after empty entry (Kamal Heib) [2056771] - RDMA/core: Modify rdma_query_gid() to return accurate error codes (Kamal Heib) [2056771] - RDMA/irdma: Fix the type used to declare a bitmap (Kamal Heib) [2037988 2056771] - IB/core: Remove redundant pointer mm (Kamal Heib) [2056771] - RDMA/uverbs: Remove the unnecessary assignment (Kamal Heib) [2056771] - RDMA/siw: Use max() instead of doing it manually (Kamal Heib) [2056771] - RDMA/pvrdma: Use non-atomic bitmap functions when possible (Kamal Heib) [2056771] - RDMA/pvrdma: Use bitmap_zalloc() when applicable (Kamal Heib) [2056771] - IB/hfi1: Use bitmap_zalloc() when applicable (Kamal Heib) [2049164 2056771] - RDMA/siw: Use helper function to set sys_image_guid (Kamal Heib) [2056771] - RDMA/cma: Remove open coding of overflow checking for private_data_len (Kamal Heib) [2056771] - RDMA/usnic: Clean up usnic_ib_alloc_pd() (Kamal Heib) [2056771] - RDMA/irdma: Use helper function to set GUIDs (Kamal Heib) [2037988 2056771] - IB/hfi1: Fix leak of rcvhdrtail_dummy_kvaddr (Kamal Heib) [2049164 2056770] - IB/hfi1: Fix early init panic (Kamal Heib) [2049164 2056770] - IB/hfi1: Insure use of smp_processor_id() is preempt disabled (Kamal Heib) [2049164 2056770] - IB/hfi1: Correct guard on eager buffer deallocation (Kamal Heib) [2049164 2056770] - RDMA/nldev: Check stat attribute before accessing it (Kamal Heib) [2056770] - IB/hfi1: Properly allocate rdma counter desc memory (Kamal Heib) [2049164 2056770] - RDMA/core: Set send and receive CQ before forwarding to the driver (Kamal Heib) [2056770] - RDMA/netlink: Add __maybe_unused to static inline in C file (Kamal Heib) [2056770] - RDMA/core: Require the driver to set the IOVA correctly during rereg_mr (Kamal Heib) [2056770] - RDMA/irdma: optimize rx path by removing unnecessary copy (Kamal Heib) [2037988 2056770] - IB/opa_vnic: Rebranding of OPA VNIC driver to Cornelis Networks (Kamal Heib) [2056770] - IB/hfi1: Rebranding of hfi1 driver to Cornelis Networks (Kamal Heib) [2049164 2056770] - RDMA/irdma: Remove the unused variable local_qp (Kamal Heib) [2037988 2056770] - RDMA/core: Fix missed initialization of rdma_hw_stats::lock (Kamal Heib) [2056770] - RDMA/efa: Add support for dmabuf memory regions (Kamal Heib) [2056770] - RDMA/umem: Allow pinned dmabuf umem usage (Kamal Heib) [2056770] - RDMA/irdma: Remove the unused spin lock in struct irdma_qp_uk (Kamal Heib) [2037988 2056770] - RDMA: Constify netdev->dev_addr accesses (Kamal Heib) [2056770] - RDMA/ipoib: Use dev_addr_mod() (Kamal Heib) [2056770] - RDMA/core: Use kvzalloc when allocating the struct ib_port (Kamal Heib) [2056770] - RDMA/irdma: Make irdma_uk_cq_init() return a void (Kamal Heib) [2037988 2056770] - RDMA/core: Set sgtable nents when using ib_dma_virt_map_sg() (Kamal Heib) [2056770] - RDMA/irdma: Remove irdma_cqp_up_map_cmd() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_get_hw_addr() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_sc_send_lsmm_nostag() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_uk_mw_bind() (Kamal Heib) [2037988 2056770] - RDMA: Remove redundant 'flush_workqueue()' calls (Kamal Heib) [2056770] - RDMA/iwpm: Remove redundant initialization of pointer err_str (Kamal Heib) [2056770] - RDMA/nldev: Allow optional-counter status configuration through RDMA netlink (Kamal Heib) [2056770] - RDMA/nldev: Split nldev_stat_set_mode_doit out of nldev_stat_set_doit (Kamal Heib) [2056770] - RDMA/nldev: Add support to get status of all counters (Kamal Heib) [2056770] - RDMA/counter: Add optional counter support (Kamal Heib) [2056770] - RDMA/counter: Add an is_disabled field in struct rdma_hw_stats (Kamal Heib) [2056770] - RDMA/core: Add a helper API rdma_free_hw_stats_struct (Kamal Heib) [2056770] - RDMA/bnxt_re: Fix kernel panic when trying to access bnxt_re_stat_descs (Kamal Heib) [2056770] - RDMA/counter: Add a descriptor in struct rdma_hw_stats (Kamal Heib) [2056770] - RDMA/bnxt_re: Update statistics counter name (Kamal Heib) [2056770] - RDMA/bnxt_re: Add extended statistics counters (Kamal Heib) [2056770] - RDMA/efa: CQ notifications (Kamal Heib) [2056770] - RDMA/irdma: Delete unused struct irdma_bth (Kamal Heib) [2037988 2056770] - IB/hf1: Use string_upper() instead of an open coded variant (Kamal Heib) [2056770] - RDMA/rw: switch to dma_map_sgtable() (Kamal Heib) [2056770] - RDMA/cma: Split apart the multiple uses of the same list heads (Kamal Heib) [2056770] - RDMA/hfi1: Use struct_size() and flex_array_size() helpers (Kamal Heib) [2049164 2056770] - IB/hfi1: Add ring consumer and producers traces (Kamal Heib) [2049164 2056770] - IB/hfi1: Remove atomic completion count (Kamal Heib) [2049164 2056770] - IB/hfi1: Tune netdev xmit cachelines (Kamal Heib) [2049164 2056770] - IB/hfi1: Get rid of tx priv backpointer (Kamal Heib) [2049164 2056770] - IB/hfi1: Get rid of hot path divide (Kamal Heib) [2049164 2056770] - IB/hfi1: Remove cache and embed txreq in ring (Kamal Heib) [2049164 2056770] - RDMA/rdmavt: Fix error code in rvt_create_qp() (Kamal Heib) [2049164 2056769] - IB/hfi1: Fix abba locking issue with sc_disable() (Kamal Heib) [2049164 2056769] - RDMA/hfi1: Fix kernel pointer leak (Kamal Heib) [2049164 2056769] - RDMA/usnic: Lock VF with mutex instead of spinlock (Kamal Heib) [2056769] - IB/hfi1: make hist static (Kamal Heib) [2049164 2056769] - RDMA/hfi1: Convert to SPDX identifier (Kamal Heib) [2049164 2056769] - IB/rdmavt: Convert to SPDX identifier (Kamal Heib) [2049164 2056769] - RDMA/irdma: Remove the repeated declaration (Kamal Heib) [2037988 2056769] - RDMA/core/sa_query: Retry SA queries (Kamal Heib) [2056769] - RDMA: Use the sg_table directly and remove the opencoded version from umem (Kamal Heib) [2056769] - RDMA: switch from 'pci_' to 'dma_' API (Kamal Heib) [2056769] - RDMA/efa: Rename vector field in efa_irq struct to irqn (Kamal Heib) [2056769] - RDMA/efa: Remove unused cpu field from irq struct (Kamal Heib) [2056769] - RDMA/core/sa_query: Remove unused function (Kamal Heib) [2056769] - RDMA/hfi1: Stop using seq_get_buf in _driver_stats_seq_show (Kamal Heib) [2049164 2056769] - RDMA/core: Create clean QP creations interface for uverbs (Kamal Heib) [2056769] - RDMA/core: Properly increment and decrement QP usecnts (Kamal Heib) [2056769] - RDMA/core: Configure selinux QP during creation (Kamal Heib) [2056769] - RDMA/core: Reorganize create QP low-level functions (Kamal Heib) [2056769] - RDMA/core: Remove protection from wrong in-kernel API usage (Kamal Heib) [2056769] - RDMA/core: Delete duplicated and unreachable code (Kamal Heib) [2056769] - rdma/qedr: Fix crash due to redundant release of device's qp memory (Kamal Heib) [2056769] - RDMA: Globally allocate and release QP memory (Kamal Heib) [2056769] - RDMA/mlx5: Rework custom driver QP type creation (Kamal Heib) [2056769] - RDMA/rdmavt: Decouple QP and SGE lists allocations (Kamal Heib) [2049164 2056769] - RDMA/efa: Remove double QP type assignment (Kamal Heib) [2056769] - RDMA/hfi1: Fix typo in comments (Kamal Heib) [2049164 2056769] - RDMA/iwpm: Rely on the rdma_nl_[un]register() to ensure that requests are valid (Kamal Heib) [2056769] - RDMA/iwpm: Remove not-needed reference counting (Kamal Heib) [2056769] - RDMA/hfi1: Convert from atomic_t to refcount_t on hfi1_devdata->user_refcount (Kamal Heib) [2049164 2056769] - IB/hfi1: Adjust pkey entry in index 0 (Kamal Heib) [2049164 2056769] - IB/hfi1: Indicate DMA wait when txq is queued for wakeup (Kamal Heib) [2049164 2056769] - IB/core: Read subnet_prefix in ib_query_port via cache. (Kamal Heib) [2056769] - IB/core: Shifting initialization of device->cache_lock (Kamal Heib) [2056769] - IB/core: Updating cache for subnet_prefix in config_non_roce_gid_cache() (Kamal Heib) [2056769] - RDMA/efa: Split hardware stats to device and port stats (Kamal Heib) [2056769] - RDMA/siw: Convert siw_tx_hdt() to kmap_local_page() (Kamal Heib) [2056769] - RDMA/siw: Remove kmap() (Kamal Heib) [2056769] - lib/scatterlist: Fix wrong update of orig_nents (Kamal Heib) [2056769] - lib/scatterlist: Provide a dedicated function to support table append (Kamal Heib) [2056769] - PCI: mt7621: Remove unused function pcie_rmw() (Myron Stowe) [2052155] - PCI: mt7621: Drop of_match_ptr() to avoid unused variable (Myron Stowe) [2052155] - PCI: qcom: Fix an error handling path in 'qcom_pcie_probe()' (Myron Stowe) [2052155] - PCI: mt7621: Rename mt7621_pci_ to mt7621_pcie_ (Myron Stowe) [2052155] - PCI: mt7621: Add missing MODULE_LICENSE() (Myron Stowe) [2052155] - PCI: mt7621: Move MIPS setup to pcibios_root_bridge_prepare() (Myron Stowe) [2052155] - PCI: mt7621: Declare mt7621_pci_ops static (Myron Stowe) [2052155] - PCI: mt7621: Convert driver into 'bool' (Myron Stowe) [2052155] - PCI: xgene: Use PCI_VENDOR_ID_AMCC macro (Myron Stowe) [2052155] - PCI: rcar-host: Remove unneeded includes (Myron Stowe) [2052155] - PCI: rcar-ep: Remove unneeded includes (Myron Stowe) [2052155] - PCI: qcom: Add sc8180x compatible (Myron Stowe) [2052155] - PCI: qcom: Switch pcie_1_pipe_clk_src after PHY init in SC7280 (Myron Stowe) [2052155] - PCI: qcom: Replace ops with struct pcie_cfg in pcie match data (Myron Stowe) [2052155] - MAINTAINERS: Add entry for Qualcomm PCIe Endpoint driver and binding (Myron Stowe) [2052155] - PCI: qcom-ep: Add Qualcomm PCIe Endpoint controller driver (Myron Stowe) [2052155] - dt-bindings: PCI: Add Qualcomm PCIe Endpoint controller (Myron Stowe) [2052155] - MAINTAINERS: Add Sergio Paracuellos as MT7621 PCIe maintainer (Myron Stowe) [2052155] - PCI: mt7621: Add MediaTek MT7621 PCIe host controller driver (Myron Stowe) [2052155] - dt-bindings: PCI: Add MT7621 SoC PCIe host controller (Myron Stowe) [2052155] - staging: mt7621-pci: fix hang when nothing is connected to pcie ports (Myron Stowe) [2052155] - PCI: kirin: Allow removing the driver (Myron Stowe) [2052155] - PCI: kirin: De-init the dwc driver (Myron Stowe) [2052155] - PCI: kirin: Disable clkreq during poweroff sequence (Myron Stowe) [2052155] - PCI: kirin: Move the power-off code to a common routine (Myron Stowe) [2052155] - PCI: kirin: Add power_off support for Kirin 960 PHY (Myron Stowe) [2052155] - PCI: kirin: Allow building it as a module (Myron Stowe) [2052155] - PCI: kirin: Add MODULE_* macros (Myron Stowe) [2052155] - PCI: kirin: Add Kirin 970 compatible (Myron Stowe) [2052155] - PCI: kirin: Support PERST# GPIOs for HiKey970 external PEX 8606 bridge (Myron Stowe) [2052155] - PCI: kirin: Use regmap for APB registers (Myron Stowe) [2052155] - PCI: kirin: Add support for a PHY layer (Myron Stowe) [2052155] - PCI: kirin: Reorganize the PHY logic inside the driver (Myron Stowe) [2052155] - PCI: imx6: Remove unused assignment to variable ret (Myron Stowe) [2052155] - PCI: endpoint: Use sysfs_emit() in "show" functions (Myron Stowe) [2052155] - PCI: uniphier: Serialize INTx masking/unmasking and fix the bit operation (Myron Stowe) [2052155] - PCI: dwc: Perform host_init() before registering msi (Myron Stowe) [2052155] - PCI: visconti: Remove surplus dev_err() when using platform_get_irq_byname() (Myron Stowe) [2052155] - PCI: dwc: Clean up Kconfig dependencies (PCIE_DW_EP) (Myron Stowe) [2052155] - PCI: dwc: Clean up Kconfig dependencies (PCIE_DW_HOST) (Myron Stowe) [2052155] - PCI: dra7xx: Get an optional clock (Myron Stowe) [2052155] - PCI: dra7xx: Remove unused include (Myron Stowe) [2052155] - PCI: dra7xx: Make it a kernel module (Myron Stowe) [2052155] - PCI: dwc: Export more symbols to allow modular drivers (Myron Stowe) [2052155] - dt-bindings: rockchip: Add DesignWare based PCIe controller (Myron Stowe) [2052155] - PCI: cadence: Add cdns_plat_pcie_probe() missing return (Myron Stowe) [2052155] - PCI: j721e: Fix j721e_pcie_probe() error path (Myron Stowe) [2052155] - PCI: aardvark: Fix support for PCI_BRIDGE_CTL_BUS_RESET on emulated bridge (Myron Stowe) [2052155] - PCI: aardvark: Set PCI Bridge Class Code to PCI Bridge (Myron Stowe) [2052155] - PCI: aardvark: Fix support for bus mastering and PCI_COMMAND on emulated bridge (Myron Stowe) [2052155] - PCI: aardvark: Read all 16-bits from PCIE_MSI_PAYLOAD_REG (Myron Stowe) [2052155] - PCI: aardvark: Fix return value of MSI domain .alloc() method (Myron Stowe) [2052155] - PCI: pci-bridge-emul: Fix emulation of W1C bits (Myron Stowe) [2052155] - PCI: aardvark: Fix reporting Data Link Layer Link Active (Myron Stowe) [2052155] - PCI: aardvark: Fix checking for link up via LTSSM state (Myron Stowe) [2052155] - PCI: aardvark: Fix link training (Myron Stowe) [2052155] - PCI: aardvark: Simplify initialization of rootcap on virtual bridge (Myron Stowe) [2052155] - PCI: aardvark: Do not unmask unused interrupts (Myron Stowe) [2052155] - PCI: aardvark: Do not clear status bits of masked interrupts (Myron Stowe) [2052155] - PCI: aardvark: Fix configuring Reference clock (Myron Stowe) [2052155] - PCI: aardvark: Don't spam about PIO Response Status (Myron Stowe) [2052155] - PCI: aardvark: Fix PCIe Max Payload Size setting (Myron Stowe) [2052155] - PCI: Add PCI_EXP_DEVCTL_PAYLOAD_* macros (Myron Stowe) [2052155] - PCI: vmd: Drop redundant includes of <asm/device.h>, <asm/msi.h> (Myron Stowe) [2052155] - PCI: cpqphp: Use <linux/io.h> instead of <asm/io.h> (Myron Stowe) [2052155] - MAINTAINERS: Update PCI subsystem information (Myron Stowe) [2052155] - PCI: Prefer 'unsigned int' over bare 'unsigned' (Myron Stowe) [2052155] - PCI: Remove redundant 'rc' initialization (Myron Stowe) [2052155] - PCI: Remove unused pci_pool wrappers (Myron Stowe) [2052155] - PCI: cpqphp: Format if-statement code block correctly (Myron Stowe) [2052155] - PCI: Use unsigned to match sscanf("%%x") in pci_dev_str_match_path() (Myron Stowe) [2052155] - PCI: Correct misspelled and remove duplicated words (Myron Stowe) [2052155] - PCI: Tidy comments (Myron Stowe) [2052155] - cxgb3: Remove seeprom_write and use VPD API (Myron Stowe) [2052155] - cxgb3: Use VPD API in t3_seeprom_wp() (Myron Stowe) [2052155] - cxgb3: Remove t3_seeprom_read and use VPD API (Myron Stowe) [2052155] - PCI/VPD: Use pci_read_vpd_any() in pci_vpd_size() (Myron Stowe) [2052155] - PCI/VPD: Add pci_read/write_vpd_any() (Myron Stowe) [2052155] - PCI: Add ACS quirk for Pericom PI7C9X2G switches (Myron Stowe) [2052155] - PCI: Mark Atheros QCA6174 to avoid bus reset (Myron Stowe) [2052155] - PCI: Use kstrtobool() directly, sans strtobool() wrapper (Myron Stowe) [2052155] - PCI/sysfs: Return -EINVAL consistently from "store" functions (Myron Stowe) [2052155] - PCI/sysfs: Check CAP_SYS_ADMIN before parsing user input (Myron Stowe) [2052155] - PCI/switchtec: Add check of event support (Myron Stowe) [2052155] - PCI/switchtec: Replace ENOTSUPP with EOPNOTSUPP (Myron Stowe) [2052155] - PCI/switchtec: Update the way of getting management VEP instance ID (Myron Stowe) [2052155] - PCI/switchtec: Fix a MRPC error status handling issue (Myron Stowe) [2052155] - PCI/switchtec: Error out MRPC execution when MMIO reads fail (Myron Stowe) [2052155] - PCI: Coalesce host bridge contiguous apertures (Myron Stowe) [2052155] - PCI/P2PDMA: Apply bus offset correctly in DMA address calculation (Myron Stowe) [2052155] - PCI/sysfs: Explicitly show first MSI IRQ for 'irq' (Myron Stowe) [2052155] - PCI: Document /sys/bus/pci/devices/.../irq (Myron Stowe) [2052155] - PCI/ERR: Reduce compile time for CONFIG_PCIEAER=n (Myron Stowe) [2052155] - PCI/portdrv: Remove unused pcie_port_bus_{,un}register() declarations (Myron Stowe) [2052155] - PCI/portdrv: Remove unused resume err_handler (Myron Stowe) [2052155] - PCI: pciehp: Ignore Link Down/Up caused by error-induced Hot Reset (Myron Stowe) [2052155] - PCI/portdrv: Rename pm_iter() to pcie_port_device_iter() (Myron Stowe) [2052155] - x86/pci/probe_roms: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - perf/x86/intel/uncore: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - powerpc/eeh: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - usb: xhci: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - cxl: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - cxl: Factor out common dev->driver expressions (Myron Stowe) [2052155] - xen/pcifront: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - xen/pcifront: Drop pcifront_common_process() tests of pcidev, pdrv (Myron Stowe) [2052155] - nfp: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - mlxsw: pci: Use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - net: marvell: prestera: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - net: hns3: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - crypto: hisilicon - use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - powerpc/eeh: Use dev_driver_string() instead of struct pci_dev->driver->name (Myron Stowe) [2052155] - ssb: Use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - bcma: simplify reference to driver name (Myron Stowe) [2052155] - scsi: message: fusion: Remove unused mpt_pci driver .probe() 'id' parameter (Myron Stowe) [2052155] - PCI/ERR: Factor out common dev->driver expressions (Myron Stowe) [2052155] - PCI: Drop pci_device_probe() test of !pci_dev->driver (Myron Stowe) [2052155] - PCI: Drop pci_device_remove() test of pci_dev->driver (Myron Stowe) [2052155] - PCI: Return NULL for to_pci_driver(NULL) (Myron Stowe) [2052155] - PCI: Do not enable AtomicOps on VFs (Myron Stowe) [2052155] - PCI: Rename pcibios_add_device() to pcibios_device_add() (Myron Stowe) [2052155] - PCI: Re-enable Downstream Port LTR after reset or hotplug (Myron Stowe) [2052155] - rcu-tasks: Fix computation of CPU-to-list shift counts (Waiman Long) [2065994] - torture: Properly redirect kvm-remote.sh "echo" commands (Waiman Long) [2065994] - torture: Fix incorrectly redirected "exit" in kvm-remote.sh (Waiman Long) [2065994] - rcu/exp: Mark current CPU as exp-QS in IPI loop second pass (Waiman Long) [2065994] - rcu-tasks: Use fewer callbacks queues if callback flood ends (Waiman Long) [2065994] - rcu-tasks: Use separate ->percpu_dequeue_lim for callback dequeueing (Waiman Long) [2065994] - rcutorture: Test RCU Tasks lock-contention detection (Waiman Long) [2065994] - rcu-tasks: Use more callback queues if contention encountered (Waiman Long) [2065994] - rcu-tasks: Avoid raw-spinlocked wakeups from call_rcu_tasks_generic() (Waiman Long) [2065994] - rcutorture: Combine n_max_cbs from all kthreads in a callback flood (Waiman Long) [2065994] - rcutorture: Add ability to limit callback-flood intensity (Waiman Long) [2065994] - rcutorture: Cause TREE02 and TREE10 scenarios to do more callback flooding (Waiman Long) [2065994] - rcutorture: Enable multiple concurrent callback-flood kthreads (Waiman Long) [2065994] - rcu/nocb: Merge rcu_spawn_cpu_nocb_kthread() and rcu_spawn_one_nocb_kthread() (Waiman Long) [2065994] - rcu/nocb: Allow empty "rcu_nocbs" kernel parameter (Waiman Long) [2065994] - rcu/nocb: Create kthreads on all CPUs if "rcu_nocbs=" or "nohz_full=" are passed (Waiman Long) [2065994] - rcu/nocb: Optimize kthreads and rdp initialization (Waiman Long) [2065994] - rcu/nocb: Prepare nocb_cb_wait() to start with a non-offloaded rdp (Waiman Long) [2065994] - rcu/nocb: Remove rcu_node structure from nocb list when de-offloaded (Waiman Long) [2065994] - rcu-tasks: Count trylocks to estimate call_rcu_tasks() contention (Waiman Long) [2065994] - torture: Retry download once before giving up (Waiman Long) [2065994] - rcutorture: Add CONFIG_PREEMPT_DYNAMIC=n to tiny scenarios (Waiman Long) [2065994] - rcu: Avoid alloc_pages() when recording stack (Waiman Long) [2065994] - rcu: Avoid running boost kthreads on isolated CPUs (Waiman Long) [2065994] - rcutorture: Test RCU-tasks multiqueue callback queueing (Waiman Long) [2065994] - rcu-tasks: Add rcupdate.rcu_task_enqueue_lim to set initial queueing (Waiman Long) [2065994] - rcu-tasks: Make rcu_barrier_tasks*() handle multiple callback queues (Waiman Long) [2065994] - rcu-tasks: Use workqueues for multiple rcu_tasks_invoke_cbs() invocations (Waiman Long) [2065994] - torture: Make kvm-find-errors.sh report link-time undefined symbols (Waiman Long) [2065994] - rcutorture: Avoid soft lockup during cpu stall (Waiman Long) [2065994] - rcu-tasks: Abstract invocations of callbacks (Waiman Long) [2065994] - rcu-tasks: Abstract checking of callback lists (Waiman Long) [2065994] - rcu-tasks: Add a ->percpu_enqueue_lim to the rcu_tasks structure (Waiman Long) [2065994] - rcu-tasks: Inspect stalled task's trc state in locked state (Waiman Long) [2065994] - rcu-tasks: Use spin_lock_rcu_node() and friends (Waiman Long) [2065994] - rcu_tasks: Convert bespoke callback list to rcu_segcblist structure (Waiman Long) [2065994] - rcu-tasks: Convert grace-period counter to grace-period sequence number (Waiman Long) [2065994] - rcu-tasks: Introduce ->percpu_enqueue_shift for dynamic queue selection (Waiman Long) [2065994] - rcu-tasks: Create per-CPU callback lists (Waiman Long) [2065994] - locktorture,rcutorture,torture: Always log error message (Waiman Long) [2065994] - scftorture: Always log error message (Waiman Long) [2065994] - doc: RCU: Avoid 'Symbol' font-family in SVG figures (Waiman Long) [2065994] - rcuscale: Always log error message (Waiman Long) [2065994] - scftorture: Account for weight_resched when checking for all zeroes (Waiman Long) [2065994] - scftorture: Remove unused SCFTORTOUT (Waiman Long) [2065994] - scftorture: Add missing '\n' to flush message (Waiman Long) [2065994] - refscale: Add missing '\n' to flush message (Waiman Long) [2065994] - doc: Add refcount analogy to What is RCU (Waiman Long) [2065994] - refscale: Always log the error message (Waiman Long) [2065994] - refscale: Prevent buffer to pr_alert() being too long (Waiman Long) [2065994] - refscale: Simplify the errexit checkpoint (Waiman Long) [2065994] - rcu: Improve tree_plugin.h comments and add code cleanups (Waiman Long) [2065994] - rcu/nocb: Don't invoke local rcu core on callback overload from nocb kthread (Waiman Long) [2065994] - rcu: Apply callbacks processing time limit only on softirq (Waiman Long) [2065994] - rcu: Fix callbacks processing time limit retaining cond_resched() (Waiman Long) [2065994] - rcu/nocb: Limit number of softirq callbacks only on softirq (Waiman Long) [2065994] - rcu/nocb: Use appropriate rcu_nocb_lock_irqsave() (Waiman Long) [2065994] - rcu/nocb: Check a stable offloaded state to manipulate qlen_last_fqs_check (Waiman Long) [2065994] - rcu/nocb: Make rcu_core() callbacks acceleration (de-)offloading safe (Waiman Long) [2065994] - rcu/nocb: Make rcu_core() callbacks acceleration preempt-safe (Waiman Long) [2065994] - rcu/nocb: Invoke rcu_core() at the start of deoffloading (Waiman Long) [2065994] - rcu/nocb: Prepare state machine for a new step (Waiman Long) [2065994] - rcu/nocb: Make local rcu_nocb_lock_irqsave() safe against concurrent deoffloading (Waiman Long) [2065994] - rcu: Make idle entry report expedited quiescent states (Waiman Long) [2065994] - rcu: Prevent expedited GP from enabling tick on offline CPU (Waiman Long) [2065994] - rcu: Mark sync_sched_exp_online_cleanup() ->cpu_no_qs.b.exp load (Waiman Long) [2065994] - rcu: Always inline rcu_dynticks_task*_{enter,exit}() (Waiman Long) [2065994] - rcu: in_irq() cleanup (Waiman Long) [2065994] - doc: Remove obsolete kernel-per-CPU-kthreads RCU_FAST_NO_HZ advice (Waiman Long) [2065994] - rcutorture: Suppress pi-lock-across read-unlock testing for Tiny SRCU (Waiman Long) [2065994] - srcu: Prevent redundant __srcu_read_unlock() wakeup (Waiman Long) [2065994] - rcutorture: More thoroughly test nested readers (Waiman Long) [2065994] - rcutorture: Sanitize RCUTORTURE_RDR_MASK (Waiman Long) [2065994] - torture: Catch kvm.sh help text up with actual options (Waiman Long) [2065994] - rcu-tasks: Don't remove tasks with pending IPIs from holdout list (Waiman Long) [2065994] - rcu: Remove rcu_data.exp_deferred_qs and convert to rcu_data.cpu no_qs.b.exp (Waiman Long) [2065994] - rcu: Move rcu_data.cpu_no_qs.b.exp reset to rcu_export_exp_rdp() (Waiman Long) [2065994] - rcu: Ignore rdp.cpu_no_qs.b.exp on preemptible RCU's rcu_qs() (Waiman Long) [2065994] - rcu-tasks: Update comments to cond_resched_tasks_rcu_qs() (Waiman Long) [2065994] - rcu: Replace ________p1 and _________p1 with __UNIQUE_ID(rcu) (Waiman Long) [2065994] - rcu-tasks: Fix IPI failure handling in trc_wait_for_one_reader (Waiman Long) [2065994] - rcu-tasks: Fix read-side primitives comment for call_rcu_tasks_trace (Waiman Long) [2065994] - rcutorture: Avoid problematic critical section nesting on PREEMPT_RT (Waiman Long) [2065994] - rcu: Fix existing exp request check in sync_sched_exp_online_cleanup() (Waiman Long) [2065994] - rcu-tasks: Clarify read side section info for rcu_tasks_rude GP primitives (Waiman Long) [2065994] - rcu-tasks: Correct comparisons for CPU numbers in show_stalled_task_trace (Waiman Long) [2065994] - rcu-tasks: Correct firstreport usage in check_all_holdout_tasks_trace (Waiman Long) [2065994] - rcu-tasks: Fix s/rcu_add_holdout/trc_add_holdout/ typo in comment (Waiman Long) [2065994] - rcu-tasks: Move RTGS_WAIT_CBS to beginning of rcu_tasks_kthread() loop (Waiman Long) [2065994] - rcu: Make rcu update module parameters world-readable (Waiman Long) [2065994] - rcu: Make rcu_normal_after_boot writable again (Waiman Long) [2065994] - rcutorture: Don't cpuhp_remove_state() if cpuhp_setup_state() failed (Waiman Long) [2065994] - rcuscale: Warn on individual rcu_scale_init() error conditions (Waiman Long) [2065994] - locktorture: Warn on individual lock_torture_init() error conditions (Waiman Long) [2065994] - rcutorture: Warn on individual rcu_torture_init() error conditions (Waiman Long) [2065994] - rcu-tasks: Fix s/instruction/instructions/ typo in comment (Waiman Long) [2065994] - rcutorture: Suppressing read-exit testing is not an error (Waiman Long) [2065994] - rcu-tasks: Wait for trc_read_check_handler() IPIs (Waiman Long) [2065994] - rcu: Make rcutree_dying_cpu() use its "cpu" parameter (Waiman Long) [2065994] - rcu: Simplify rcu_report_dead() call to rcu_report_exp_rdp() (Waiman Long) [2065994] - rcu: Move rcu_dynticks_eqs_online() to rcu_cpu_starting() (Waiman Long) [2065994] - rcu-tasks: Remove second argument of rcu_read_unlock_trace_special() (Waiman Long) [2065994] - rcu-tasks: Add trc_inspect_reader() checks for exiting critical section (Waiman Long) [2065994] - rcu-tasks: Simplify trc_read_check_handler() atomic operations (Waiman Long) [2065994] - rcu: Comment rcu_gp_init() code waiting for CPU-hotplug operations (Waiman Long) [2065994] - rcu: Fix undefined Kconfig macros (Waiman Long) [2065994] - doc: Add another stall-warning root cause in stallwarn.rst (Waiman Long) [2065994] - rcu: Eliminate rcu_implicit_dynticks_qs() local variable ruqp (Waiman Long) [2065994] - rcu: Eliminate rcu_implicit_dynticks_qs() local variable rnhqp (Waiman Long) [2065994] - rcu-nocb: Fix a couple of tree_nocb code-style nits (Waiman Long) [2065994] - torture: Make torture.sh print the number of files to be compressed (Waiman Long) [2065994] - torture: Apply CONFIG_KCSAN_STRICT to kvm.sh --kcsan argument (Waiman Long) [2065994] - x86/tsc: Disable clocksource watchdog for TSC on qualified platorms (David Arcari) [2057923] - x86/tsc: Add a timer to make sure TSC_adjust is always checked (David Arcari) [2057923] - Revert "xfs: actually bump warning counts when we send warnings" (Carlos Maiolino) [2060081] - vfs: check dentry is still valid in get_link() (Ian Kent) [2048567] - xfs: don't expose internal symlink metadata buffers to the vfs (Ian Kent) [2048567] - audit: log AUDIT_TIME_* records only from rules (Richard Guy Briggs) [2035124] - audit: don't deref the syscall args when checking the openat2 open_how::flags (Richard Guy Briggs) [2035124] - audit: improve audit queue handling when "audit=1" on cmdline (Richard Guy Briggs) [2035124] - audit: replace zero-length array with flexible-array member (Richard Guy Briggs) [2035124] - audit: use struct_size() helper in audit_[send|make]_reply() (Richard Guy Briggs) [2035124] - audit: ensure userspace is penalized the same as the kernel when under pressure (Richard Guy Briggs) [2035124] - audit: use struct_size() helper in kmalloc() (Richard Guy Briggs) [2035124] - audit: improve robustness of the audit queue handling (Richard Guy Briggs) [2035124] - fsnotify: clarify contract for create event hooks (Richard Guy Briggs) [2035124] - fsnotify: pass dentry instead of inode data (Richard Guy Briggs) [2035124] - fsnotify: pass data_type to fsnotify_name() (Richard Guy Briggs) [2035124] - fsnotify: fix sb_connectors leak (Richard Guy Briggs) [2035124] - fsnotify: optimize the case of no marks of any type (Richard Guy Briggs) [2035124] - fsnotify: count all objects with attached connectors (Richard Guy Briggs) [2035124] - fsnotify: count s_fsnotify_inode_refs for attached connectors (Richard Guy Briggs) [2035124] - fsnotify: replace igrab() with ihold() on attach connector (Richard Guy Briggs) [2035124] - audit: return early if the filter rule has a lower priority (Richard Guy Briggs) [2035124] - audit: add OPENAT2 record to list "how" info (Richard Guy Briggs) [2035124] - audit: add support for the openat2 syscall (Richard Guy Briggs) [2035124] - audit: replace magic audit syscall class numbers with macros (Richard Guy Briggs) [2035124] - lsm_audit: avoid overloading the "key" audit field (Richard Guy Briggs) [2035124] - audit: Convert to SPDX identifier (Richard Guy Briggs) [2035124] - audit: rename struct node to struct audit_node to prevent future name collisions (Richard Guy Briggs) [2035124] - audit: add filtering for io_uring records (Richard Guy Briggs) [2035124] - audit,io_uring,io-wq: add some basic audit support to io_uring (Richard Guy Briggs) [2035124] - audit: prepare audit_context for use in calling contexts beyond syscalls (Richard Guy Briggs) [2035124] - audit: fix possible null-pointer dereference in audit_filter_rules (Richard Guy Briggs) [2035124] - audit: add header protection to kernel/audit.h (Richard Guy Briggs) [2035124] Resolves: rhbz#2056769, rhbz#2056770, rhbz#2057923, rhbz#2056771, rhbz#2065994, rhbz#2048779, rhbz#2049164, rhbz#2068688, rhbz#2020113, rhbz#2068432, rhbz#2063067, rhbz#2062428, rhbz#2038242, rhbz#2063906, rhbz#2037988, rhbz#2073506, rhbz#2060081, rhbz#2035124, rhbz#2061556, rhbz#2024054, rhbz#2048567, rhbz#2036152, rhbz#2066297, rhbz#2052155 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-19 10:50:59 +00:00
CONFIG_I6300ESB_WDT=m
# CONFIG_IAQCORE is not set
CONFIG_IAVF=m
kernel-5.14.0-79.el9 * Tue Apr 19 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-79.el9] - redhat/configs: Enable WDT devices used by qemu VMs (Prarit Bhargava) [2074160] - redhat/configs: disable CONFIG_CAN_SOFTING (Brian Masney) [2073521] - myri10ge: mark as unmaintained (Michal Schmidt) [2054208] - benet: mark device 0xe220 (Lancer) as unmaintained (Michal Schmidt) [2054208] - bnx2: mark as unmaintained (Michal Schmidt) [2054208] - ice: Fix race condition during interface enslave (Petr Oros) [1988953] - ice: Fix error with handling of bonding MTU (Petr Oros) [1988953] - ice: Avoid RTNL lock when re-creating auxiliary device (Petr Oros) [1988953] - ice: Fix KASAN error in LAG NETDEV_UNREGISTER handler (Petr Oros) [1988953] - userfaultfd/selftests: fix calculation of expected ioctls (Desnes A. Nunes do Rosario) [2036152] - userfaultfd/selftests: fix feature support detection (Desnes A. Nunes do Rosario) [2036152] - userfaultfd/selftests: don't rely on GNU extensions for random numbers (Desnes A. Nunes do Rosario) [2036152] - CI: Drop baseline runs (Veronika Kabatova) - PCI: hv: Propagate coherence from VMbus device to PCI device (Vitaly Kuznetsov) [2068432] - Drivers: hv: vmbus: Propagate VMbus coherence to each VMbus device (Vitaly Kuznetsov) [2068432] - ibmvnic: fix race between xmit and reset (Gustavo Walbon) [2061556] - mlxsw: spectrum_router: Increase parsing depth for multipath hash (Ivan Vecera) [2020113] - mlxsw: Remove old parsing depth infrastructure (Ivan Vecera) [2020113] - mlxsw: Convert existing consumers to use new API for parsing configuration (Ivan Vecera) [2020113] - mlxsw: spectrum: Add infrastructure for parsing configuration (Ivan Vecera) [2020113] - ipv6: separate ndisc_ns_create() from ndisc_send_ns() (Ivan Vecera) [2073506] - powerpc/mce: Modify the real address error logging messages (Desnes A. Nunes do Rosario) [2068688] - selftests/powerpc: Add test for real address error handling (Desnes A. Nunes do Rosario) [2068688] - powerpc/pseries: Parse control memory access error (Desnes A. Nunes do Rosario) [2068688] - tools headers UAPI: Sync linux/perf_event.h with the kernel sources (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix power_pmu_disable to call clear_pmi_irq_pending only if PMI is pending (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Only define power_pmu_wants_prompt_pmi() for CONFIG_PPC64 (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Add data source encodings for power10 platform (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Add encodings to represent data based on newer composite PERF_MEM_LVLNUM* fields (Desnes A. Nunes do Rosario) [2048779] - perf: Add new macros for mem_hops field (Desnes A. Nunes do Rosario) [2048779] - tools headers UAPI: Add new macros for mem_hops field to perf_event.h (Desnes A. Nunes do Rosario) [2048779] - bpf: Remove config check to enable bpf support for branch records (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Update global/local variants for p_stage_cyc (Desnes A. Nunes do Rosario) [2048779] - perf sort: Include global and local variants for p_stage_cyc sort key (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'p_stage_cyc' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'ins_lat' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf sort: Fix the 'weight' sort key behavior (Desnes A. Nunes do Rosario) [2048779] - perf vendor events power10: Add metric events JSON file for power10 platform (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Add support to expose instruction and data address registers as part of extended regs (Desnes A. Nunes do Rosario) [2048779] - perf powerpc: Refactor the code definition of perf reg extended mask in tools side header file (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix cycles/instructions as PM_CYC/PM_INST_CMPL in power10 (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Expose instruction and data address registers as part of extended regs (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Refactor the code definition of perf reg extended mask (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix data source encodings for L2.1 and L3.1 accesses (Desnes A. Nunes do Rosario) [2048779] - tools/perf: Add mem_hops field in perf_mem_data_src structure (Desnes A. Nunes do Rosario) [2048779] - perf: Add mem_hops field in perf_mem_data_src structure (Desnes A. Nunes do Rosario) [2048779] - perf: Add comment about current state of PERF_MEM_LVL_* namespace and remove an extra line (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix the check for SIAR value (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Drop the case of returning 0 as instruction pointer (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Use stack siar instead of mfspr (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf/hv-gpci: Fix counter value parsing (Desnes A. Nunes do Rosario) [2048779] - powerpc/perf: Fix PMU callbacks to clear pending PMI before resetting an overflown PMC (Desnes A. Nunes do Rosario) [2048779] - EVM: fix the evm= __setup handler return value (Bruno Meneguele) [2063906] - ima: Do not print policy rule with inactive LSM labels (Bruno Meneguele) [2063906] - ima: Allow template selection with ima_template[_fmt]= after ima_hash= (Bruno Meneguele) [2063906] - ima: Remove ima_policy file before directory (Bruno Meneguele) [2063906] - integrity: check the return value of audit_log_start() (Bruno Meneguele) [2063906] - ima: fix reference leak in asymmetric_verify() (Bruno Meneguele) [2063906] - ipv4: avoid using shared IP generator for connected sockets (Paolo Abeni) [2062428] {CVE-2020-36516} - bpf/selftests: Fix namespace mount setup in tc_redirect (Yauheni Kaliuta) [2063067] - memcg: do not tweak node in alloc_mem_cgroup_per_node_info (Nico Pache) [2024054] - mm: make free_area_init_node aware of memory less nodes (Nico Pache) [2024054] - mm, memory_hotplug: reorganize new pgdat initialization (Nico Pache) [2024054] - mm, memory_hotplug: drop arch_free_nodedata (Nico Pache) [2024054] - mm: handle uninitialized numa nodes gracefully (Nico Pache) [2024054] - mm, memory_hotplug: make arch_alloc_nodedata independent on CONFIG_MEMORY_HOTPLUG (Nico Pache) [2024054] - block: restore the old set_task_ioprio() behaviour wrt PF_EXITING (Ming Lei) [2066297] - scsi: core: scsi_logging: Fix a BUG (Ming Lei) [2066297] - scsi: sr: Fix typo in CDROM(CLOSETRAY|EJECT) handling (Ming Lei) [2066297] - block: add __must_check for *add_disk*() callers (Ming Lei) [2066297] - scsi: bsg: Fix errno when scsi_bsg_register_queue() fails (Ming Lei) [2066297] - scsi: st: Add missing break in switch statement in st_ioctl() (Ming Lei) [2066297] - scsi: core: scsi_ioctl: Fix error code propagation in SG_IO (Ming Lei) [2066297] - scsi: bsg: Fix device unregistration (Ming Lei) [2066297] - scsi: bsg-lib: Fix commands without data transfer in bsg_transport_sg_io_fn() (Ming Lei) [2066297] - scsi: bsg: Fix commands without data transfer in scsi_bsg_sg_io_fn() (Ming Lei) [2066297] - block: limit request dispatch loop duration (Ming Lei) [2066297] - block: fix rq-qos breakage from skipping rq_qos_done_bio() (Ming Lei) [2066297] - block: flush plug based on hardware and software queue order (Ming Lei) [2066297] - block: ensure plug merging checks the correct queue at least once (Ming Lei) [2066297] - block: update io_ticks when io hang (Ming Lei) [2066297] - block: release rq qos structures for queue without disk (Ming Lei) [2066297] - block: fix blk_mq_attempt_bio_merge and rq_qos_throttle protection (Ming Lei) [2066297] - blktrace: fix use after free for struct blk_trace (Ming Lei) [2066297] - block: clear iocb->private in blkdev_bio_end_io_async() (Ming Lei) [2066297] - block/wbt: fix negative inflight counter when remove scsi device (Ming Lei) [2066297] - block-map: add __GFP_ZERO flag for alloc_page in function bio_copy_kern (Ming Lei) [2066297] - block: loop:use kstatfs.f_bsize of backing file to set discard granularity (Ming Lei) [2066297] - block: Add handling for zone append command in blk_complete_request (Ming Lei) [2066297] - loop: revert "make autoclear operation asynchronous" (Ming Lei) [2066297] - block: fix DIO handling regressions in blkdev_read_iter() (Ming Lei) [2066297] - blk-mq: fix missing blk_account_io_done() in error path (Ming Lei) [2066297] - block: cleanup q->srcu (Ming Lei) [2066297] - block: Remove unnecessary variable assignment (Ming Lei) [2066297] - brd: remove brd_devices_mutex mutex (Ming Lei) [2066297] - aoe: remove redundant assignment on variable n (Ming Lei) [2066297] - loop: remove redundant initialization of pointer node (Ming Lei) [2066297] - block/rnbd-clt-sysfs: use default_groups in kobj_type (Ming Lei) [2066297] - pktcdvd: convert to use attribute groups (Ming Lei) [2066297] - rsxx: Drop PCI legacy power management (Ming Lei) [2066297] - mtip32xx: convert to generic power management (Ming Lei) [2066297] - mtip32xx: remove pointless drvdata lookups (Ming Lei) [2066297] - mtip32xx: remove pointless drvdata checking (Ming Lei) [2066297] - drbd: Use struct_group() to zero algs (Ming Lei) [2066297] - loop: make autoclear operation asynchronous (Ming Lei) [2066297] - null_blk: cast command status to integer (Ming Lei) [2066297] - pktdvd: stop using bdi congestion framework. (Ming Lei) [2066297] - block: null_blk: batched complete poll requests (Ming Lei) [2066297] - floppy: Add max size check for user space request (Ming Lei) [2066297] - floppy: Fix hang in watchdog when disk is ejected (Ming Lei) [2066297] - loop: don't hold lo_mutex during __loop_clr_fd() (Ming Lei) [2066297] - MAINTAINERS: add entries for block layer documentation (Ming Lei) [2066297] - docs: sysfs-block: add contact for nomerges (Ming Lei) [2066297] - docs: sysfs-block: sort alphabetically (Ming Lei) [2066297] - docs: sysfs-block: move to stable directory (Ming Lei) [2066297] - block: fix old-style declaration (Ming Lei) [2066297] - nvme-pci: fix queue_rqs list splitting (Ming Lei) [2066297] - block: introduce rq_list_move (Ming Lei) [2066297] - block: drop needless assignment in set_task_ioprio() (Ming Lei) [2066297] - bio.h: fix kernel-doc warnings (Ming Lei) [2066297] - block: check minor range in device_add_disk() (Ming Lei) [2066297] - block: use "unsigned long" for blk_validate_block_size(). (Ming Lei) [2066297] - block: fix error unwinding in device_add_disk (Ming Lei) [2066297] - block: call blk_exit_queue() before freeing q->stats (Ming Lei) [2066297] - block: fix error in handling dead task for ioprio setting (Ming Lei) [2066297] - blk-mq: blk-mq: check quiesce state before queue_rqs (Ming Lei) [2066297] - block: only build the icq tracking code when needed (Ming Lei) [2066297] - block: fold create_task_io_context into ioc_find_get_icq (Ming Lei) [2066297] - block: open code create_task_io_context in set_task_ioprio (Ming Lei) [2066297] - block: fold get_task_io_context into set_task_ioprio (Ming Lei) [2066297] - block: move set_task_ioprio to blk-ioc.c (Ming Lei) [2066297] - block: cleanup ioc_clear_queue (Ming Lei) [2066297] - block: refactor put_io_context (Ming Lei) [2066297] - block: remove the NULL ioc check in put_io_context (Ming Lei) [2066297] - block: refactor put_iocontext_active (Ming Lei) [2066297] - block: simplify struct io_context refcounting (Ming Lei) [2066297] - block: remove the nr_task field from struct io_context (Ming Lei) [2066297] - nvme: add support for mq_ops->queue_rqs() (Ming Lei) [2066297] - block: add mq_ops->queue_rqs hook (Ming Lei) [2066297] - block: use singly linked list for bio cache (Ming Lei) [2066297] - block: add completion handler for fast path (Ming Lei) [2066297] - block: make queue stat accounting a reference (Ming Lei) [2066297] - bdev: Improve lookup_bdev documentation (Ming Lei) [2066297] - mtd_blkdevs: don't scan partitions for plain mtdblock (Ming Lei) [2066297] - blk-mq: Optimise blk_mq_queue_tag_busy_iter() for shared tags (Ming Lei) [2066297] - blk-mq: Delete busy_iter_fn (Ming Lei) [2066297] - blk-mq: Drop busy_iter_fn blk_mq_hw_ctx argument (Ming Lei) [2066297] - blk-mq: don't use plug->mq_list->q directly in blk_mq_run_dispatch_ops() (Ming Lei) [2066297] - blk-mq: don't run might_sleep() if the operation needn't blocking (Ming Lei) [2066297] - blk-mq: run dispatch lock once in case of issuing from list (Ming Lei) [2066297] - blk-mq: pass request queue to blk_mq_run_dispatch_ops (Ming Lei) [2066297] - blk-mq: move srcu from blk_mq_hw_ctx to request_queue (Ming Lei) [2066297] - blk-mq: remove hctx_lock and hctx_unlock (Ming Lei) [2066297] - block: switch to atomic_t for request references (Ming Lei) [2066297] - block: move direct_IO into our own read_iter handler (Ming Lei) [2066297] - mm: move filemap_range_needs_writeback() into header (Ming Lei) [2066297] - mm: move more expensive part of XA setup out of mapping check (Ming Lei) [2066297] - block: get rid of useless goto and label in blk_mq_get_new_requests() (Ming Lei) [2066297] - blk-mq: check q->poll_stat in queue_poll_stat_show (Ming Lei) [2066297] - scsi: remove the gendisk argument to scsi_ioctl (Ming Lei) [2066297] - block: remove the gendisk argument to blk_execute_rq (Ming Lei) [2066297] - block: remove the ->rq_disk field in struct request (Ming Lei) [2066297] - block: don't check ->rq_disk in merges (Ming Lei) [2066297] - mtd_blkdevs: remove the sector out of range check in do_blktrans_request (Ming Lei) [2066297] - block: Remove redundant initialization of variable ret (Ming Lei) [2066297] - block: simplify ioc_lookup_icq (Ming Lei) [2066297] - block: simplify ioc_create_icq (Ming Lei) [2066297] - block: return the io_context from create_task_io_context (Ming Lei) [2066297] - block: use alloc_io_context in __copy_io (Ming Lei) [2066297] - block: factor out a alloc_io_context helper (Ming Lei) [2066297] - block: remove get_io_context_active (Ming Lei) [2066297] - block: move the remaining elv.icq handling to the I/O scheduler (Ming Lei) [2066297] - block: move blk_mq_sched_assign_ioc to blk-ioc.c (Ming Lei) [2066297] - block: mark put_io_context_active static (Ming Lei) [2066297] - Revert "block: Provide blk_mq_sched_get_icq()" (Ming Lei) [2066297] - bfq: use bfq_bic_lookup in bfq_limit_depth (Ming Lei) [2066297] - bfq: simplify bfq_bic_lookup (Ming Lei) [2066297] - fork: move copy_io to block/blk-ioc.c (Ming Lei) [2066297] - bfq: Do not let waker requests skip proper accounting (Ming Lei) [2066297] - bfq: Log waker detections (Ming Lei) [2066297] - bfq: Provide helper to generate bfqq name (Ming Lei) [2066297] - bfq: Limit waker detection in time (Ming Lei) [2066297] - bfq: Limit number of requests consumed by each cgroup (Ming Lei) [2066297] - bfq: Store full bitmap depth in bfq_data (Ming Lei) [2066297] - bfq: Track number of allocated requests in bfq_entity (Ming Lei) [2066297] - block: Provide blk_mq_sched_get_icq() (Ming Lei) [2066297] - mmc: core: Use blk_mq_complete_request_direct(). (Ming Lei) [2066297] - blk-mq: Add blk_mq_complete_request_direct() (Ming Lei) [2066297] - blk-crypto: remove blk_crypto_unregister() (Ming Lei) [2066297] - block: don't include <linux/part_stat.h> in blk.h (Ming Lei) [2066297] - block: don't include <linux/idr.h> in blk.h (Ming Lei) [2066297] - block: don't include <linux/blk-mq.h> in blk.h (Ming Lei) [2066297] - block: don't include blk-mq.h in blk.h (Ming Lei) [2066297] - block: don't include blk-mq-sched.h in blk.h (Ming Lei) [2066297] - block: remove the e argument to elevator_exit (Ming Lei) [2066297] - block: remove elevator_exit (Ming Lei) [2066297] - block: move blk_get_flush_queue to blk-flush.c (Ming Lei) [2066297] - blk_mq: remove repeated includes (Ming Lei) [2066297] - block: move io_context creation into where it's needed (Ming Lei) [2066297] - block: only allocate poll_stats if there's a user of them (Ming Lei) [2066297] - blk-ioprio: don't set bio priority if not needed (Ming Lei) [2066297] - blk-mq: move more plug handling from blk_mq_submit_bio into blk_add_rq_to_plug (Ming Lei) [2066297] - sr: set GENHD_FL_REMOVABLE earlier (Ming Lei) [2066297] - block: cleanup the GENHD_FL_* definitions (Ming Lei) [2066297] - block: don't set GENHD_FL_NO_PART for hidden gendisks (Ming Lei) [2066297] - block: remove GENHD_FL_EXT_DEVT (Ming Lei) [2066297] - block: remove GENHD_FL_SUPPRESS_PARTITION_INFO (Ming Lei) [2066297] - mmc: don't set GENHD_FL_SUPPRESS_PARTITION_INFO (Ming Lei) [2066297] - null_blk: don't suppress partitioning information (Ming Lei) [2066297] - block: remove the GENHD_FL_HIDDEN check in blkdev_get_no_open (Ming Lei) [2066297] - block: rename GENHD_FL_NO_PART_SCAN to GENHD_FL_NO_PART (Ming Lei) [2066297] - block: merge disk_scan_partitions and blkdev_reread_part (Ming Lei) [2066297] - block: remove a dead check in show_partition (Ming Lei) [2066297] - block: remove GENHD_FL_CD (Ming Lei) [2066297] - block: move GENHD_FL_BLOCK_EVENTS_ON_EXCL_WRITE to disk->event_flags (Ming Lei) [2066297] - block: move GENHD_FL_NATIVE_CAPACITY to disk->state (Ming Lei) [2066297] - block: don't include blk-mq headers in blk-core.c (Ming Lei) [2066297] - block: move blk_print_req_error to blk-mq.c (Ming Lei) [2066297] - block: move blk_dump_rq_flags to blk-mq.c (Ming Lei) [2066297] - block: move blk_account_io_{start,done} to blk-mq.c (Ming Lei) [2066297] - block: move blk_steal_bios to blk-mq.c (Ming Lei) [2066297] - block: move blk_rq_init to blk-mq.c (Ming Lei) [2066297] - block: move request based cloning helpers to blk-mq.c (Ming Lei) [2066297] - blk-mq: move blk_mq_flush_plug_list (Ming Lei) [2066297] - block: remove blk-exec.c (Ming Lei) [2066297] - block: remove rq_flush_dcache_pages (Ming Lei) [2066297] - block: move blk_rq_err_bytes to scsi (Ming Lei) [2066297] - block: remove blk_{get,put}_request (Ming Lei) [2066297] - block: remove QUEUE_FLAG_SCSI_PASSTHROUGH (Ming Lei) [2066297] - block: remove the initialize_rq_fn blk_mq_ops method (Ming Lei) [2066297] - scsi: add a scsi_alloc_request helper (Ming Lei) [2066297] - bsg-lib: initialize the bsg_job in bsg_transport_sg_io_fn (Ming Lei) [2066297] - nfsd/blocklayout: use ->get_unique_id instead of sending SCSI commands (Ming Lei) [2066297] - sd: implement ->get_unique_id (Ming Lei) [2066297] - block: add a ->get_unique_id method (Ming Lei) [2066297] - scsi: bsg: Move the whole request execution into the SCSI/transport handlers (Ming Lei) [2066297] - scsi: block: Remove the remaining SG_IO-related fields from struct request_queue (Ming Lei) [2066297] - scsi: block: Remove BLK_SCSI_MAX_CMDS (Ming Lei) [2066297] - scsi: bsg: Simplify device registration (Ming Lei) [2066297] - scsi: sr: cdrom: Move cdrom_read_cdda_bpc() into the sr driver (Ming Lei) [2066297] - scsi: scsi_ioctl: Unexport sg_scsi_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Factor SG_IO handling into a helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Factor SCSI_IOCTL_GET_IDLUN handling into a helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Consolidate the START STOP UNIT handling (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove a very misleading comment (Ming Lei) [2066297] - scsi: core: Rename CONFIG_BLK_SCSI_REQUEST to CONFIG_SCSI_COMMON (Ming Lei) [2066297] - scsi: scsi_ioctl: Move the "block layer" SCSI ioctl handling to drivers/scsi (Ming Lei) [2066297] - scsi: scsi_ioctl: Simplify SCSI passthrough permission checking (Ming Lei) [2066297] - scsi: scsi_ioctl: Move scsi_command_size_tbl to scsi_common.c (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_req_init() (Ming Lei) [2066297] - scsi: bsg: Move bsg_scsi_ops to drivers/scsi/ (Ming Lei) [2066297] - scsi: bsg: Decouple from scsi_cmd_ioctl() (Ming Lei) [2066297] - scsi: block: Add a queue_max_bytes() helper (Ming Lei) [2066297] - scsi: scsi_ioctl: Call scsi_cmd_ioctl() from scsi_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_verify_blk_ioctl() (Ming Lei) [2066297] - scsi: scsi_ioctl: Remove scsi_cmd_blk_ioctl() (Ming Lei) [2066297] - scsi: cdrom: Remove the call to scsi_cmd_blk_ioctl() from cdrom_ioctl() (Ming Lei) [2066297] - scsi: st: Simplify ioctl handling (Ming Lei) [2066297] - scsi: core: Remove scsi_compat_ioctl() (Ming Lei) [2066297] - scsi: sg: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: ch: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: sd: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: sr: Consolidate compat ioctl handling (Ming Lei) [2066297] - scsi: bsg: Remove support for SCSI_IOCTL_SEND_COMMAND (Ming Lei) [2066297] - block: fix argument type of bio_trim() (Ming Lei) [2066297] - powerpc/xive: Export XIVE IPI information for online-only processors. (Steve Best) [2038242] - RDMA/cma: Do not change route.addr.src_addr outside state checks (Kamal Heib) [2056771] - RDMA/ib_srp: Fix a deadlock (Kamal Heib) [2056771] - RDMA/siw: Fix broken RDMA Read Fence/Resume logic. (Kamal Heib) [2056771] - IB/cm: Release previously acquired reference counter in the cm_id_priv (Kamal Heib) [2056771] - RDMA/siw: Fix refcounting leak in siw_create_qp() (Kamal Heib) [2056771] - RDMA/ucma: Protect mc during concurrent multicast leaves (Kamal Heib) [2056771] - RDMA/cma: Use correct address when leaving multicast group (Kamal Heib) [2056771] - IB/hfi1: Fix tstats alloc and dealloc (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix AIP early init panic (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix alloc failure with larger txqueuelen (Kamal Heib) [2049164 2056771] - IB/hfi1: Fix panic with larger ipoib send_queue_size (Kamal Heib) [2049164 2056771] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Kamal Heib) [2056771] - RDMA/irdma: Remove the redundant return (Kamal Heib) [2037988 2056771] - RDMA/irdma: Make the source udp port vary (Kamal Heib) [2037988 2056771] - RDMA/core: Calculate UDP source port based on flow label or lqpn/rqpn (Kamal Heib) [2056771] - IB/iser: Align coding style across driver (Kamal Heib) [2056771] - IB/iser: Remove un-needed casting to/from void pointer (Kamal Heib) [2056771] - IB/iser: Don't suppress send completions (Kamal Heib) [2056771] - IB/iser: Rename ib_ret local variable (Kamal Heib) [2056771] - IB/iser: Fix RNR errors (Kamal Heib) [2056771] - IB/iser: Remove deprecated pi_guard module param (Kamal Heib) [2056771] - IB/mlx5: Expose NDR speed through MAD (Kamal Heib) [2056771] - RDMA/mad: Delete duplicated init_query_mad functions (Kamal Heib) [2056771] - RDMA: Use default_groups in kobj_type (Kamal Heib) [2056771] - RDMA/irdma: Use auxiliary_device driver data helpers (Kamal Heib) [2037988 2056771] - driver core: auxiliary bus: Add driver data helpers (Kamal Heib) [2056771] - RDMA/cma: Let cma_resolve_ib_dev() continue search even after empty entry (Kamal Heib) [2056771] - RDMA/core: Let ib_find_gid() continue search even after empty entry (Kamal Heib) [2056771] - RDMA/core: Modify rdma_query_gid() to return accurate error codes (Kamal Heib) [2056771] - RDMA/irdma: Fix the type used to declare a bitmap (Kamal Heib) [2037988 2056771] - IB/core: Remove redundant pointer mm (Kamal Heib) [2056771] - RDMA/uverbs: Remove the unnecessary assignment (Kamal Heib) [2056771] - RDMA/siw: Use max() instead of doing it manually (Kamal Heib) [2056771] - RDMA/pvrdma: Use non-atomic bitmap functions when possible (Kamal Heib) [2056771] - RDMA/pvrdma: Use bitmap_zalloc() when applicable (Kamal Heib) [2056771] - IB/hfi1: Use bitmap_zalloc() when applicable (Kamal Heib) [2049164 2056771] - RDMA/siw: Use helper function to set sys_image_guid (Kamal Heib) [2056771] - RDMA/cma: Remove open coding of overflow checking for private_data_len (Kamal Heib) [2056771] - RDMA/usnic: Clean up usnic_ib_alloc_pd() (Kamal Heib) [2056771] - RDMA/irdma: Use helper function to set GUIDs (Kamal Heib) [2037988 2056771] - IB/hfi1: Fix leak of rcvhdrtail_dummy_kvaddr (Kamal Heib) [2049164 2056770] - IB/hfi1: Fix early init panic (Kamal Heib) [2049164 2056770] - IB/hfi1: Insure use of smp_processor_id() is preempt disabled (Kamal Heib) [2049164 2056770] - IB/hfi1: Correct guard on eager buffer deallocation (Kamal Heib) [2049164 2056770] - RDMA/nldev: Check stat attribute before accessing it (Kamal Heib) [2056770] - IB/hfi1: Properly allocate rdma counter desc memory (Kamal Heib) [2049164 2056770] - RDMA/core: Set send and receive CQ before forwarding to the driver (Kamal Heib) [2056770] - RDMA/netlink: Add __maybe_unused to static inline in C file (Kamal Heib) [2056770] - RDMA/core: Require the driver to set the IOVA correctly during rereg_mr (Kamal Heib) [2056770] - RDMA/irdma: optimize rx path by removing unnecessary copy (Kamal Heib) [2037988 2056770] - IB/opa_vnic: Rebranding of OPA VNIC driver to Cornelis Networks (Kamal Heib) [2056770] - IB/hfi1: Rebranding of hfi1 driver to Cornelis Networks (Kamal Heib) [2049164 2056770] - RDMA/irdma: Remove the unused variable local_qp (Kamal Heib) [2037988 2056770] - RDMA/core: Fix missed initialization of rdma_hw_stats::lock (Kamal Heib) [2056770] - RDMA/efa: Add support for dmabuf memory regions (Kamal Heib) [2056770] - RDMA/umem: Allow pinned dmabuf umem usage (Kamal Heib) [2056770] - RDMA/irdma: Remove the unused spin lock in struct irdma_qp_uk (Kamal Heib) [2037988 2056770] - RDMA: Constify netdev->dev_addr accesses (Kamal Heib) [2056770] - RDMA/ipoib: Use dev_addr_mod() (Kamal Heib) [2056770] - RDMA/core: Use kvzalloc when allocating the struct ib_port (Kamal Heib) [2056770] - RDMA/irdma: Make irdma_uk_cq_init() return a void (Kamal Heib) [2037988 2056770] - RDMA/core: Set sgtable nents when using ib_dma_virt_map_sg() (Kamal Heib) [2056770] - RDMA/irdma: Remove irdma_cqp_up_map_cmd() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_get_hw_addr() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_sc_send_lsmm_nostag() (Kamal Heib) [2037988 2056770] - RDMA/irdma: Remove irdma_uk_mw_bind() (Kamal Heib) [2037988 2056770] - RDMA: Remove redundant 'flush_workqueue()' calls (Kamal Heib) [2056770] - RDMA/iwpm: Remove redundant initialization of pointer err_str (Kamal Heib) [2056770] - RDMA/nldev: Allow optional-counter status configuration through RDMA netlink (Kamal Heib) [2056770] - RDMA/nldev: Split nldev_stat_set_mode_doit out of nldev_stat_set_doit (Kamal Heib) [2056770] - RDMA/nldev: Add support to get status of all counters (Kamal Heib) [2056770] - RDMA/counter: Add optional counter support (Kamal Heib) [2056770] - RDMA/counter: Add an is_disabled field in struct rdma_hw_stats (Kamal Heib) [2056770] - RDMA/core: Add a helper API rdma_free_hw_stats_struct (Kamal Heib) [2056770] - RDMA/bnxt_re: Fix kernel panic when trying to access bnxt_re_stat_descs (Kamal Heib) [2056770] - RDMA/counter: Add a descriptor in struct rdma_hw_stats (Kamal Heib) [2056770] - RDMA/bnxt_re: Update statistics counter name (Kamal Heib) [2056770] - RDMA/bnxt_re: Add extended statistics counters (Kamal Heib) [2056770] - RDMA/efa: CQ notifications (Kamal Heib) [2056770] - RDMA/irdma: Delete unused struct irdma_bth (Kamal Heib) [2037988 2056770] - IB/hf1: Use string_upper() instead of an open coded variant (Kamal Heib) [2056770] - RDMA/rw: switch to dma_map_sgtable() (Kamal Heib) [2056770] - RDMA/cma: Split apart the multiple uses of the same list heads (Kamal Heib) [2056770] - RDMA/hfi1: Use struct_size() and flex_array_size() helpers (Kamal Heib) [2049164 2056770] - IB/hfi1: Add ring consumer and producers traces (Kamal Heib) [2049164 2056770] - IB/hfi1: Remove atomic completion count (Kamal Heib) [2049164 2056770] - IB/hfi1: Tune netdev xmit cachelines (Kamal Heib) [2049164 2056770] - IB/hfi1: Get rid of tx priv backpointer (Kamal Heib) [2049164 2056770] - IB/hfi1: Get rid of hot path divide (Kamal Heib) [2049164 2056770] - IB/hfi1: Remove cache and embed txreq in ring (Kamal Heib) [2049164 2056770] - RDMA/rdmavt: Fix error code in rvt_create_qp() (Kamal Heib) [2049164 2056769] - IB/hfi1: Fix abba locking issue with sc_disable() (Kamal Heib) [2049164 2056769] - RDMA/hfi1: Fix kernel pointer leak (Kamal Heib) [2049164 2056769] - RDMA/usnic: Lock VF with mutex instead of spinlock (Kamal Heib) [2056769] - IB/hfi1: make hist static (Kamal Heib) [2049164 2056769] - RDMA/hfi1: Convert to SPDX identifier (Kamal Heib) [2049164 2056769] - IB/rdmavt: Convert to SPDX identifier (Kamal Heib) [2049164 2056769] - RDMA/irdma: Remove the repeated declaration (Kamal Heib) [2037988 2056769] - RDMA/core/sa_query: Retry SA queries (Kamal Heib) [2056769] - RDMA: Use the sg_table directly and remove the opencoded version from umem (Kamal Heib) [2056769] - RDMA: switch from 'pci_' to 'dma_' API (Kamal Heib) [2056769] - RDMA/efa: Rename vector field in efa_irq struct to irqn (Kamal Heib) [2056769] - RDMA/efa: Remove unused cpu field from irq struct (Kamal Heib) [2056769] - RDMA/core/sa_query: Remove unused function (Kamal Heib) [2056769] - RDMA/hfi1: Stop using seq_get_buf in _driver_stats_seq_show (Kamal Heib) [2049164 2056769] - RDMA/core: Create clean QP creations interface for uverbs (Kamal Heib) [2056769] - RDMA/core: Properly increment and decrement QP usecnts (Kamal Heib) [2056769] - RDMA/core: Configure selinux QP during creation (Kamal Heib) [2056769] - RDMA/core: Reorganize create QP low-level functions (Kamal Heib) [2056769] - RDMA/core: Remove protection from wrong in-kernel API usage (Kamal Heib) [2056769] - RDMA/core: Delete duplicated and unreachable code (Kamal Heib) [2056769] - rdma/qedr: Fix crash due to redundant release of device's qp memory (Kamal Heib) [2056769] - RDMA: Globally allocate and release QP memory (Kamal Heib) [2056769] - RDMA/mlx5: Rework custom driver QP type creation (Kamal Heib) [2056769] - RDMA/rdmavt: Decouple QP and SGE lists allocations (Kamal Heib) [2049164 2056769] - RDMA/efa: Remove double QP type assignment (Kamal Heib) [2056769] - RDMA/hfi1: Fix typo in comments (Kamal Heib) [2049164 2056769] - RDMA/iwpm: Rely on the rdma_nl_[un]register() to ensure that requests are valid (Kamal Heib) [2056769] - RDMA/iwpm: Remove not-needed reference counting (Kamal Heib) [2056769] - RDMA/hfi1: Convert from atomic_t to refcount_t on hfi1_devdata->user_refcount (Kamal Heib) [2049164 2056769] - IB/hfi1: Adjust pkey entry in index 0 (Kamal Heib) [2049164 2056769] - IB/hfi1: Indicate DMA wait when txq is queued for wakeup (Kamal Heib) [2049164 2056769] - IB/core: Read subnet_prefix in ib_query_port via cache. (Kamal Heib) [2056769] - IB/core: Shifting initialization of device->cache_lock (Kamal Heib) [2056769] - IB/core: Updating cache for subnet_prefix in config_non_roce_gid_cache() (Kamal Heib) [2056769] - RDMA/efa: Split hardware stats to device and port stats (Kamal Heib) [2056769] - RDMA/siw: Convert siw_tx_hdt() to kmap_local_page() (Kamal Heib) [2056769] - RDMA/siw: Remove kmap() (Kamal Heib) [2056769] - lib/scatterlist: Fix wrong update of orig_nents (Kamal Heib) [2056769] - lib/scatterlist: Provide a dedicated function to support table append (Kamal Heib) [2056769] - PCI: mt7621: Remove unused function pcie_rmw() (Myron Stowe) [2052155] - PCI: mt7621: Drop of_match_ptr() to avoid unused variable (Myron Stowe) [2052155] - PCI: qcom: Fix an error handling path in 'qcom_pcie_probe()' (Myron Stowe) [2052155] - PCI: mt7621: Rename mt7621_pci_ to mt7621_pcie_ (Myron Stowe) [2052155] - PCI: mt7621: Add missing MODULE_LICENSE() (Myron Stowe) [2052155] - PCI: mt7621: Move MIPS setup to pcibios_root_bridge_prepare() (Myron Stowe) [2052155] - PCI: mt7621: Declare mt7621_pci_ops static (Myron Stowe) [2052155] - PCI: mt7621: Convert driver into 'bool' (Myron Stowe) [2052155] - PCI: xgene: Use PCI_VENDOR_ID_AMCC macro (Myron Stowe) [2052155] - PCI: rcar-host: Remove unneeded includes (Myron Stowe) [2052155] - PCI: rcar-ep: Remove unneeded includes (Myron Stowe) [2052155] - PCI: qcom: Add sc8180x compatible (Myron Stowe) [2052155] - PCI: qcom: Switch pcie_1_pipe_clk_src after PHY init in SC7280 (Myron Stowe) [2052155] - PCI: qcom: Replace ops with struct pcie_cfg in pcie match data (Myron Stowe) [2052155] - MAINTAINERS: Add entry for Qualcomm PCIe Endpoint driver and binding (Myron Stowe) [2052155] - PCI: qcom-ep: Add Qualcomm PCIe Endpoint controller driver (Myron Stowe) [2052155] - dt-bindings: PCI: Add Qualcomm PCIe Endpoint controller (Myron Stowe) [2052155] - MAINTAINERS: Add Sergio Paracuellos as MT7621 PCIe maintainer (Myron Stowe) [2052155] - PCI: mt7621: Add MediaTek MT7621 PCIe host controller driver (Myron Stowe) [2052155] - dt-bindings: PCI: Add MT7621 SoC PCIe host controller (Myron Stowe) [2052155] - staging: mt7621-pci: fix hang when nothing is connected to pcie ports (Myron Stowe) [2052155] - PCI: kirin: Allow removing the driver (Myron Stowe) [2052155] - PCI: kirin: De-init the dwc driver (Myron Stowe) [2052155] - PCI: kirin: Disable clkreq during poweroff sequence (Myron Stowe) [2052155] - PCI: kirin: Move the power-off code to a common routine (Myron Stowe) [2052155] - PCI: kirin: Add power_off support for Kirin 960 PHY (Myron Stowe) [2052155] - PCI: kirin: Allow building it as a module (Myron Stowe) [2052155] - PCI: kirin: Add MODULE_* macros (Myron Stowe) [2052155] - PCI: kirin: Add Kirin 970 compatible (Myron Stowe) [2052155] - PCI: kirin: Support PERST# GPIOs for HiKey970 external PEX 8606 bridge (Myron Stowe) [2052155] - PCI: kirin: Use regmap for APB registers (Myron Stowe) [2052155] - PCI: kirin: Add support for a PHY layer (Myron Stowe) [2052155] - PCI: kirin: Reorganize the PHY logic inside the driver (Myron Stowe) [2052155] - PCI: imx6: Remove unused assignment to variable ret (Myron Stowe) [2052155] - PCI: endpoint: Use sysfs_emit() in "show" functions (Myron Stowe) [2052155] - PCI: uniphier: Serialize INTx masking/unmasking and fix the bit operation (Myron Stowe) [2052155] - PCI: dwc: Perform host_init() before registering msi (Myron Stowe) [2052155] - PCI: visconti: Remove surplus dev_err() when using platform_get_irq_byname() (Myron Stowe) [2052155] - PCI: dwc: Clean up Kconfig dependencies (PCIE_DW_EP) (Myron Stowe) [2052155] - PCI: dwc: Clean up Kconfig dependencies (PCIE_DW_HOST) (Myron Stowe) [2052155] - PCI: dra7xx: Get an optional clock (Myron Stowe) [2052155] - PCI: dra7xx: Remove unused include (Myron Stowe) [2052155] - PCI: dra7xx: Make it a kernel module (Myron Stowe) [2052155] - PCI: dwc: Export more symbols to allow modular drivers (Myron Stowe) [2052155] - dt-bindings: rockchip: Add DesignWare based PCIe controller (Myron Stowe) [2052155] - PCI: cadence: Add cdns_plat_pcie_probe() missing return (Myron Stowe) [2052155] - PCI: j721e: Fix j721e_pcie_probe() error path (Myron Stowe) [2052155] - PCI: aardvark: Fix support for PCI_BRIDGE_CTL_BUS_RESET on emulated bridge (Myron Stowe) [2052155] - PCI: aardvark: Set PCI Bridge Class Code to PCI Bridge (Myron Stowe) [2052155] - PCI: aardvark: Fix support for bus mastering and PCI_COMMAND on emulated bridge (Myron Stowe) [2052155] - PCI: aardvark: Read all 16-bits from PCIE_MSI_PAYLOAD_REG (Myron Stowe) [2052155] - PCI: aardvark: Fix return value of MSI domain .alloc() method (Myron Stowe) [2052155] - PCI: pci-bridge-emul: Fix emulation of W1C bits (Myron Stowe) [2052155] - PCI: aardvark: Fix reporting Data Link Layer Link Active (Myron Stowe) [2052155] - PCI: aardvark: Fix checking for link up via LTSSM state (Myron Stowe) [2052155] - PCI: aardvark: Fix link training (Myron Stowe) [2052155] - PCI: aardvark: Simplify initialization of rootcap on virtual bridge (Myron Stowe) [2052155] - PCI: aardvark: Do not unmask unused interrupts (Myron Stowe) [2052155] - PCI: aardvark: Do not clear status bits of masked interrupts (Myron Stowe) [2052155] - PCI: aardvark: Fix configuring Reference clock (Myron Stowe) [2052155] - PCI: aardvark: Don't spam about PIO Response Status (Myron Stowe) [2052155] - PCI: aardvark: Fix PCIe Max Payload Size setting (Myron Stowe) [2052155] - PCI: Add PCI_EXP_DEVCTL_PAYLOAD_* macros (Myron Stowe) [2052155] - PCI: vmd: Drop redundant includes of <asm/device.h>, <asm/msi.h> (Myron Stowe) [2052155] - PCI: cpqphp: Use <linux/io.h> instead of <asm/io.h> (Myron Stowe) [2052155] - MAINTAINERS: Update PCI subsystem information (Myron Stowe) [2052155] - PCI: Prefer 'unsigned int' over bare 'unsigned' (Myron Stowe) [2052155] - PCI: Remove redundant 'rc' initialization (Myron Stowe) [2052155] - PCI: Remove unused pci_pool wrappers (Myron Stowe) [2052155] - PCI: cpqphp: Format if-statement code block correctly (Myron Stowe) [2052155] - PCI: Use unsigned to match sscanf("%%x") in pci_dev_str_match_path() (Myron Stowe) [2052155] - PCI: Correct misspelled and remove duplicated words (Myron Stowe) [2052155] - PCI: Tidy comments (Myron Stowe) [2052155] - cxgb3: Remove seeprom_write and use VPD API (Myron Stowe) [2052155] - cxgb3: Use VPD API in t3_seeprom_wp() (Myron Stowe) [2052155] - cxgb3: Remove t3_seeprom_read and use VPD API (Myron Stowe) [2052155] - PCI/VPD: Use pci_read_vpd_any() in pci_vpd_size() (Myron Stowe) [2052155] - PCI/VPD: Add pci_read/write_vpd_any() (Myron Stowe) [2052155] - PCI: Add ACS quirk for Pericom PI7C9X2G switches (Myron Stowe) [2052155] - PCI: Mark Atheros QCA6174 to avoid bus reset (Myron Stowe) [2052155] - PCI: Use kstrtobool() directly, sans strtobool() wrapper (Myron Stowe) [2052155] - PCI/sysfs: Return -EINVAL consistently from "store" functions (Myron Stowe) [2052155] - PCI/sysfs: Check CAP_SYS_ADMIN before parsing user input (Myron Stowe) [2052155] - PCI/switchtec: Add check of event support (Myron Stowe) [2052155] - PCI/switchtec: Replace ENOTSUPP with EOPNOTSUPP (Myron Stowe) [2052155] - PCI/switchtec: Update the way of getting management VEP instance ID (Myron Stowe) [2052155] - PCI/switchtec: Fix a MRPC error status handling issue (Myron Stowe) [2052155] - PCI/switchtec: Error out MRPC execution when MMIO reads fail (Myron Stowe) [2052155] - PCI: Coalesce host bridge contiguous apertures (Myron Stowe) [2052155] - PCI/P2PDMA: Apply bus offset correctly in DMA address calculation (Myron Stowe) [2052155] - PCI/sysfs: Explicitly show first MSI IRQ for 'irq' (Myron Stowe) [2052155] - PCI: Document /sys/bus/pci/devices/.../irq (Myron Stowe) [2052155] - PCI/ERR: Reduce compile time for CONFIG_PCIEAER=n (Myron Stowe) [2052155] - PCI/portdrv: Remove unused pcie_port_bus_{,un}register() declarations (Myron Stowe) [2052155] - PCI/portdrv: Remove unused resume err_handler (Myron Stowe) [2052155] - PCI: pciehp: Ignore Link Down/Up caused by error-induced Hot Reset (Myron Stowe) [2052155] - PCI/portdrv: Rename pm_iter() to pcie_port_device_iter() (Myron Stowe) [2052155] - x86/pci/probe_roms: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - perf/x86/intel/uncore: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - powerpc/eeh: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - usb: xhci: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - cxl: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - cxl: Factor out common dev->driver expressions (Myron Stowe) [2052155] - xen/pcifront: Use to_pci_driver() instead of pci_dev->driver (Myron Stowe) [2052155] - xen/pcifront: Drop pcifront_common_process() tests of pcidev, pdrv (Myron Stowe) [2052155] - nfp: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - mlxsw: pci: Use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - net: marvell: prestera: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - net: hns3: use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - crypto: hisilicon - use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - powerpc/eeh: Use dev_driver_string() instead of struct pci_dev->driver->name (Myron Stowe) [2052155] - ssb: Use dev_driver_string() instead of pci_dev->driver->name (Myron Stowe) [2052155] - bcma: simplify reference to driver name (Myron Stowe) [2052155] - scsi: message: fusion: Remove unused mpt_pci driver .probe() 'id' parameter (Myron Stowe) [2052155] - PCI/ERR: Factor out common dev->driver expressions (Myron Stowe) [2052155] - PCI: Drop pci_device_probe() test of !pci_dev->driver (Myron Stowe) [2052155] - PCI: Drop pci_device_remove() test of pci_dev->driver (Myron Stowe) [2052155] - PCI: Return NULL for to_pci_driver(NULL) (Myron Stowe) [2052155] - PCI: Do not enable AtomicOps on VFs (Myron Stowe) [2052155] - PCI: Rename pcibios_add_device() to pcibios_device_add() (Myron Stowe) [2052155] - PCI: Re-enable Downstream Port LTR after reset or hotplug (Myron Stowe) [2052155] - rcu-tasks: Fix computation of CPU-to-list shift counts (Waiman Long) [2065994] - torture: Properly redirect kvm-remote.sh "echo" commands (Waiman Long) [2065994] - torture: Fix incorrectly redirected "exit" in kvm-remote.sh (Waiman Long) [2065994] - rcu/exp: Mark current CPU as exp-QS in IPI loop second pass (Waiman Long) [2065994] - rcu-tasks: Use fewer callbacks queues if callback flood ends (Waiman Long) [2065994] - rcu-tasks: Use separate ->percpu_dequeue_lim for callback dequeueing (Waiman Long) [2065994] - rcutorture: Test RCU Tasks lock-contention detection (Waiman Long) [2065994] - rcu-tasks: Use more callback queues if contention encountered (Waiman Long) [2065994] - rcu-tasks: Avoid raw-spinlocked wakeups from call_rcu_tasks_generic() (Waiman Long) [2065994] - rcutorture: Combine n_max_cbs from all kthreads in a callback flood (Waiman Long) [2065994] - rcutorture: Add ability to limit callback-flood intensity (Waiman Long) [2065994] - rcutorture: Cause TREE02 and TREE10 scenarios to do more callback flooding (Waiman Long) [2065994] - rcutorture: Enable multiple concurrent callback-flood kthreads (Waiman Long) [2065994] - rcu/nocb: Merge rcu_spawn_cpu_nocb_kthread() and rcu_spawn_one_nocb_kthread() (Waiman Long) [2065994] - rcu/nocb: Allow empty "rcu_nocbs" kernel parameter (Waiman Long) [2065994] - rcu/nocb: Create kthreads on all CPUs if "rcu_nocbs=" or "nohz_full=" are passed (Waiman Long) [2065994] - rcu/nocb: Optimize kthreads and rdp initialization (Waiman Long) [2065994] - rcu/nocb: Prepare nocb_cb_wait() to start with a non-offloaded rdp (Waiman Long) [2065994] - rcu/nocb: Remove rcu_node structure from nocb list when de-offloaded (Waiman Long) [2065994] - rcu-tasks: Count trylocks to estimate call_rcu_tasks() contention (Waiman Long) [2065994] - torture: Retry download once before giving up (Waiman Long) [2065994] - rcutorture: Add CONFIG_PREEMPT_DYNAMIC=n to tiny scenarios (Waiman Long) [2065994] - rcu: Avoid alloc_pages() when recording stack (Waiman Long) [2065994] - rcu: Avoid running boost kthreads on isolated CPUs (Waiman Long) [2065994] - rcutorture: Test RCU-tasks multiqueue callback queueing (Waiman Long) [2065994] - rcu-tasks: Add rcupdate.rcu_task_enqueue_lim to set initial queueing (Waiman Long) [2065994] - rcu-tasks: Make rcu_barrier_tasks*() handle multiple callback queues (Waiman Long) [2065994] - rcu-tasks: Use workqueues for multiple rcu_tasks_invoke_cbs() invocations (Waiman Long) [2065994] - torture: Make kvm-find-errors.sh report link-time undefined symbols (Waiman Long) [2065994] - rcutorture: Avoid soft lockup during cpu stall (Waiman Long) [2065994] - rcu-tasks: Abstract invocations of callbacks (Waiman Long) [2065994] - rcu-tasks: Abstract checking of callback lists (Waiman Long) [2065994] - rcu-tasks: Add a ->percpu_enqueue_lim to the rcu_tasks structure (Waiman Long) [2065994] - rcu-tasks: Inspect stalled task's trc state in locked state (Waiman Long) [2065994] - rcu-tasks: Use spin_lock_rcu_node() and friends (Waiman Long) [2065994] - rcu_tasks: Convert bespoke callback list to rcu_segcblist structure (Waiman Long) [2065994] - rcu-tasks: Convert grace-period counter to grace-period sequence number (Waiman Long) [2065994] - rcu-tasks: Introduce ->percpu_enqueue_shift for dynamic queue selection (Waiman Long) [2065994] - rcu-tasks: Create per-CPU callback lists (Waiman Long) [2065994] - locktorture,rcutorture,torture: Always log error message (Waiman Long) [2065994] - scftorture: Always log error message (Waiman Long) [2065994] - doc: RCU: Avoid 'Symbol' font-family in SVG figures (Waiman Long) [2065994] - rcuscale: Always log error message (Waiman Long) [2065994] - scftorture: Account for weight_resched when checking for all zeroes (Waiman Long) [2065994] - scftorture: Remove unused SCFTORTOUT (Waiman Long) [2065994] - scftorture: Add missing '\n' to flush message (Waiman Long) [2065994] - refscale: Add missing '\n' to flush message (Waiman Long) [2065994] - doc: Add refcount analogy to What is RCU (Waiman Long) [2065994] - refscale: Always log the error message (Waiman Long) [2065994] - refscale: Prevent buffer to pr_alert() being too long (Waiman Long) [2065994] - refscale: Simplify the errexit checkpoint (Waiman Long) [2065994] - rcu: Improve tree_plugin.h comments and add code cleanups (Waiman Long) [2065994] - rcu/nocb: Don't invoke local rcu core on callback overload from nocb kthread (Waiman Long) [2065994] - rcu: Apply callbacks processing time limit only on softirq (Waiman Long) [2065994] - rcu: Fix callbacks processing time limit retaining cond_resched() (Waiman Long) [2065994] - rcu/nocb: Limit number of softirq callbacks only on softirq (Waiman Long) [2065994] - rcu/nocb: Use appropriate rcu_nocb_lock_irqsave() (Waiman Long) [2065994] - rcu/nocb: Check a stable offloaded state to manipulate qlen_last_fqs_check (Waiman Long) [2065994] - rcu/nocb: Make rcu_core() callbacks acceleration (de-)offloading safe (Waiman Long) [2065994] - rcu/nocb: Make rcu_core() callbacks acceleration preempt-safe (Waiman Long) [2065994] - rcu/nocb: Invoke rcu_core() at the start of deoffloading (Waiman Long) [2065994] - rcu/nocb: Prepare state machine for a new step (Waiman Long) [2065994] - rcu/nocb: Make local rcu_nocb_lock_irqsave() safe against concurrent deoffloading (Waiman Long) [2065994] - rcu: Make idle entry report expedited quiescent states (Waiman Long) [2065994] - rcu: Prevent expedited GP from enabling tick on offline CPU (Waiman Long) [2065994] - rcu: Mark sync_sched_exp_online_cleanup() ->cpu_no_qs.b.exp load (Waiman Long) [2065994] - rcu: Always inline rcu_dynticks_task*_{enter,exit}() (Waiman Long) [2065994] - rcu: in_irq() cleanup (Waiman Long) [2065994] - doc: Remove obsolete kernel-per-CPU-kthreads RCU_FAST_NO_HZ advice (Waiman Long) [2065994] - rcutorture: Suppress pi-lock-across read-unlock testing for Tiny SRCU (Waiman Long) [2065994] - srcu: Prevent redundant __srcu_read_unlock() wakeup (Waiman Long) [2065994] - rcutorture: More thoroughly test nested readers (Waiman Long) [2065994] - rcutorture: Sanitize RCUTORTURE_RDR_MASK (Waiman Long) [2065994] - torture: Catch kvm.sh help text up with actual options (Waiman Long) [2065994] - rcu-tasks: Don't remove tasks with pending IPIs from holdout list (Waiman Long) [2065994] - rcu: Remove rcu_data.exp_deferred_qs and convert to rcu_data.cpu no_qs.b.exp (Waiman Long) [2065994] - rcu: Move rcu_data.cpu_no_qs.b.exp reset to rcu_export_exp_rdp() (Waiman Long) [2065994] - rcu: Ignore rdp.cpu_no_qs.b.exp on preemptible RCU's rcu_qs() (Waiman Long) [2065994] - rcu-tasks: Update comments to cond_resched_tasks_rcu_qs() (Waiman Long) [2065994] - rcu: Replace ________p1 and _________p1 with __UNIQUE_ID(rcu) (Waiman Long) [2065994] - rcu-tasks: Fix IPI failure handling in trc_wait_for_one_reader (Waiman Long) [2065994] - rcu-tasks: Fix read-side primitives comment for call_rcu_tasks_trace (Waiman Long) [2065994] - rcutorture: Avoid problematic critical section nesting on PREEMPT_RT (Waiman Long) [2065994] - rcu: Fix existing exp request check in sync_sched_exp_online_cleanup() (Waiman Long) [2065994] - rcu-tasks: Clarify read side section info for rcu_tasks_rude GP primitives (Waiman Long) [2065994] - rcu-tasks: Correct comparisons for CPU numbers in show_stalled_task_trace (Waiman Long) [2065994] - rcu-tasks: Correct firstreport usage in check_all_holdout_tasks_trace (Waiman Long) [2065994] - rcu-tasks: Fix s/rcu_add_holdout/trc_add_holdout/ typo in comment (Waiman Long) [2065994] - rcu-tasks: Move RTGS_WAIT_CBS to beginning of rcu_tasks_kthread() loop (Waiman Long) [2065994] - rcu: Make rcu update module parameters world-readable (Waiman Long) [2065994] - rcu: Make rcu_normal_after_boot writable again (Waiman Long) [2065994] - rcutorture: Don't cpuhp_remove_state() if cpuhp_setup_state() failed (Waiman Long) [2065994] - rcuscale: Warn on individual rcu_scale_init() error conditions (Waiman Long) [2065994] - locktorture: Warn on individual lock_torture_init() error conditions (Waiman Long) [2065994] - rcutorture: Warn on individual rcu_torture_init() error conditions (Waiman Long) [2065994] - rcu-tasks: Fix s/instruction/instructions/ typo in comment (Waiman Long) [2065994] - rcutorture: Suppressing read-exit testing is not an error (Waiman Long) [2065994] - rcu-tasks: Wait for trc_read_check_handler() IPIs (Waiman Long) [2065994] - rcu: Make rcutree_dying_cpu() use its "cpu" parameter (Waiman Long) [2065994] - rcu: Simplify rcu_report_dead() call to rcu_report_exp_rdp() (Waiman Long) [2065994] - rcu: Move rcu_dynticks_eqs_online() to rcu_cpu_starting() (Waiman Long) [2065994] - rcu-tasks: Remove second argument of rcu_read_unlock_trace_special() (Waiman Long) [2065994] - rcu-tasks: Add trc_inspect_reader() checks for exiting critical section (Waiman Long) [2065994] - rcu-tasks: Simplify trc_read_check_handler() atomic operations (Waiman Long) [2065994] - rcu: Comment rcu_gp_init() code waiting for CPU-hotplug operations (Waiman Long) [2065994] - rcu: Fix undefined Kconfig macros (Waiman Long) [2065994] - doc: Add another stall-warning root cause in stallwarn.rst (Waiman Long) [2065994] - rcu: Eliminate rcu_implicit_dynticks_qs() local variable ruqp (Waiman Long) [2065994] - rcu: Eliminate rcu_implicit_dynticks_qs() local variable rnhqp (Waiman Long) [2065994] - rcu-nocb: Fix a couple of tree_nocb code-style nits (Waiman Long) [2065994] - torture: Make torture.sh print the number of files to be compressed (Waiman Long) [2065994] - torture: Apply CONFIG_KCSAN_STRICT to kvm.sh --kcsan argument (Waiman Long) [2065994] - x86/tsc: Disable clocksource watchdog for TSC on qualified platorms (David Arcari) [2057923] - x86/tsc: Add a timer to make sure TSC_adjust is always checked (David Arcari) [2057923] - Revert "xfs: actually bump warning counts when we send warnings" (Carlos Maiolino) [2060081] - vfs: check dentry is still valid in get_link() (Ian Kent) [2048567] - xfs: don't expose internal symlink metadata buffers to the vfs (Ian Kent) [2048567] - audit: log AUDIT_TIME_* records only from rules (Richard Guy Briggs) [2035124] - audit: don't deref the syscall args when checking the openat2 open_how::flags (Richard Guy Briggs) [2035124] - audit: improve audit queue handling when "audit=1" on cmdline (Richard Guy Briggs) [2035124] - audit: replace zero-length array with flexible-array member (Richard Guy Briggs) [2035124] - audit: use struct_size() helper in audit_[send|make]_reply() (Richard Guy Briggs) [2035124] - audit: ensure userspace is penalized the same as the kernel when under pressure (Richard Guy Briggs) [2035124] - audit: use struct_size() helper in kmalloc() (Richard Guy Briggs) [2035124] - audit: improve robustness of the audit queue handling (Richard Guy Briggs) [2035124] - fsnotify: clarify contract for create event hooks (Richard Guy Briggs) [2035124] - fsnotify: pass dentry instead of inode data (Richard Guy Briggs) [2035124] - fsnotify: pass data_type to fsnotify_name() (Richard Guy Briggs) [2035124] - fsnotify: fix sb_connectors leak (Richard Guy Briggs) [2035124] - fsnotify: optimize the case of no marks of any type (Richard Guy Briggs) [2035124] - fsnotify: count all objects with attached connectors (Richard Guy Briggs) [2035124] - fsnotify: count s_fsnotify_inode_refs for attached connectors (Richard Guy Briggs) [2035124] - fsnotify: replace igrab() with ihold() on attach connector (Richard Guy Briggs) [2035124] - audit: return early if the filter rule has a lower priority (Richard Guy Briggs) [2035124] - audit: add OPENAT2 record to list "how" info (Richard Guy Briggs) [2035124] - audit: add support for the openat2 syscall (Richard Guy Briggs) [2035124] - audit: replace magic audit syscall class numbers with macros (Richard Guy Briggs) [2035124] - lsm_audit: avoid overloading the "key" audit field (Richard Guy Briggs) [2035124] - audit: Convert to SPDX identifier (Richard Guy Briggs) [2035124] - audit: rename struct node to struct audit_node to prevent future name collisions (Richard Guy Briggs) [2035124] - audit: add filtering for io_uring records (Richard Guy Briggs) [2035124] - audit,io_uring,io-wq: add some basic audit support to io_uring (Richard Guy Briggs) [2035124] - audit: prepare audit_context for use in calling contexts beyond syscalls (Richard Guy Briggs) [2035124] - audit: fix possible null-pointer dereference in audit_filter_rules (Richard Guy Briggs) [2035124] - audit: add header protection to kernel/audit.h (Richard Guy Briggs) [2035124] Resolves: rhbz#2056769, rhbz#2056770, rhbz#2057923, rhbz#2056771, rhbz#2065994, rhbz#2048779, rhbz#2049164, rhbz#2068688, rhbz#2020113, rhbz#2068432, rhbz#2063067, rhbz#2062428, rhbz#2038242, rhbz#2063906, rhbz#2037988, rhbz#2073506, rhbz#2060081, rhbz#2035124, rhbz#2061556, rhbz#2024054, rhbz#2048567, rhbz#2036152, rhbz#2066297, rhbz#2052155 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-19 10:50:59 +00:00
CONFIG_IB700_WDT=m
# CONFIG_IBM_ASM is not set
CONFIG_IBMASR=m
CONFIG_IBM_BSR=m
CONFIG_IBMVETH=m
CONFIG_IBMVMC=m
CONFIG_IBMVNIC=m
kernel-5.14.0-125.el9 * Wed Jul 06 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-125.el9] - cifs: fix bad fids sent over wire (Ronnie Sahlberg) [2088775] - SMB3: EBADF/EIO errors in rename/open caused by race condition in smb2_compound_op (Ronnie Sahlberg) [2088775] - i40e: Fix call trace in setup_tx_descriptors (Ivan Vecera) [2037980] - i40e: Fix calculating the number of queue pairs (Ivan Vecera) [2037980] - i40e: Fix adding ADQ filter to TC0 (Ivan Vecera) [2037980] - i40e: i40e_main: fix a missing check on list iterator (Ivan Vecera) [2037980] - i40e, xsk: Get rid of redundant 'fallthrough' (Ivan Vecera) [2037980] - i40e, xsk: Diversify return values from xsk_wakeup call paths (Ivan Vecera) [2037980] - i40e, xsk: Terminate Rx side of NAPI when XSK Rx queue gets full (Ivan Vecera) [2037980] - i40e: Add Ethernet Connection X722 for 10GbE SFP+ support (Ivan Vecera) [2037980] - i40e: Add vsi.tx_restart to i40e ethtool stats (Ivan Vecera) [2037980] - i40e: Add tx_stopped stat (Ivan Vecera) [2037980] - i40e: Add support for MPLS + TSO (Ivan Vecera) [2037980] - i40e: little endian only valid checksums (Ivan Vecera) [2037980] - i40e: stop disabling VFs due to PF error responses (Ivan Vecera) [2037980] - Revert "i40e: Fix reset bw limit when DCB enabled with 1 TC" (Ivan Vecera) [2037980] - i40e: remove dead stores on XSK hotpath (Ivan Vecera) [2037980] - i40e: Add a stat for tracking busy rx pages (Ivan Vecera) [2037980] - i40e: Add a stat for tracking pages waived (Ivan Vecera) [2037980] - i40e: Add a stat tracking new RX page allocations (Ivan Vecera) [2037980] - i40e: Aggregate and export RX page reuse stat (Ivan Vecera) [2037980] - i40e: Remove rx page reuse double count (Ivan Vecera) [2037980] - i40e: Fix race condition while adding/deleting MAC/VLAN filters (Ivan Vecera) [2037980] - i40e: Add new version of i40e_aq_add_macvlan function (Ivan Vecera) [2037980] - i40e: Add new versions of send ASQ command functions (Ivan Vecera) [2037980] - i40e: Add sending commands in atomic context (Ivan Vecera) [2037980] - i40e: Remove unused RX realloc stat (Ivan Vecera) [2037980] - i40e: Disable hw-tc-offload feature on driver load (Ivan Vecera) [2037980] - i40e: Fix reset path while removing the driver (Ivan Vecera) [2037980] - i40e: Fix reset bw limit when DCB enabled with 1 TC (Ivan Vecera) [2037980] - i40e: respect metadata on XSK Rx to skb (Ivan Vecera) [2037980] - i40e: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx to skb (Ivan Vecera) [2037980] - i40e: Remove useless DMA-32 fallback configuration (Ivan Vecera) [2037980] - i40e: fix unsigned stat widths (Ivan Vecera) [2037980] - i40e: Fix for failed to init adminq while VF reset (Ivan Vecera) [2037980] - i40e: Fix queues reservation for XDP (Ivan Vecera) [2037980] - i40e: Fix issue when maximum queues is exceeded (Ivan Vecera) [2037980] - i40e: Increase delay to 1 s after global EMP reset (Ivan Vecera) [2037980] - i40e: remove variables set but not used (Ivan Vecera) [2037980] - i40e: Remove non-inclusive language (Ivan Vecera) [2037980] - i40e: Update FW API version (Ivan Vecera) [2037980] - i40e: Minimize amount of busy-waiting during AQ send (Ivan Vecera) [2037980] - i40e: Add ensurance of MacVlan resources for every trusted VF (Ivan Vecera) [2037980] - i40e: Fix incorrect netdev's real number of RX/TX queues (Ivan Vecera) [2037980] - i40e: Fix for displaying message regarding NVM version (Ivan Vecera) [2037980] - i40e: fix use-after-free in i40e_sync_filters_subtask() (Ivan Vecera) [2037980] - i40e: Fix to not show opcode msg on unsuccessful VF MAC change (Ivan Vecera) [2037980] - i40e: switch to napi_build_skb() (Ivan Vecera) [2037980] - i40e: Use irq_update_affinity_hint() (Ivan Vecera) [2037980] - i40e: Fix NULL pointer dereference in i40e_dbg_dump_desc (Ivan Vecera) [2037980] - i40e: Fix pre-set max number of queues for VF (Ivan Vecera) [2037980] - i40e: Fix failed opcode appearing if handling messages from VF (Ivan Vecera) [2037980] - i40e: Fix display error code in dmesg (Ivan Vecera) [2037980] - i40e: Fix creation of first queue by omitting it if is not power of two (Ivan Vecera) [2037980] - i40e: Fix warning message and call stack during rmmod i40e driver (Ivan Vecera) [2037980] - i40e: Fix ping is lost after configuring ADq on VF (Ivan Vecera) [2037980] - i40e: Fix changing previously set num_queue_pairs for PFs (Ivan Vecera) [2037980] - i40e: Fix NULL ptr dereference on VSI filter sync (Ivan Vecera) [2037980] - i40e: Fix correct max_pkt_size on VF RX queue (Ivan Vecera) [2037980] - i40e: Simplify bool conversion (Ivan Vecera) [2037980] - i40e: Fix freeing of uninitialized misc IRQ vector (Ivan Vecera) [2037980] - i40e: Use the xsk batched rx allocation interface (Ivan Vecera) [2037980] - i40e: Fix spelling mistake "dissable" -> "disable" (Ivan Vecera) [2037980] - i40e: add support for PTP external synchronization clock (Ivan Vecera) [2037980] - i40e: improve locking of mac_filter_hash (Ivan Vecera) [2037980] - time: Handle negative seconds correctly in timespec64_to_ns() (Íñigo Huguet) [2097394] - iavf: Fix issue with MAC address of VF shown as zero (Petr Oros) [2037976] - Revert "iavf: Fix deadlock occurrence during resetting VF interface" (Petr Oros) [2037976] - iavf: Fix hang during reboot/shutdown (Petr Oros) [2037976] - iavf: Fix double free in iavf_reset_task (Petr Oros) [2037976] - iavf: Fix adopting new combined setting (Petr Oros) [2037976] - iavf: Fix handling of vlan strip virtual channel messages (Petr Oros) [2037976] - iavf: Fix __IAVF_RESETTING state usage (Petr Oros) [2037976] - iavf: Fix missing check for running netdev (Petr Oros) [2037976] - iavf: Fix deadlock in iavf_reset_task (Petr Oros) [2037976] - iavf: Fix race in init state (Petr Oros) [2037976] - iavf: Fix locking for VIRTCHNL_OP_GET_OFFLOAD_VLAN_V2_CAPS (Petr Oros) [2037976] - iavf: Fix init state closure on remove (Petr Oros) [2037976] - iavf: Add waiting so the port is initialized in remove (Petr Oros) [2037976] - iavf: Rework mutexes for better synchronisation (Petr Oros) [2037976] - iavf: Remove non-inclusive language (Petr Oros) [2037976] - iavf: Fix incorrect use of assigning iavf_status to int (Petr Oros) [2037976] - iavf: stop leaking iavf_status as "errno" values (Petr Oros) [2037976] - iavf: remove redundant ret variable (Petr Oros) [2037976] - iavf: Add usage of new virtchnl format to set default MAC (Petr Oros) [2037976] - iavf: refactor processing of VLAN V2 capability message (Petr Oros) [2037976] - iavf: Add support for 50G/100G in AIM algorithm (Petr Oros) [2037976] - iavf: Remove useless DMA-32 fallback configuration (Petr Oros) [2037976] - iavf: Use irq_update_affinity_hint() (Petr Oros) [2037976] - iavf: remove an unneeded variable (Petr Oros) [2037976] - iavf: Fix limit of total number of queues to active queues of VF (Petr Oros) [2037976] - iavf: switch to napi_build_skb() (Petr Oros) [2037976] - iavf: Restrict maximum VLAN filters for VIRTCHNL_VF_OFFLOAD_VLAN_V2 (Petr Oros) [2037976] - iavf: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 offload enable/disable (Petr Oros) [2037976] - iavf: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 hotpath (Petr Oros) [2037976] - iavf: Add support VIRTCHNL_VF_OFFLOAD_VLAN_V2 during netdev config (Petr Oros) [2037976] - iavf: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 negotiation (Petr Oros) [2037976] - iavf: do not override the adapter state in the watchdog task (again) (Petr Oros) [2037976] - iavf: missing unlocks in iavf_watchdog_task() (Petr Oros) [2037976] - iavf: Fix reporting when setting descriptor count (Petr Oros) [2037976] - iavf: restore MSI state on reset (Petr Oros) [2037976] - iavf: Fix displaying queue statistics shown by ethtool (Petr Oros) [2037976] - iavf: Refactor string format to avoid static analysis warnings (Petr Oros) [2037976] - iavf: Refactor text of informational message (Petr Oros) [2037976] - iavf: Fix static code analysis warning (Petr Oros) [2037976] - iavf: Refactor iavf_mac_filter struct memory usage (Petr Oros) [2037976] - iavf: Enable setting RSS hash key (Petr Oros) [2037976] - iavf: Add trace while removing device (Petr Oros) [2037976] - iavf: return errno code instead of status code (Petr Oros) [2037976] - iavf: Log info when VF is entering and leaving Allmulti mode (Petr Oros) [2037976] - iavf: Add change MTU message (Petr Oros) [2037976] - iavf: Fix VLAN feature flags after VFR (Petr Oros) [2037976] - iavf: Fix refreshing iavf adapter stats on ethtool request (Petr Oros) [2037976] - iavf: Fix deadlock occurrence during resetting VF interface (Petr Oros) [2037976] - iavf: Prevent changing static ITR values if adaptive moderation is on (Petr Oros) [2037976] - iavf: Restore VLAN filters after link down (Petr Oros) [2037976] - iavf: Fix for setting queues to 0 (Petr Oros) [2037976] - iavf: Fix for the false positive ASQ/ARQ errors while issuing VF reset (Petr Oros) [2037976] - iavf: validate pointers (Petr Oros) [2037976] - iavf: prevent accidental free of filter structure (Petr Oros) [2037976] - iavf: Fix failure to exit out from last all-multicast mode (Petr Oros) [2037976] - iavf: don't clear a lock we don't hold (Petr Oros) [2037976] - iavf: free q_vectors before queues in iavf_disable_vf (Petr Oros) [2037976] - iavf: check for null in iavf_fix_features (Petr Oros) [2037976] - iavf: Fix return of set the new channel count (Petr Oros) [2037976] - iavf: Fix kernel BUG in free_msi_irqs (Petr Oros) [2037976] - iavf: Add helper function to go from pci_dev to adapter (Petr Oros) [2037976] - iavf: Combine init and watchdog state machines (Petr Oros) [2037976] - iavf: Add __IAVF_INIT_FAILED state (Petr Oros) [2037976] - iavf: Refactor iavf state machine tracking (Petr Oros) [2037976] - iavf: fix double unlock of crit_lock (Petr Oros) [2037976] - iavf: use mutexes for locking of critical sections (Petr Oros) [2037976] - iavf: fix locking of critical sections (Petr Oros) [2037976] - iavf: do not override the adapter state in the watchdog task (Petr Oros) [2037976] - bnxt_en: parse and report result field when NVRAM package install fails (Ken Cox) [2042819 2043783] - bnxt_en: Enable packet timestamping for all RX packets (Ken Cox) [2042819 2043783] - bnxt_en: Configure ptp filters during bnxt open (Ken Cox) [2042819 2043783] - bnxt_en: Update firmware interface to 1.10.2.95 (Ken Cox) [2042819 2043783] - bnxt_en: Fix unnecessary dropping of RX packets (Ken Cox) [2042819 2043783] - bnxt_en: Initiallize bp->ptp_lock first before using it (Ken Cox) [2042819 2043783] - bnxt_en: Fix possible bnxt_open() failure caused by wrong RFS flag (Ken Cox) [2042819 2043783] - bnxt: add page_pool support for aggregation ring when using xdp (Ken Cox) [2042819 2043783] - bnxt: change receive ring space parameters (Ken Cox) [2042819 2043783] - bnxt: rename bnxt_rx_pages to bnxt_rx_agg_pages_skb (Ken Cox) [2042819 2043783] - bnxt: refactor bnxt_rx_pages operate on skb_shared_info (Ken Cox) [2042819 2043783] - bnxt: add flag to denote that an xdp program is currently attached (Ken Cox) [2042819 2043783] - bnxt: refactor bnxt_rx_xdp to separate xdp_init_buff/xdp_prepare_buff (Ken Cox) [2042819 2043783] - bnxt_en: Prevent XDP redirect from running when stopping TX queue (Ken Cox) [2042819 2043783] - bnxt_en: reserve space inside receive page for skb_shared_info (Ken Cox) [2042819 2043783] - bnxt_en: Synchronize tx when xdp redirects happen on same ring (Ken Cox) [2042819 2043783] - net: bnxt_ptp: fix compilation error (Ken Cox) [2042819 2043783] - bnxt: revert hastily merged uAPI aberrations (Ken Cox) [2042819 2043783] - bnxt_en: add an nvm test for hw diagnose (Ken Cox) [2042819 2043783] - bnxt_en: implement hw health reporter (Ken Cox) [2042819 2043783] - bnxt_en: Do not destroy health reporters during reset (Ken Cox) [2042819 2043783] - bnxt_en: Eliminate unintended link toggle during FW reset (Ken Cox) [2042819 2043783] - bnxt_en: Properly report no pause support on some cards (Ken Cox) [2042819 2043783] - bnxt_en: introduce initial link state of unknown (Ken Cox) [2042819 2043783] - bnxt_en: parse result field when NVRAM package install fails (Ken Cox) [2042819 2043783] - bnxt_en: add more error checks to HWRM_NVM_INSTALL_UPDATE (Ken Cox) [2042819 2043783] - bnxt_en: refactor error handling of HWRM_NVM_INSTALL_UPDATE (Ken Cox) [2042819 2043783] - bnxt_en: Handle async event when the PHC is updated in RTC mode (Ken Cox) [2042819 2043783] - bnxt_en: Implement .adjtime() for PTP RTC mode (Ken Cox) [2042819 2043783] - bnxt_en: Add driver support to use Real Time Counter for PTP (Ken Cox) [2042819 2043783] - bnxt_en: PTP: Refactor PTP initialization functions (Ken Cox) [2042819 2043783] - bnxt_en: Update firmware interface to 1.10.2.73 (Ken Cox) [2042819 2043783] - bnxt_en: fix kernel doc warnings in bnxt_hwrm.c (Ken Cox) [2042819 2043783] - bnxt_en: Increase firmware message response DMA wait time (Ken Cox) [2042819 2043783] - bnxt_en: Fix devlink fw_activate (Ken Cox) [2042819 2043783] - bnxt_en: Restore the resets_reliable flag in bnxt_open() (Ken Cox) [2042819 2043783] - bnxt_en: Fix incorrect multicast rx mask setting when not requested (Ken Cox) [2042819 2043783] - bnxt_en: Fix occasional ethtool -t loopback test failures (Ken Cox) [2042819 2043783] - bnxt_en: Fix offline ethtool selftest with RDMA enabled (Ken Cox) [2042819 2043783] - bnxt_en: Fix active FEC reporting to ethtool (Ken Cox) [2042819 2043783] - bnxt_en: improve firmware timeout messaging (Ken Cox) [2042819 2043783] - bnxt_en: use firmware provided max timeout for messages (Ken Cox) [2042819 2043783] - bnxt_en: improve VF error messages when PF is unavailable (Ken Cox) [2042819 2043783] - bnxt_en: add dynamic debug support for HWRM messages (Ken Cox) [2042819 2043783] - bnxt_en: Use page frag RX buffers for better software GRO performance (Ken Cox) [2042819 2043783] - bnxt_en: convert to xdp_do_flush (Ken Cox) [2042819 2043783] - bnxt_en: Support CQE coalescing mode in ethtool (Ken Cox) [2042819 2043783] - bnxt_en: Support configurable CQE coalescing mode (Ken Cox) [2042819 2043783] - bnxt_en: enable interrupt sampling on 5750X for DIM (Ken Cox) [2042819 2043783] - bnxt_en: Log error report for dropped doorbell (Ken Cox) [2042819 2043783] - bnxt_en: Add event handler for PAUSE Storm event (Ken Cox) [2042819 2043783] - flow_offload: reject to offload tc actions in offload drivers (Ken Cox) [2042819 2043783] - bnxt_en: Fix compile error regression when CONFIG_BNXT_SRIOV is not set (Ken Cox) [2042819 2043783] - bnxt_en: reject indirect blk offload when hw-tc-offload is off (Ken Cox) [2042819 2043783] - bnxt_en: fix format specifier in live patch error message (Ken Cox) [2042819 2043783] - bnxt_en: extend RTNL to VF check in devlink driver_reinit (Ken Cox) [2042819 2043783] - bnxt_en: avoid newline at end of message in NL_SET_ERR_MSG_MOD (Ken Cox) [2042819 2043783] - bnxt_en: Remove not used other ULP define (Ken Cox) [2042819 2043783] - bnxt_en: Provide stored devlink "fw" version on older firmware (Ken Cox) [2042819 2043783] - bnxt_en: implement firmware live patching (Ken Cox) [2042819 2043783] - bnxt_en: Update firmware interface to 1.10.2.63 (Ken Cox) [2042819 2043783] - bnxt_en: implement dump callback for fw health reporter (Ken Cox) [2042819 2043783] - bnxt_en: Retrieve coredump and crashdump size via FW command (Ken Cox) [2042819 2043783] - bnxt_en: move coredump functions into dedicated file (Ken Cox) [2042819 2043783] - bnxt_en: Refactor coredump functions (Ken Cox) [2042819 2043783] - bnxt_en: improve fw diagnose devlink health messages (Ken Cox) [2042819 2043783] - bnxt_en: consolidate fw devlink health reporters (Ken Cox) [2042819 2043783] - bnxt_en: remove fw_reset devlink health reporter (Ken Cox) [2042819 2043783] - bnxt_en: improve error recovery information messages (Ken Cox) [2042819 2043783] - bnxt_en: add enable_remote_dev_reset devlink parameter (Ken Cox) [2042819 2043783] - bnxt_en: implement devlink dev reload fw_activate (Ken Cox) [2042819 2043783] - bnxt_en: implement devlink dev reload driver_reinit (Ken Cox) [2042819 2043783] - bnxt_en: refactor cancellation of resource reservations (Ken Cox) [2042819 2043783] - bnxt_en: refactor printing of device info (Ken Cox) [2042819 2043783] - ethernet: constify references to netdev->dev_addr in drivers (Ken Cox) [2042819 2043783] - bnxt: use netif_is_rxfh_configured instead of open code (Ken Cox) [2042819 2043783] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ken Cox) [2042819 2043783] - bnxt_en: Fix TX timeout when TX ring size is set to the smallest (Ken Cox) [2042819 2043783] - net/{mlx5|nfp|bnxt}: Remove unnecessary RTNL lock assert (Ken Cox) [2042819 2043783] - bnxt_en: Clean up completion ring page arrays completely (Ken Cox) [2042819 2043783] - bnxt_en: make bnxt_free_skbs() safe to call after bnxt_free_mem() (Ken Cox) [2042819 2043783] - bnxt_en: Fix error recovery regression (Ken Cox) [2042819 2043783] - bnxt: Search VPD with pci_vpd_find_ro_info_keyword() (Ken Cox) [2042819 2043783] - bnxt: Read VPD with pci_vpd_alloc() (Ken Cox) [2042819 2043783] - bnxt_en: Fix possible unintended driver initiated error recovery (Ken Cox) [2042819 2043783] - bnxt_en: Fix UDP tunnel logic (Ken Cox) [2042819 2043783] - bnxt_en: Fix asic.rev in devlink dev info command (Ken Cox) [2042819 2043783] - bnxt_en: fix read of stored FW_PSID version on P5 devices (Ken Cox) [2042819 2043783] - bnxt_en: fix stored FW_PSID version masks (Ken Cox) [2042819 2043783] - bnxt_en: Fix 64-bit doorbell operation on 32-bit kernels (Ken Cox) [2042819 2043783] - bnxt_en: support multiple HWRM commands in flight (Ken Cox) [2042819 2043783] - bnxt_en: discard out of sequence HWRM responses (Ken Cox) [2042819 2043783] - bnxt_en: remove legacy HWRM interface (Ken Cox) [2042819 2043783] - bnxt_en: update all firmware calls to use the new APIs (Ken Cox) [2042819 2043783] - bnxt_en: use link_lock instead of hwrm_cmd_lock to protect link_info (Ken Cox) [2042819 2043783] - bnxt_en: add support for HWRM request slices (Ken Cox) [2042819 2043783] - bnxt_en: add HWRM request assignment API (Ken Cox) [2042819 2043783] - bnxt_en: introduce new firmware message API based on DMA pools (Ken Cox) [2042819 2043783] - bnxt_en: move HWRM API implementation into separate file (Ken Cox) [2042819 2043783] - bnxt_en: Refactor the HWRM_VER_GET firmware calls (Ken Cox) [2042819 2043783] - bnxt_en: remove DMA mapping for KONG response (Ken Cox) [2042819 2043783] - bnxt: count discards due to memory allocation errors (Ken Cox) [2042819 2043783] - bnxt: count packets discarded because of netpoll (Ken Cox) [2042819 2043783] - net: broadcom: switch from 'pci_' to 'dma_' API (Ken Cox) [2042819 2043783] - Revert "bnxt: Read VPD with pci_vpd_alloc()" (Ken Cox) [2042819 2043783] - Revert "bnxt: Search VPD with pci_vpd_find_ro_info_keyword()" (Ken Cox) [2042819 2043783] - bnxt_en: Increase maximum RX ring size if jumbo ring is not used (Ken Cox) [2042819 2043783] - bnxt_en: Don't use static arrays for completion ring pages (Ken Cox) [2042819 2043783] - bnxt_en: Log if an invalid signal detected on TSIO pin (Ken Cox) [2042819 2043783] - gfs2: Make sure FITRIM minlen is rounded up to fs block size (Andrew Price) [2066375] - redhat/configs enable CONFIG_ICE_HWTS (Petr Oros) [2037974] - redhat/configs enable CONFIG_ICE_SWITCHDEV (Petr Oros) [2037974] - ice: Fix memory corruption in VF driver (Petr Oros) [2037974] - ice: Fix queue config fail handling (Petr Oros) [2037974] - ice: Sync VLAN filtering features for DVM (Petr Oros) [2037974] - ice: Fix PTP TX timestamp offset calculation (Petr Oros) [2037974] - ice: Fix interrupt moderation settings getting cleared (Petr Oros) [2037974] - ice: fix possible under reporting of ethtool Tx and Rx statistics (Petr Oros) [2037974] - ice: fix crash when writing timestamp on RX rings (Petr Oros) [2037974] - ice: fix PTP stale Tx timestamps cleanup (Petr Oros) [2037974] - ice: clear stale Tx queue settings before configuring (Petr Oros) [2037974] - ice: Fix race during aux device (un)plugging (Petr Oros) [2037974] - ice: fix use-after-free when deinitializing mailbox snapshot (Petr Oros) [2037974] - ice: wait 5 s for EMP reset after firmware flash (Petr Oros) [2037974] - ice: Protect vf_state check by cfg_lock in ice_vc_process_vf_msg() (Petr Oros) [2037974] - ice: Fix incorrect locking in ice_vc_process_vf_msg() (Petr Oros) [2037974] - ice: Fix memory leak in ice_get_orom_civd_data() (Petr Oros) [2037974] - ice: fix crash in switchdev mode (Petr Oros) [2037974] - ice: allow creating VFs for !CONFIG_NET_SWITCHDEV (Petr Oros) [2037974] - ice: xsk: check if Rx ring was filled up to the end (Petr Oros) [2037974] - ice: arfs: fix use-after-free when freeing @rx_cpu_rmap (Petr Oros) [2037974] - ice: clear cmd_type_offset_bsz for TX rings (Petr Oros) [2037974] - ice: xsk: fix VSI state check in ice_xsk_wakeup() (Petr Oros) [2037974] - ice: synchronize_rcu() when terminating rings (Petr Oros) [2037974] - ice: Do not skip not enabled queues in ice_vc_dis_qs_msg (Petr Oros) [2037974] - ice: Set txq_teid to ICE_INVAL_TEID on ring creation (Petr Oros) [2037974] - ice: Fix broken IFF_ALLMULTI handling (Petr Oros) [2037974] - ice: Fix MAC address setting (Petr Oros) [2037974] - ice: Clear default forwarding VSI during VSI release (Petr Oros) [2037974] - ice: xsk: Fix indexing in ice_tx_xsk_pool() (Petr Oros) [2037974] - ice: xsk: Stop Rx processing when ntc catches ntu (Petr Oros) [2037974] - ice: xsk: Eliminate unnecessary loop iteration (Petr Oros) [2037974] - ice: don't allow to run ice_send_event_to_aux() in atomic ctx (Petr Oros) [2037974] - ice: fix 'scheduling while atomic' on aux critical err interrupt (Petr Oros) [2037974] - ice: add trace events for tx timestamps (Petr Oros) [2037974] - ice: fix return value check in ice_gnss.c (Petr Oros) [2037974] - ice: destroy flow director filter mutex after releasing VSIs (Petr Oros) [2037974] - ice: fix NULL pointer dereference in ice_update_vsi_tx_ring_stats() (Petr Oros) [2037974] - ice: remove PF pointer from ice_check_vf_init (Petr Oros) [2037974] - ice: introduce ice_virtchnl.c and ice_virtchnl.h (Petr Oros) [2037974] - ice: cleanup long lines in ice_sriov.c (Petr Oros) [2037974] - ice: introduce ICE_VF_RESET_LOCK flag (Petr Oros) [2037974] - ice: introduce ICE_VF_RESET_NOTIFY flag (Petr Oros) [2037974] - ice: convert ice_reset_vf to take flags (Petr Oros) [2037974] - ice: convert ice_reset_vf to standard error codes (Petr Oros) [2037974] - ice: make ice_reset_all_vfs void (Petr Oros) [2037974] - ice: drop is_vflr parameter from ice_reset_all_vfs (Petr Oros) [2037974] - ice: move reset functionality into ice_vf_lib.c (Petr Oros) [2037974] - ice: fix a long line warning in ice_reset_vf (Petr Oros) [2037974] - ice: introduce VF operations structure for reset flows (Petr Oros) [2037974] - ice: fix incorrect dev_dbg print mistaking 'i' for vf->vf_id (Petr Oros) [2037974] - ice: introduce ice_vf_lib.c, ice_vf_lib.h, and ice_vf_lib_private.h (Petr Oros) [2037974] - ice: use ice_is_vf_trusted helper function (Petr Oros) [2037974] - ice: log an error message when eswitch fails to configure (Petr Oros) [2037974] - ice: cleanup error logging for ice_ena_vfs (Petr Oros) [2037974] - ice: move ice_set_vf_port_vlan near other .ndo ops (Petr Oros) [2037974] - ice: refactor spoofchk control code in ice_sriov.c (Petr Oros) [2037974] - ice: rename ICE_MAX_VF_COUNT to avoid confusion (Petr Oros) [2037974] - ice: remove unused definitions from ice_sriov.h (Petr Oros) [2037974] - ice: convert vf->vc_ops to a const pointer (Petr Oros) [2037974] - ice: remove circular header dependencies on ice.h (Petr Oros) [2037974] - ice: rename ice_virtchnl_pf.c to ice_sriov.c (Petr Oros) [2037974] - ice: rename ice_sriov.c to ice_vf_mbx.c (Petr Oros) [2037974] - ice: Fix FV offset searching (Petr Oros) [2037974] - ice: Add support for outer dest MAC for ADQ tunnels (Petr Oros) [2037974] - ice: avoid XDP checks in ice_clean_tx_irq() (Petr Oros) [2037974] - ice: change "can't set link" message to dbg level (Petr Oros) [2037974] - ice: Add slow path offload stats on port representor in switchdev (Petr Oros) [2037974] - ice: Add support for inner etype in switchdev (Petr Oros) [2037974] - ice: Fix curr_link_speed advertised speed (Petr Oros) [2037974] - ice: Don't use GFP_KERNEL in atomic context (Petr Oros) [2037974] - ice: stop disabling VFs due to PF error responses (Petr Oros) [2037974] - ice: xsk: fix GCC version checking against pragma unroll presence (Petr Oros) [2037974] - ice: convert VF storage to hash table with krefs and RCU (Petr Oros) [2037974] - ice: introduce VF accessor functions (Petr Oros) [2037974] - ice: factor VF variables to separate structure (Petr Oros) [2037974] - ice: convert ice_for_each_vf to include VF entry iterator (Petr Oros) [2037974] - ice: use ice_for_each_vf for iteration during removal (Petr Oros) [2037974] - ice: remove checks in ice_vc_send_msg_to_vf (Petr Oros) [2037974] - ice: move VFLR acknowledge during ice_free_vfs (Petr Oros) [2037974] - ice: move clear_malvf call in ice_free_vfs (Petr Oros) [2037974] - ice: pass num_vfs to ice_set_per_vf_res() (Petr Oros) [2037974] - ice: store VF pointer instead of VF ID (Petr Oros) [2037974] - ice: refactor unwind cleanup in eswitch mode (Petr Oros) [2037974] - ice: add TTY for GNSS module for E810T device (Petr Oros) [2037974] - ice: initialize local variable 'tlv' (Petr Oros) [2037974] - ice: check the return of ice_ptp_gettimex64 (Petr Oros) [2037974] - ice: fix concurrent reset and removal of VFs (Petr Oros) [2037974] - ice: fix setting l4 port flag when adding filter (Petr Oros) [2037974] - ice: Match on all profiles in slow-path (Petr Oros) [2037974] - ice: enable parsing IPSEC SPI headers for RSS (Petr Oros) [2037974] - ice: Simplify tracking status of RDMA support (Petr Oros) [2037974] - ice: fix IPIP and SIT TSO offload (Petr Oros) [2037974] - ice: fix an error code in ice_cfg_phy_fec() (Petr Oros) [2037974] - ice: Add ability for PF admin to enable VF VLAN pruning (Petr Oros) [2037974] - ice: Add support for 802.1ad port VLANs VF (Petr Oros) [2037974] - ice: Advertise 802.1ad VLAN filtering and offloads for PF netdev (Petr Oros) [2037974] - ice: Support configuring the device to Double VLAN Mode (Petr Oros) [2037974] - ice: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 (Petr Oros) [2037974] - ice: Add hot path support for 802.1Q and 802.1ad VLAN offloads (Petr Oros) [2037974] - ice: Add outer_vlan_ops and VSI specific VLAN ops implementations (Petr Oros) [2037974] - ice: Adjust naming for inner VLAN operations (Petr Oros) [2037974] - ice: Use the proto argument for VLAN ops (Petr Oros) [2037974] - ice: Refactor vf->port_vlan_info to use ice_vlan (Petr Oros) [2037974] - ice: Introduce ice_vlan struct (Petr Oros) [2037974] - ice: Add new VSI VLAN ops (Petr Oros) [2037974] - ice: Add helper function for adding VLAN 0 (Petr Oros) [2037974] - ice: Refactor spoofcheck configuration functions (Petr Oros) [2037974] - ice: xsk: Borrow xdp_tx_active logic from i40e (Petr Oros) [2037974] - ice: xsk: Improve AF_XDP ZC Tx and use batching API (Petr Oros) [2037974] - ice: xsk: Avoid potential dead AF_XDP Tx processing (Petr Oros) [2037974] - ice: Make Tx threshold dependent on ring length (Petr Oros) [2037974] - ice: xsk: Handle SW XDP ring wrap and bump tail more often (Petr Oros) [2037974] - ice: xsk: Force rings to be sized to power of 2 (Petr Oros) [2037974] - ice: Remove likely for napi_complete_done (Petr Oros) [2037974] - ice: add support for DSCP QoS for IDC (Petr Oros) [2037974] - ice: respect metadata on XSK Rx to skb (Petr Oros) [2037974] - ice: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx to skb (Petr Oros) [2037974] - ice: respect metadata in legacy-rx/ice_construct_skb() (Petr Oros) [2037974] - ice: Remove useless DMA-32 fallback configuration (Petr Oros) [2037974] - ice: Use bitmap_free() to free bitmap (Petr Oros) [2037974] - ice: Optimize a few bitmap operations (Petr Oros) [2037974] - ice: Slightly simply ice_find_free_recp_res_idx (Petr Oros) [2037974] - ice: improve switchdev's slow-path (Petr Oros) [2037974] - ice: replay advanced rules after reset (Petr Oros) [2037974] - net: fixup build after bpf header changes (Petr Oros) [2037974] - net: Don't include filter.h from net/sock.h (Petr Oros) [2037974] - ice: Add flow director support for channel mode (Petr Oros) [2037974] - ice: switch to napi_build_skb() (Petr Oros) [2037974] - ice: xsk: fix cleaned_count setting (Petr Oros) [2037974] - ice: xsk: allow empty Rx descriptors on XSK ZC data path (Petr Oros) [2037974] - ice: xsk: do not clear status_error0 for ntu + nb_buffs descriptor (Petr Oros) [2037974] - ice: remove dead store on XSK hotpath (Petr Oros) [2037974] - ice: xsk: allocate separate memory for XDP SW ring (Petr Oros) [2037974] - ice: xsk: return xsk buffers back to pool when cleaning the ring (Petr Oros) [2037974] - ice: trivial: fix odd indenting (Petr Oros) [2037974] - ice: support crosstimestamping on E822 devices if supported (Petr Oros) [2037974] - ice: exit bypass mode once hardware finishes timestamp calibration (Petr Oros) [2037974] - ice: ensure the hardware Clock Generation Unit is configured (Petr Oros) [2037974] - ice: implement basic E822 PTP support (Petr Oros) [2037974] - ice: convert clk_freq capability into time_ref (Petr Oros) [2037974] - ice: introduce ice_ptp_init_phc function (Petr Oros) [2037974] - ice: use 'int err' instead of 'int status' in ice_ptp_hw.c (Petr Oros) [2037974] - ice: PTP: move setting of tstamp_config (Petr Oros) [2037974] - ice: introduce ice_base_incval function (Petr Oros) [2037974] - ice: Fix E810 PTP reset flow (Petr Oros) [2037974] - ice: Don't put stale timestamps in the skb (Petr Oros) [2037974] - ice: Use div64_u64 instead of div_u64 in adjfine (Petr Oros) [2037974] - ice: use modern kernel API for kick (Petr Oros) [2037974] - ice: tighter control over VSI_DOWN state (Petr Oros) [2037974] - ice: use prefetch methods (Petr Oros) [2037974] - ice: update to newer kernel API (Petr Oros) [2037974] - ice: support immediate firmware activation via devlink reload (Petr Oros) [2037974] - ice: reduce time to read Option ROM CIVD data (Petr Oros) [2037974] - ice: move ice_devlink_flash_update and merge with ice_flash_pldm_image (Petr Oros) [2037974] - ice: move and rename ice_check_for_pending_update (Petr Oros) [2037974] - ice: devlink: add shadow-ram region to snapshot Shadow RAM (Petr Oros) [2037974] - ice: Remove unused ICE_FLOW_SEG_HDRS_L2_MASK (Petr Oros) [2037974] - ice: Remove unnecessary casts (Petr Oros) [2037974] - ice: Propagate error codes (Petr Oros) [2037974] - ice: Remove excess error variables (Petr Oros) [2037974] - ice: Cleanup after ice_status removal (Petr Oros) [2037974] - ice: Remove enum ice_status (Petr Oros) [2037974] - ice: Use int for ice_status (Petr Oros) [2037974] - ice: Remove string printing for ice_status (Petr Oros) [2037974] - ice: Refactor status flow for DDP load (Petr Oros) [2037974] - ice: Refactor promiscuous functions (Petr Oros) [2037974] - ice: refactor PTYPE validating (Petr Oros) [2037974] - ice: Add package PTYPE enable information (Petr Oros) [2037974] - ice: safer stats processing (Petr Oros) [2037974] - ice: fix adding different tunnels (Petr Oros) [2037974] - ice: fix choosing UDP header type (Petr Oros) [2037974] - ice: ignore dropped packets during init (Petr Oros) [2037974] - ice: rearm other interrupt cause register after enabling VFs (Petr Oros) [2037974] - ice: fix FDIR init missing when reset VF (Petr Oros) [2037974] - ice: xsk: clear status_error0 for each allocated desc (Petr Oros) [2037974] - net/ice: Remove unused enum (Petr Oros) [2037974] - net/ice: Fix boolean assignment (Petr Oros) [2037974] - ice: avoid bpf_prog refcount underflow (Petr Oros) [2037974] - ice: fix vsi->txq_map sizing (Petr Oros) [2037974] - net/ice: Add support for enable_iwarp and enable_roce devlink param (Petr Oros) [2037974] - ice: Hide bus-info in ethtool for PRs in switchdev mode (Petr Oros) [2037974] - ice: Clear synchronized addrs when adding VFs in switchdev mode (Petr Oros) [2037974] - intel: Simplify bool conversion (Petr Oros) [2037974] - ice: fix error return code in ice_get_recp_frm_fw() (Petr Oros) [2037974] - ice: Fix clang -Wimplicit-fallthrough in ice_pull_qvec_from_rc() (Petr Oros) [2037974] - ice: Add support to print error on PHY FW load failure (Petr Oros) [2037974] - ice: Add support for changing MTU on PR in switchdev mode (Petr Oros) [2037974] - ice: send correct vc status in switchdev (Petr Oros) [2037974] - ice: support for GRE in eswitch (Petr Oros) [2037974] - ice: low level support for tunnels (Petr Oros) [2037974] - ice: VXLAN and Geneve TC support (Petr Oros) [2037974] - ice: support for indirect notification (Petr Oros) [2037974] - ice: Add tc-flower filter support for channel (Petr Oros) [2037974] - ice: enable ndo_setup_tc support for mqprio_qdisc (Petr Oros) [2037974] - ice: Add infrastructure for mqprio support via ndo_setup_tc (Petr Oros) [2037974] - ice: fix an error code in ice_ena_vfs() (Petr Oros) [2037974] - ice: Refactor PR ethtool ops (Petr Oros) [2037974] - ice: Manage act flags for switchdev offloads (Petr Oros) [2037974] - ice: Forbid trusted VFs in switchdev mode (Petr Oros) [2037974] - ice: introduce XDP_TX fallback path (Petr Oros) [2037974] - ice: optimize XDP_TX workloads (Petr Oros) [2037974] - ice: propagate xdp_ring onto rx_ring (Petr Oros) [2037974] - ice: do not create xdp_frame on XDP_TX (Petr Oros) [2037974] - ice: unify xdp_rings accesses (Petr Oros) [2037974] - ice: ndo_setup_tc implementation for PR (Petr Oros) [2037974] - ice: ndo_setup_tc implementation for PF (Petr Oros) [2037974] - ice: Allow changing lan_en and lb_en on all kinds of filters (Petr Oros) [2037974] - ice: cleanup rules info (Petr Oros) [2037974] - ice: allow deleting advanced rules (Petr Oros) [2037974] - ice: allow adding advanced rules (Petr Oros) [2037974] - ice: create advanced switch recipe (Petr Oros) [2037974] - ice: manage profiles and field vectors (Petr Oros) [2037974] - ice: implement low level recipes functions (Petr Oros) [2037974] - ice: add port representor ethtool ops and stats (Petr Oros) [2037974] - ice: switchdev slow path (Petr Oros) [2037974] - ice: rebuild switchdev when resetting all VFs (Petr Oros) [2037974] - ice: enable/disable switchdev when managing VFs (Petr Oros) [2037974] - ice: introduce new type of VSI for switchdev (Petr Oros) [2037974] - ice: set and release switchdev environment (Petr Oros) [2037974] - ice: allow changing lan_en and lb_en on dflt rules (Petr Oros) [2037974] - ice: manage VSI antispoof and destination override (Petr Oros) [2037974] - ice: allow process VF opcodes in different ways (Petr Oros) [2037974] - ice: introduce VF port representor (Petr Oros) [2037974] - ice: Move devlink port to PF/VF struct (Petr Oros) [2037974] - ice: support basic E-Switch mode control (Petr Oros) [2037974] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Petr Oros) [2037974] - ice: Use the xsk batched rx allocation interface (Petr Oros) [2037974] - ice: Use xdp_buf instead of rx_buf for xsk zero-copy (Petr Oros) [2037974] - ice: Only lock to update netdev dev_addr (Petr Oros) [2037974] - ice: restart periodic outputs around time changes (Petr Oros) [2037974] - ice: fix Tx queue iteration for Tx timestamp enablement (Petr Oros) [2037974] Resolves: rhbz#2088775, rhbz#2037980, rhbz#2097394, rhbz#2037976, rhbz#2042819, rhbz#2043783, rhbz#2066375, rhbz#2037974 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-06 09:06:16 +00:00
CONFIG_ICE_HWTS=y
CONFIG_ICE=m
kernel-5.14.0-125.el9 * Wed Jul 06 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-125.el9] - cifs: fix bad fids sent over wire (Ronnie Sahlberg) [2088775] - SMB3: EBADF/EIO errors in rename/open caused by race condition in smb2_compound_op (Ronnie Sahlberg) [2088775] - i40e: Fix call trace in setup_tx_descriptors (Ivan Vecera) [2037980] - i40e: Fix calculating the number of queue pairs (Ivan Vecera) [2037980] - i40e: Fix adding ADQ filter to TC0 (Ivan Vecera) [2037980] - i40e: i40e_main: fix a missing check on list iterator (Ivan Vecera) [2037980] - i40e, xsk: Get rid of redundant 'fallthrough' (Ivan Vecera) [2037980] - i40e, xsk: Diversify return values from xsk_wakeup call paths (Ivan Vecera) [2037980] - i40e, xsk: Terminate Rx side of NAPI when XSK Rx queue gets full (Ivan Vecera) [2037980] - i40e: Add Ethernet Connection X722 for 10GbE SFP+ support (Ivan Vecera) [2037980] - i40e: Add vsi.tx_restart to i40e ethtool stats (Ivan Vecera) [2037980] - i40e: Add tx_stopped stat (Ivan Vecera) [2037980] - i40e: Add support for MPLS + TSO (Ivan Vecera) [2037980] - i40e: little endian only valid checksums (Ivan Vecera) [2037980] - i40e: stop disabling VFs due to PF error responses (Ivan Vecera) [2037980] - Revert "i40e: Fix reset bw limit when DCB enabled with 1 TC" (Ivan Vecera) [2037980] - i40e: remove dead stores on XSK hotpath (Ivan Vecera) [2037980] - i40e: Add a stat for tracking busy rx pages (Ivan Vecera) [2037980] - i40e: Add a stat for tracking pages waived (Ivan Vecera) [2037980] - i40e: Add a stat tracking new RX page allocations (Ivan Vecera) [2037980] - i40e: Aggregate and export RX page reuse stat (Ivan Vecera) [2037980] - i40e: Remove rx page reuse double count (Ivan Vecera) [2037980] - i40e: Fix race condition while adding/deleting MAC/VLAN filters (Ivan Vecera) [2037980] - i40e: Add new version of i40e_aq_add_macvlan function (Ivan Vecera) [2037980] - i40e: Add new versions of send ASQ command functions (Ivan Vecera) [2037980] - i40e: Add sending commands in atomic context (Ivan Vecera) [2037980] - i40e: Remove unused RX realloc stat (Ivan Vecera) [2037980] - i40e: Disable hw-tc-offload feature on driver load (Ivan Vecera) [2037980] - i40e: Fix reset path while removing the driver (Ivan Vecera) [2037980] - i40e: Fix reset bw limit when DCB enabled with 1 TC (Ivan Vecera) [2037980] - i40e: respect metadata on XSK Rx to skb (Ivan Vecera) [2037980] - i40e: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx to skb (Ivan Vecera) [2037980] - i40e: Remove useless DMA-32 fallback configuration (Ivan Vecera) [2037980] - i40e: fix unsigned stat widths (Ivan Vecera) [2037980] - i40e: Fix for failed to init adminq while VF reset (Ivan Vecera) [2037980] - i40e: Fix queues reservation for XDP (Ivan Vecera) [2037980] - i40e: Fix issue when maximum queues is exceeded (Ivan Vecera) [2037980] - i40e: Increase delay to 1 s after global EMP reset (Ivan Vecera) [2037980] - i40e: remove variables set but not used (Ivan Vecera) [2037980] - i40e: Remove non-inclusive language (Ivan Vecera) [2037980] - i40e: Update FW API version (Ivan Vecera) [2037980] - i40e: Minimize amount of busy-waiting during AQ send (Ivan Vecera) [2037980] - i40e: Add ensurance of MacVlan resources for every trusted VF (Ivan Vecera) [2037980] - i40e: Fix incorrect netdev's real number of RX/TX queues (Ivan Vecera) [2037980] - i40e: Fix for displaying message regarding NVM version (Ivan Vecera) [2037980] - i40e: fix use-after-free in i40e_sync_filters_subtask() (Ivan Vecera) [2037980] - i40e: Fix to not show opcode msg on unsuccessful VF MAC change (Ivan Vecera) [2037980] - i40e: switch to napi_build_skb() (Ivan Vecera) [2037980] - i40e: Use irq_update_affinity_hint() (Ivan Vecera) [2037980] - i40e: Fix NULL pointer dereference in i40e_dbg_dump_desc (Ivan Vecera) [2037980] - i40e: Fix pre-set max number of queues for VF (Ivan Vecera) [2037980] - i40e: Fix failed opcode appearing if handling messages from VF (Ivan Vecera) [2037980] - i40e: Fix display error code in dmesg (Ivan Vecera) [2037980] - i40e: Fix creation of first queue by omitting it if is not power of two (Ivan Vecera) [2037980] - i40e: Fix warning message and call stack during rmmod i40e driver (Ivan Vecera) [2037980] - i40e: Fix ping is lost after configuring ADq on VF (Ivan Vecera) [2037980] - i40e: Fix changing previously set num_queue_pairs for PFs (Ivan Vecera) [2037980] - i40e: Fix NULL ptr dereference on VSI filter sync (Ivan Vecera) [2037980] - i40e: Fix correct max_pkt_size on VF RX queue (Ivan Vecera) [2037980] - i40e: Simplify bool conversion (Ivan Vecera) [2037980] - i40e: Fix freeing of uninitialized misc IRQ vector (Ivan Vecera) [2037980] - i40e: Use the xsk batched rx allocation interface (Ivan Vecera) [2037980] - i40e: Fix spelling mistake "dissable" -> "disable" (Ivan Vecera) [2037980] - i40e: add support for PTP external synchronization clock (Ivan Vecera) [2037980] - i40e: improve locking of mac_filter_hash (Ivan Vecera) [2037980] - time: Handle negative seconds correctly in timespec64_to_ns() (Íñigo Huguet) [2097394] - iavf: Fix issue with MAC address of VF shown as zero (Petr Oros) [2037976] - Revert "iavf: Fix deadlock occurrence during resetting VF interface" (Petr Oros) [2037976] - iavf: Fix hang during reboot/shutdown (Petr Oros) [2037976] - iavf: Fix double free in iavf_reset_task (Petr Oros) [2037976] - iavf: Fix adopting new combined setting (Petr Oros) [2037976] - iavf: Fix handling of vlan strip virtual channel messages (Petr Oros) [2037976] - iavf: Fix __IAVF_RESETTING state usage (Petr Oros) [2037976] - iavf: Fix missing check for running netdev (Petr Oros) [2037976] - iavf: Fix deadlock in iavf_reset_task (Petr Oros) [2037976] - iavf: Fix race in init state (Petr Oros) [2037976] - iavf: Fix locking for VIRTCHNL_OP_GET_OFFLOAD_VLAN_V2_CAPS (Petr Oros) [2037976] - iavf: Fix init state closure on remove (Petr Oros) [2037976] - iavf: Add waiting so the port is initialized in remove (Petr Oros) [2037976] - iavf: Rework mutexes for better synchronisation (Petr Oros) [2037976] - iavf: Remove non-inclusive language (Petr Oros) [2037976] - iavf: Fix incorrect use of assigning iavf_status to int (Petr Oros) [2037976] - iavf: stop leaking iavf_status as "errno" values (Petr Oros) [2037976] - iavf: remove redundant ret variable (Petr Oros) [2037976] - iavf: Add usage of new virtchnl format to set default MAC (Petr Oros) [2037976] - iavf: refactor processing of VLAN V2 capability message (Petr Oros) [2037976] - iavf: Add support for 50G/100G in AIM algorithm (Petr Oros) [2037976] - iavf: Remove useless DMA-32 fallback configuration (Petr Oros) [2037976] - iavf: Use irq_update_affinity_hint() (Petr Oros) [2037976] - iavf: remove an unneeded variable (Petr Oros) [2037976] - iavf: Fix limit of total number of queues to active queues of VF (Petr Oros) [2037976] - iavf: switch to napi_build_skb() (Petr Oros) [2037976] - iavf: Restrict maximum VLAN filters for VIRTCHNL_VF_OFFLOAD_VLAN_V2 (Petr Oros) [2037976] - iavf: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 offload enable/disable (Petr Oros) [2037976] - iavf: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 hotpath (Petr Oros) [2037976] - iavf: Add support VIRTCHNL_VF_OFFLOAD_VLAN_V2 during netdev config (Petr Oros) [2037976] - iavf: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 negotiation (Petr Oros) [2037976] - iavf: do not override the adapter state in the watchdog task (again) (Petr Oros) [2037976] - iavf: missing unlocks in iavf_watchdog_task() (Petr Oros) [2037976] - iavf: Fix reporting when setting descriptor count (Petr Oros) [2037976] - iavf: restore MSI state on reset (Petr Oros) [2037976] - iavf: Fix displaying queue statistics shown by ethtool (Petr Oros) [2037976] - iavf: Refactor string format to avoid static analysis warnings (Petr Oros) [2037976] - iavf: Refactor text of informational message (Petr Oros) [2037976] - iavf: Fix static code analysis warning (Petr Oros) [2037976] - iavf: Refactor iavf_mac_filter struct memory usage (Petr Oros) [2037976] - iavf: Enable setting RSS hash key (Petr Oros) [2037976] - iavf: Add trace while removing device (Petr Oros) [2037976] - iavf: return errno code instead of status code (Petr Oros) [2037976] - iavf: Log info when VF is entering and leaving Allmulti mode (Petr Oros) [2037976] - iavf: Add change MTU message (Petr Oros) [2037976] - iavf: Fix VLAN feature flags after VFR (Petr Oros) [2037976] - iavf: Fix refreshing iavf adapter stats on ethtool request (Petr Oros) [2037976] - iavf: Fix deadlock occurrence during resetting VF interface (Petr Oros) [2037976] - iavf: Prevent changing static ITR values if adaptive moderation is on (Petr Oros) [2037976] - iavf: Restore VLAN filters after link down (Petr Oros) [2037976] - iavf: Fix for setting queues to 0 (Petr Oros) [2037976] - iavf: Fix for the false positive ASQ/ARQ errors while issuing VF reset (Petr Oros) [2037976] - iavf: validate pointers (Petr Oros) [2037976] - iavf: prevent accidental free of filter structure (Petr Oros) [2037976] - iavf: Fix failure to exit out from last all-multicast mode (Petr Oros) [2037976] - iavf: don't clear a lock we don't hold (Petr Oros) [2037976] - iavf: free q_vectors before queues in iavf_disable_vf (Petr Oros) [2037976] - iavf: check for null in iavf_fix_features (Petr Oros) [2037976] - iavf: Fix return of set the new channel count (Petr Oros) [2037976] - iavf: Fix kernel BUG in free_msi_irqs (Petr Oros) [2037976] - iavf: Add helper function to go from pci_dev to adapter (Petr Oros) [2037976] - iavf: Combine init and watchdog state machines (Petr Oros) [2037976] - iavf: Add __IAVF_INIT_FAILED state (Petr Oros) [2037976] - iavf: Refactor iavf state machine tracking (Petr Oros) [2037976] - iavf: fix double unlock of crit_lock (Petr Oros) [2037976] - iavf: use mutexes for locking of critical sections (Petr Oros) [2037976] - iavf: fix locking of critical sections (Petr Oros) [2037976] - iavf: do not override the adapter state in the watchdog task (Petr Oros) [2037976] - bnxt_en: parse and report result field when NVRAM package install fails (Ken Cox) [2042819 2043783] - bnxt_en: Enable packet timestamping for all RX packets (Ken Cox) [2042819 2043783] - bnxt_en: Configure ptp filters during bnxt open (Ken Cox) [2042819 2043783] - bnxt_en: Update firmware interface to 1.10.2.95 (Ken Cox) [2042819 2043783] - bnxt_en: Fix unnecessary dropping of RX packets (Ken Cox) [2042819 2043783] - bnxt_en: Initiallize bp->ptp_lock first before using it (Ken Cox) [2042819 2043783] - bnxt_en: Fix possible bnxt_open() failure caused by wrong RFS flag (Ken Cox) [2042819 2043783] - bnxt: add page_pool support for aggregation ring when using xdp (Ken Cox) [2042819 2043783] - bnxt: change receive ring space parameters (Ken Cox) [2042819 2043783] - bnxt: rename bnxt_rx_pages to bnxt_rx_agg_pages_skb (Ken Cox) [2042819 2043783] - bnxt: refactor bnxt_rx_pages operate on skb_shared_info (Ken Cox) [2042819 2043783] - bnxt: add flag to denote that an xdp program is currently attached (Ken Cox) [2042819 2043783] - bnxt: refactor bnxt_rx_xdp to separate xdp_init_buff/xdp_prepare_buff (Ken Cox) [2042819 2043783] - bnxt_en: Prevent XDP redirect from running when stopping TX queue (Ken Cox) [2042819 2043783] - bnxt_en: reserve space inside receive page for skb_shared_info (Ken Cox) [2042819 2043783] - bnxt_en: Synchronize tx when xdp redirects happen on same ring (Ken Cox) [2042819 2043783] - net: bnxt_ptp: fix compilation error (Ken Cox) [2042819 2043783] - bnxt: revert hastily merged uAPI aberrations (Ken Cox) [2042819 2043783] - bnxt_en: add an nvm test for hw diagnose (Ken Cox) [2042819 2043783] - bnxt_en: implement hw health reporter (Ken Cox) [2042819 2043783] - bnxt_en: Do not destroy health reporters during reset (Ken Cox) [2042819 2043783] - bnxt_en: Eliminate unintended link toggle during FW reset (Ken Cox) [2042819 2043783] - bnxt_en: Properly report no pause support on some cards (Ken Cox) [2042819 2043783] - bnxt_en: introduce initial link state of unknown (Ken Cox) [2042819 2043783] - bnxt_en: parse result field when NVRAM package install fails (Ken Cox) [2042819 2043783] - bnxt_en: add more error checks to HWRM_NVM_INSTALL_UPDATE (Ken Cox) [2042819 2043783] - bnxt_en: refactor error handling of HWRM_NVM_INSTALL_UPDATE (Ken Cox) [2042819 2043783] - bnxt_en: Handle async event when the PHC is updated in RTC mode (Ken Cox) [2042819 2043783] - bnxt_en: Implement .adjtime() for PTP RTC mode (Ken Cox) [2042819 2043783] - bnxt_en: Add driver support to use Real Time Counter for PTP (Ken Cox) [2042819 2043783] - bnxt_en: PTP: Refactor PTP initialization functions (Ken Cox) [2042819 2043783] - bnxt_en: Update firmware interface to 1.10.2.73 (Ken Cox) [2042819 2043783] - bnxt_en: fix kernel doc warnings in bnxt_hwrm.c (Ken Cox) [2042819 2043783] - bnxt_en: Increase firmware message response DMA wait time (Ken Cox) [2042819 2043783] - bnxt_en: Fix devlink fw_activate (Ken Cox) [2042819 2043783] - bnxt_en: Restore the resets_reliable flag in bnxt_open() (Ken Cox) [2042819 2043783] - bnxt_en: Fix incorrect multicast rx mask setting when not requested (Ken Cox) [2042819 2043783] - bnxt_en: Fix occasional ethtool -t loopback test failures (Ken Cox) [2042819 2043783] - bnxt_en: Fix offline ethtool selftest with RDMA enabled (Ken Cox) [2042819 2043783] - bnxt_en: Fix active FEC reporting to ethtool (Ken Cox) [2042819 2043783] - bnxt_en: improve firmware timeout messaging (Ken Cox) [2042819 2043783] - bnxt_en: use firmware provided max timeout for messages (Ken Cox) [2042819 2043783] - bnxt_en: improve VF error messages when PF is unavailable (Ken Cox) [2042819 2043783] - bnxt_en: add dynamic debug support for HWRM messages (Ken Cox) [2042819 2043783] - bnxt_en: Use page frag RX buffers for better software GRO performance (Ken Cox) [2042819 2043783] - bnxt_en: convert to xdp_do_flush (Ken Cox) [2042819 2043783] - bnxt_en: Support CQE coalescing mode in ethtool (Ken Cox) [2042819 2043783] - bnxt_en: Support configurable CQE coalescing mode (Ken Cox) [2042819 2043783] - bnxt_en: enable interrupt sampling on 5750X for DIM (Ken Cox) [2042819 2043783] - bnxt_en: Log error report for dropped doorbell (Ken Cox) [2042819 2043783] - bnxt_en: Add event handler for PAUSE Storm event (Ken Cox) [2042819 2043783] - flow_offload: reject to offload tc actions in offload drivers (Ken Cox) [2042819 2043783] - bnxt_en: Fix compile error regression when CONFIG_BNXT_SRIOV is not set (Ken Cox) [2042819 2043783] - bnxt_en: reject indirect blk offload when hw-tc-offload is off (Ken Cox) [2042819 2043783] - bnxt_en: fix format specifier in live patch error message (Ken Cox) [2042819 2043783] - bnxt_en: extend RTNL to VF check in devlink driver_reinit (Ken Cox) [2042819 2043783] - bnxt_en: avoid newline at end of message in NL_SET_ERR_MSG_MOD (Ken Cox) [2042819 2043783] - bnxt_en: Remove not used other ULP define (Ken Cox) [2042819 2043783] - bnxt_en: Provide stored devlink "fw" version on older firmware (Ken Cox) [2042819 2043783] - bnxt_en: implement firmware live patching (Ken Cox) [2042819 2043783] - bnxt_en: Update firmware interface to 1.10.2.63 (Ken Cox) [2042819 2043783] - bnxt_en: implement dump callback for fw health reporter (Ken Cox) [2042819 2043783] - bnxt_en: Retrieve coredump and crashdump size via FW command (Ken Cox) [2042819 2043783] - bnxt_en: move coredump functions into dedicated file (Ken Cox) [2042819 2043783] - bnxt_en: Refactor coredump functions (Ken Cox) [2042819 2043783] - bnxt_en: improve fw diagnose devlink health messages (Ken Cox) [2042819 2043783] - bnxt_en: consolidate fw devlink health reporters (Ken Cox) [2042819 2043783] - bnxt_en: remove fw_reset devlink health reporter (Ken Cox) [2042819 2043783] - bnxt_en: improve error recovery information messages (Ken Cox) [2042819 2043783] - bnxt_en: add enable_remote_dev_reset devlink parameter (Ken Cox) [2042819 2043783] - bnxt_en: implement devlink dev reload fw_activate (Ken Cox) [2042819 2043783] - bnxt_en: implement devlink dev reload driver_reinit (Ken Cox) [2042819 2043783] - bnxt_en: refactor cancellation of resource reservations (Ken Cox) [2042819 2043783] - bnxt_en: refactor printing of device info (Ken Cox) [2042819 2043783] - ethernet: constify references to netdev->dev_addr in drivers (Ken Cox) [2042819 2043783] - bnxt: use netif_is_rxfh_configured instead of open code (Ken Cox) [2042819 2043783] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ken Cox) [2042819 2043783] - bnxt_en: Fix TX timeout when TX ring size is set to the smallest (Ken Cox) [2042819 2043783] - net/{mlx5|nfp|bnxt}: Remove unnecessary RTNL lock assert (Ken Cox) [2042819 2043783] - bnxt_en: Clean up completion ring page arrays completely (Ken Cox) [2042819 2043783] - bnxt_en: make bnxt_free_skbs() safe to call after bnxt_free_mem() (Ken Cox) [2042819 2043783] - bnxt_en: Fix error recovery regression (Ken Cox) [2042819 2043783] - bnxt: Search VPD with pci_vpd_find_ro_info_keyword() (Ken Cox) [2042819 2043783] - bnxt: Read VPD with pci_vpd_alloc() (Ken Cox) [2042819 2043783] - bnxt_en: Fix possible unintended driver initiated error recovery (Ken Cox) [2042819 2043783] - bnxt_en: Fix UDP tunnel logic (Ken Cox) [2042819 2043783] - bnxt_en: Fix asic.rev in devlink dev info command (Ken Cox) [2042819 2043783] - bnxt_en: fix read of stored FW_PSID version on P5 devices (Ken Cox) [2042819 2043783] - bnxt_en: fix stored FW_PSID version masks (Ken Cox) [2042819 2043783] - bnxt_en: Fix 64-bit doorbell operation on 32-bit kernels (Ken Cox) [2042819 2043783] - bnxt_en: support multiple HWRM commands in flight (Ken Cox) [2042819 2043783] - bnxt_en: discard out of sequence HWRM responses (Ken Cox) [2042819 2043783] - bnxt_en: remove legacy HWRM interface (Ken Cox) [2042819 2043783] - bnxt_en: update all firmware calls to use the new APIs (Ken Cox) [2042819 2043783] - bnxt_en: use link_lock instead of hwrm_cmd_lock to protect link_info (Ken Cox) [2042819 2043783] - bnxt_en: add support for HWRM request slices (Ken Cox) [2042819 2043783] - bnxt_en: add HWRM request assignment API (Ken Cox) [2042819 2043783] - bnxt_en: introduce new firmware message API based on DMA pools (Ken Cox) [2042819 2043783] - bnxt_en: move HWRM API implementation into separate file (Ken Cox) [2042819 2043783] - bnxt_en: Refactor the HWRM_VER_GET firmware calls (Ken Cox) [2042819 2043783] - bnxt_en: remove DMA mapping for KONG response (Ken Cox) [2042819 2043783] - bnxt: count discards due to memory allocation errors (Ken Cox) [2042819 2043783] - bnxt: count packets discarded because of netpoll (Ken Cox) [2042819 2043783] - net: broadcom: switch from 'pci_' to 'dma_' API (Ken Cox) [2042819 2043783] - Revert "bnxt: Read VPD with pci_vpd_alloc()" (Ken Cox) [2042819 2043783] - Revert "bnxt: Search VPD with pci_vpd_find_ro_info_keyword()" (Ken Cox) [2042819 2043783] - bnxt_en: Increase maximum RX ring size if jumbo ring is not used (Ken Cox) [2042819 2043783] - bnxt_en: Don't use static arrays for completion ring pages (Ken Cox) [2042819 2043783] - bnxt_en: Log if an invalid signal detected on TSIO pin (Ken Cox) [2042819 2043783] - gfs2: Make sure FITRIM minlen is rounded up to fs block size (Andrew Price) [2066375] - redhat/configs enable CONFIG_ICE_HWTS (Petr Oros) [2037974] - redhat/configs enable CONFIG_ICE_SWITCHDEV (Petr Oros) [2037974] - ice: Fix memory corruption in VF driver (Petr Oros) [2037974] - ice: Fix queue config fail handling (Petr Oros) [2037974] - ice: Sync VLAN filtering features for DVM (Petr Oros) [2037974] - ice: Fix PTP TX timestamp offset calculation (Petr Oros) [2037974] - ice: Fix interrupt moderation settings getting cleared (Petr Oros) [2037974] - ice: fix possible under reporting of ethtool Tx and Rx statistics (Petr Oros) [2037974] - ice: fix crash when writing timestamp on RX rings (Petr Oros) [2037974] - ice: fix PTP stale Tx timestamps cleanup (Petr Oros) [2037974] - ice: clear stale Tx queue settings before configuring (Petr Oros) [2037974] - ice: Fix race during aux device (un)plugging (Petr Oros) [2037974] - ice: fix use-after-free when deinitializing mailbox snapshot (Petr Oros) [2037974] - ice: wait 5 s for EMP reset after firmware flash (Petr Oros) [2037974] - ice: Protect vf_state check by cfg_lock in ice_vc_process_vf_msg() (Petr Oros) [2037974] - ice: Fix incorrect locking in ice_vc_process_vf_msg() (Petr Oros) [2037974] - ice: Fix memory leak in ice_get_orom_civd_data() (Petr Oros) [2037974] - ice: fix crash in switchdev mode (Petr Oros) [2037974] - ice: allow creating VFs for !CONFIG_NET_SWITCHDEV (Petr Oros) [2037974] - ice: xsk: check if Rx ring was filled up to the end (Petr Oros) [2037974] - ice: arfs: fix use-after-free when freeing @rx_cpu_rmap (Petr Oros) [2037974] - ice: clear cmd_type_offset_bsz for TX rings (Petr Oros) [2037974] - ice: xsk: fix VSI state check in ice_xsk_wakeup() (Petr Oros) [2037974] - ice: synchronize_rcu() when terminating rings (Petr Oros) [2037974] - ice: Do not skip not enabled queues in ice_vc_dis_qs_msg (Petr Oros) [2037974] - ice: Set txq_teid to ICE_INVAL_TEID on ring creation (Petr Oros) [2037974] - ice: Fix broken IFF_ALLMULTI handling (Petr Oros) [2037974] - ice: Fix MAC address setting (Petr Oros) [2037974] - ice: Clear default forwarding VSI during VSI release (Petr Oros) [2037974] - ice: xsk: Fix indexing in ice_tx_xsk_pool() (Petr Oros) [2037974] - ice: xsk: Stop Rx processing when ntc catches ntu (Petr Oros) [2037974] - ice: xsk: Eliminate unnecessary loop iteration (Petr Oros) [2037974] - ice: don't allow to run ice_send_event_to_aux() in atomic ctx (Petr Oros) [2037974] - ice: fix 'scheduling while atomic' on aux critical err interrupt (Petr Oros) [2037974] - ice: add trace events for tx timestamps (Petr Oros) [2037974] - ice: fix return value check in ice_gnss.c (Petr Oros) [2037974] - ice: destroy flow director filter mutex after releasing VSIs (Petr Oros) [2037974] - ice: fix NULL pointer dereference in ice_update_vsi_tx_ring_stats() (Petr Oros) [2037974] - ice: remove PF pointer from ice_check_vf_init (Petr Oros) [2037974] - ice: introduce ice_virtchnl.c and ice_virtchnl.h (Petr Oros) [2037974] - ice: cleanup long lines in ice_sriov.c (Petr Oros) [2037974] - ice: introduce ICE_VF_RESET_LOCK flag (Petr Oros) [2037974] - ice: introduce ICE_VF_RESET_NOTIFY flag (Petr Oros) [2037974] - ice: convert ice_reset_vf to take flags (Petr Oros) [2037974] - ice: convert ice_reset_vf to standard error codes (Petr Oros) [2037974] - ice: make ice_reset_all_vfs void (Petr Oros) [2037974] - ice: drop is_vflr parameter from ice_reset_all_vfs (Petr Oros) [2037974] - ice: move reset functionality into ice_vf_lib.c (Petr Oros) [2037974] - ice: fix a long line warning in ice_reset_vf (Petr Oros) [2037974] - ice: introduce VF operations structure for reset flows (Petr Oros) [2037974] - ice: fix incorrect dev_dbg print mistaking 'i' for vf->vf_id (Petr Oros) [2037974] - ice: introduce ice_vf_lib.c, ice_vf_lib.h, and ice_vf_lib_private.h (Petr Oros) [2037974] - ice: use ice_is_vf_trusted helper function (Petr Oros) [2037974] - ice: log an error message when eswitch fails to configure (Petr Oros) [2037974] - ice: cleanup error logging for ice_ena_vfs (Petr Oros) [2037974] - ice: move ice_set_vf_port_vlan near other .ndo ops (Petr Oros) [2037974] - ice: refactor spoofchk control code in ice_sriov.c (Petr Oros) [2037974] - ice: rename ICE_MAX_VF_COUNT to avoid confusion (Petr Oros) [2037974] - ice: remove unused definitions from ice_sriov.h (Petr Oros) [2037974] - ice: convert vf->vc_ops to a const pointer (Petr Oros) [2037974] - ice: remove circular header dependencies on ice.h (Petr Oros) [2037974] - ice: rename ice_virtchnl_pf.c to ice_sriov.c (Petr Oros) [2037974] - ice: rename ice_sriov.c to ice_vf_mbx.c (Petr Oros) [2037974] - ice: Fix FV offset searching (Petr Oros) [2037974] - ice: Add support for outer dest MAC for ADQ tunnels (Petr Oros) [2037974] - ice: avoid XDP checks in ice_clean_tx_irq() (Petr Oros) [2037974] - ice: change "can't set link" message to dbg level (Petr Oros) [2037974] - ice: Add slow path offload stats on port representor in switchdev (Petr Oros) [2037974] - ice: Add support for inner etype in switchdev (Petr Oros) [2037974] - ice: Fix curr_link_speed advertised speed (Petr Oros) [2037974] - ice: Don't use GFP_KERNEL in atomic context (Petr Oros) [2037974] - ice: stop disabling VFs due to PF error responses (Petr Oros) [2037974] - ice: xsk: fix GCC version checking against pragma unroll presence (Petr Oros) [2037974] - ice: convert VF storage to hash table with krefs and RCU (Petr Oros) [2037974] - ice: introduce VF accessor functions (Petr Oros) [2037974] - ice: factor VF variables to separate structure (Petr Oros) [2037974] - ice: convert ice_for_each_vf to include VF entry iterator (Petr Oros) [2037974] - ice: use ice_for_each_vf for iteration during removal (Petr Oros) [2037974] - ice: remove checks in ice_vc_send_msg_to_vf (Petr Oros) [2037974] - ice: move VFLR acknowledge during ice_free_vfs (Petr Oros) [2037974] - ice: move clear_malvf call in ice_free_vfs (Petr Oros) [2037974] - ice: pass num_vfs to ice_set_per_vf_res() (Petr Oros) [2037974] - ice: store VF pointer instead of VF ID (Petr Oros) [2037974] - ice: refactor unwind cleanup in eswitch mode (Petr Oros) [2037974] - ice: add TTY for GNSS module for E810T device (Petr Oros) [2037974] - ice: initialize local variable 'tlv' (Petr Oros) [2037974] - ice: check the return of ice_ptp_gettimex64 (Petr Oros) [2037974] - ice: fix concurrent reset and removal of VFs (Petr Oros) [2037974] - ice: fix setting l4 port flag when adding filter (Petr Oros) [2037974] - ice: Match on all profiles in slow-path (Petr Oros) [2037974] - ice: enable parsing IPSEC SPI headers for RSS (Petr Oros) [2037974] - ice: Simplify tracking status of RDMA support (Petr Oros) [2037974] - ice: fix IPIP and SIT TSO offload (Petr Oros) [2037974] - ice: fix an error code in ice_cfg_phy_fec() (Petr Oros) [2037974] - ice: Add ability for PF admin to enable VF VLAN pruning (Petr Oros) [2037974] - ice: Add support for 802.1ad port VLANs VF (Petr Oros) [2037974] - ice: Advertise 802.1ad VLAN filtering and offloads for PF netdev (Petr Oros) [2037974] - ice: Support configuring the device to Double VLAN Mode (Petr Oros) [2037974] - ice: Add support for VIRTCHNL_VF_OFFLOAD_VLAN_V2 (Petr Oros) [2037974] - ice: Add hot path support for 802.1Q and 802.1ad VLAN offloads (Petr Oros) [2037974] - ice: Add outer_vlan_ops and VSI specific VLAN ops implementations (Petr Oros) [2037974] - ice: Adjust naming for inner VLAN operations (Petr Oros) [2037974] - ice: Use the proto argument for VLAN ops (Petr Oros) [2037974] - ice: Refactor vf->port_vlan_info to use ice_vlan (Petr Oros) [2037974] - ice: Introduce ice_vlan struct (Petr Oros) [2037974] - ice: Add new VSI VLAN ops (Petr Oros) [2037974] - ice: Add helper function for adding VLAN 0 (Petr Oros) [2037974] - ice: Refactor spoofcheck configuration functions (Petr Oros) [2037974] - ice: xsk: Borrow xdp_tx_active logic from i40e (Petr Oros) [2037974] - ice: xsk: Improve AF_XDP ZC Tx and use batching API (Petr Oros) [2037974] - ice: xsk: Avoid potential dead AF_XDP Tx processing (Petr Oros) [2037974] - ice: Make Tx threshold dependent on ring length (Petr Oros) [2037974] - ice: xsk: Handle SW XDP ring wrap and bump tail more often (Petr Oros) [2037974] - ice: xsk: Force rings to be sized to power of 2 (Petr Oros) [2037974] - ice: Remove likely for napi_complete_done (Petr Oros) [2037974] - ice: add support for DSCP QoS for IDC (Petr Oros) [2037974] - ice: respect metadata on XSK Rx to skb (Petr Oros) [2037974] - ice: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx to skb (Petr Oros) [2037974] - ice: respect metadata in legacy-rx/ice_construct_skb() (Petr Oros) [2037974] - ice: Remove useless DMA-32 fallback configuration (Petr Oros) [2037974] - ice: Use bitmap_free() to free bitmap (Petr Oros) [2037974] - ice: Optimize a few bitmap operations (Petr Oros) [2037974] - ice: Slightly simply ice_find_free_recp_res_idx (Petr Oros) [2037974] - ice: improve switchdev's slow-path (Petr Oros) [2037974] - ice: replay advanced rules after reset (Petr Oros) [2037974] - net: fixup build after bpf header changes (Petr Oros) [2037974] - net: Don't include filter.h from net/sock.h (Petr Oros) [2037974] - ice: Add flow director support for channel mode (Petr Oros) [2037974] - ice: switch to napi_build_skb() (Petr Oros) [2037974] - ice: xsk: fix cleaned_count setting (Petr Oros) [2037974] - ice: xsk: allow empty Rx descriptors on XSK ZC data path (Petr Oros) [2037974] - ice: xsk: do not clear status_error0 for ntu + nb_buffs descriptor (Petr Oros) [2037974] - ice: remove dead store on XSK hotpath (Petr Oros) [2037974] - ice: xsk: allocate separate memory for XDP SW ring (Petr Oros) [2037974] - ice: xsk: return xsk buffers back to pool when cleaning the ring (Petr Oros) [2037974] - ice: trivial: fix odd indenting (Petr Oros) [2037974] - ice: support crosstimestamping on E822 devices if supported (Petr Oros) [2037974] - ice: exit bypass mode once hardware finishes timestamp calibration (Petr Oros) [2037974] - ice: ensure the hardware Clock Generation Unit is configured (Petr Oros) [2037974] - ice: implement basic E822 PTP support (Petr Oros) [2037974] - ice: convert clk_freq capability into time_ref (Petr Oros) [2037974] - ice: introduce ice_ptp_init_phc function (Petr Oros) [2037974] - ice: use 'int err' instead of 'int status' in ice_ptp_hw.c (Petr Oros) [2037974] - ice: PTP: move setting of tstamp_config (Petr Oros) [2037974] - ice: introduce ice_base_incval function (Petr Oros) [2037974] - ice: Fix E810 PTP reset flow (Petr Oros) [2037974] - ice: Don't put stale timestamps in the skb (Petr Oros) [2037974] - ice: Use div64_u64 instead of div_u64 in adjfine (Petr Oros) [2037974] - ice: use modern kernel API for kick (Petr Oros) [2037974] - ice: tighter control over VSI_DOWN state (Petr Oros) [2037974] - ice: use prefetch methods (Petr Oros) [2037974] - ice: update to newer kernel API (Petr Oros) [2037974] - ice: support immediate firmware activation via devlink reload (Petr Oros) [2037974] - ice: reduce time to read Option ROM CIVD data (Petr Oros) [2037974] - ice: move ice_devlink_flash_update and merge with ice_flash_pldm_image (Petr Oros) [2037974] - ice: move and rename ice_check_for_pending_update (Petr Oros) [2037974] - ice: devlink: add shadow-ram region to snapshot Shadow RAM (Petr Oros) [2037974] - ice: Remove unused ICE_FLOW_SEG_HDRS_L2_MASK (Petr Oros) [2037974] - ice: Remove unnecessary casts (Petr Oros) [2037974] - ice: Propagate error codes (Petr Oros) [2037974] - ice: Remove excess error variables (Petr Oros) [2037974] - ice: Cleanup after ice_status removal (Petr Oros) [2037974] - ice: Remove enum ice_status (Petr Oros) [2037974] - ice: Use int for ice_status (Petr Oros) [2037974] - ice: Remove string printing for ice_status (Petr Oros) [2037974] - ice: Refactor status flow for DDP load (Petr Oros) [2037974] - ice: Refactor promiscuous functions (Petr Oros) [2037974] - ice: refactor PTYPE validating (Petr Oros) [2037974] - ice: Add package PTYPE enable information (Petr Oros) [2037974] - ice: safer stats processing (Petr Oros) [2037974] - ice: fix adding different tunnels (Petr Oros) [2037974] - ice: fix choosing UDP header type (Petr Oros) [2037974] - ice: ignore dropped packets during init (Petr Oros) [2037974] - ice: rearm other interrupt cause register after enabling VFs (Petr Oros) [2037974] - ice: fix FDIR init missing when reset VF (Petr Oros) [2037974] - ice: xsk: clear status_error0 for each allocated desc (Petr Oros) [2037974] - net/ice: Remove unused enum (Petr Oros) [2037974] - net/ice: Fix boolean assignment (Petr Oros) [2037974] - ice: avoid bpf_prog refcount underflow (Petr Oros) [2037974] - ice: fix vsi->txq_map sizing (Petr Oros) [2037974] - net/ice: Add support for enable_iwarp and enable_roce devlink param (Petr Oros) [2037974] - ice: Hide bus-info in ethtool for PRs in switchdev mode (Petr Oros) [2037974] - ice: Clear synchronized addrs when adding VFs in switchdev mode (Petr Oros) [2037974] - intel: Simplify bool conversion (Petr Oros) [2037974] - ice: fix error return code in ice_get_recp_frm_fw() (Petr Oros) [2037974] - ice: Fix clang -Wimplicit-fallthrough in ice_pull_qvec_from_rc() (Petr Oros) [2037974] - ice: Add support to print error on PHY FW load failure (Petr Oros) [2037974] - ice: Add support for changing MTU on PR in switchdev mode (Petr Oros) [2037974] - ice: send correct vc status in switchdev (Petr Oros) [2037974] - ice: support for GRE in eswitch (Petr Oros) [2037974] - ice: low level support for tunnels (Petr Oros) [2037974] - ice: VXLAN and Geneve TC support (Petr Oros) [2037974] - ice: support for indirect notification (Petr Oros) [2037974] - ice: Add tc-flower filter support for channel (Petr Oros) [2037974] - ice: enable ndo_setup_tc support for mqprio_qdisc (Petr Oros) [2037974] - ice: Add infrastructure for mqprio support via ndo_setup_tc (Petr Oros) [2037974] - ice: fix an error code in ice_ena_vfs() (Petr Oros) [2037974] - ice: Refactor PR ethtool ops (Petr Oros) [2037974] - ice: Manage act flags for switchdev offloads (Petr Oros) [2037974] - ice: Forbid trusted VFs in switchdev mode (Petr Oros) [2037974] - ice: introduce XDP_TX fallback path (Petr Oros) [2037974] - ice: optimize XDP_TX workloads (Petr Oros) [2037974] - ice: propagate xdp_ring onto rx_ring (Petr Oros) [2037974] - ice: do not create xdp_frame on XDP_TX (Petr Oros) [2037974] - ice: unify xdp_rings accesses (Petr Oros) [2037974] - ice: ndo_setup_tc implementation for PR (Petr Oros) [2037974] - ice: ndo_setup_tc implementation for PF (Petr Oros) [2037974] - ice: Allow changing lan_en and lb_en on all kinds of filters (Petr Oros) [2037974] - ice: cleanup rules info (Petr Oros) [2037974] - ice: allow deleting advanced rules (Petr Oros) [2037974] - ice: allow adding advanced rules (Petr Oros) [2037974] - ice: create advanced switch recipe (Petr Oros) [2037974] - ice: manage profiles and field vectors (Petr Oros) [2037974] - ice: implement low level recipes functions (Petr Oros) [2037974] - ice: add port representor ethtool ops and stats (Petr Oros) [2037974] - ice: switchdev slow path (Petr Oros) [2037974] - ice: rebuild switchdev when resetting all VFs (Petr Oros) [2037974] - ice: enable/disable switchdev when managing VFs (Petr Oros) [2037974] - ice: introduce new type of VSI for switchdev (Petr Oros) [2037974] - ice: set and release switchdev environment (Petr Oros) [2037974] - ice: allow changing lan_en and lb_en on dflt rules (Petr Oros) [2037974] - ice: manage VSI antispoof and destination override (Petr Oros) [2037974] - ice: allow process VF opcodes in different ways (Petr Oros) [2037974] - ice: introduce VF port representor (Petr Oros) [2037974] - ice: Move devlink port to PF/VF struct (Petr Oros) [2037974] - ice: support basic E-Switch mode control (Petr Oros) [2037974] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Petr Oros) [2037974] - ice: Use the xsk batched rx allocation interface (Petr Oros) [2037974] - ice: Use xdp_buf instead of rx_buf for xsk zero-copy (Petr Oros) [2037974] - ice: Only lock to update netdev dev_addr (Petr Oros) [2037974] - ice: restart periodic outputs around time changes (Petr Oros) [2037974] - ice: fix Tx queue iteration for Tx timestamp enablement (Petr Oros) [2037974] Resolves: rhbz#2088775, rhbz#2037980, rhbz#2097394, rhbz#2037976, rhbz#2042819, rhbz#2043783, rhbz#2066375, rhbz#2037974 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-06 09:06:16 +00:00
CONFIG_ICE_SWITCHDEV=y
# CONFIG_ICP10100 is not set
CONFIG_ICPLUS_PHY=m
# CONFIG_ICS932S401 is not set
# CONFIG_ICST is not set
# CONFIG_IDLE_INJECT is not set
CONFIG_IDLE_PAGE_TRACKING=y
CONFIG_IEEE802154_6LOWPAN=m
# CONFIG_IEEE802154_ADF7242 is not set
# CONFIG_IEEE802154_AT86RF230 is not set
# CONFIG_IEEE802154_ATUSB is not set
# CONFIG_IEEE802154_CA8210 is not set
# CONFIG_IEEE802154_CC2520 is not set
CONFIG_IEEE802154_DRIVERS=m
CONFIG_IEEE802154_FAKELB=m
# CONFIG_IEEE802154_HWSIM is not set
CONFIG_IEEE802154=m
# CONFIG_IEEE802154_MCR20A is not set
# CONFIG_IEEE802154_MRF24J40 is not set
# CONFIG_IEEE802154_NL802154_EXPERIMENTAL is not set
CONFIG_IEEE802154_SOCKET=m
CONFIG_IFB=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_IFCVF=m
CONFIG_IGB_DCA=y
CONFIG_IGB_HWMON=y
CONFIG_IGB=m
CONFIG_IGBVF=m
CONFIG_IGC=m
# CONFIG_IIO_BUFFER_CB is not set
# CONFIG_IIO_BUFFER_DMAENGINE is not set
# CONFIG_IIO_BUFFER_DMA is not set
# CONFIG_IIO_BUFFER_HW_CONSUMER is not set
# CONFIG_IIO_CONFIGFS is not set
CONFIG_IIO_CONSUMERS_PER_TRIGGER=2
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_IIO_FORMAT_KUNIT_TEST=m
# CONFIG_IIO_INTERRUPT_TRIGGER is not set
# CONFIG_IIO is not set
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_IIO_RESCALE_KUNIT_TEST=m
# CONFIG_IIO_SSP_SENSORHUB is not set
# CONFIG_IIO_ST_ACCEL_3AXIS is not set
# CONFIG_IIO_ST_GYRO_3AXIS is not set
# CONFIG_IIO_ST_LSM6DSX is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_IIO_ST_LSM9DS0 is not set
# CONFIG_IIO_ST_MAGN_3AXIS is not set
# CONFIG_IIO_ST_PRESS is not set
# CONFIG_IIO_SW_DEVICE is not set
# CONFIG_IIO_SW_TRIGGER is not set
# CONFIG_IIO_SYSFS_TRIGGER is not set
# CONFIG_IIO_TRIGGERED_EVENT is not set
# CONFIG_IKCONFIG is not set
CONFIG_IKHEADERS=m
CONFIG_IMA_APPRAISE_BOOTPARAM=y
# CONFIG_IMA_APPRAISE_BUILD_POLICY is not set
CONFIG_IMA_APPRAISE_MODSIG=y
CONFIG_IMA_APPRAISE=y
CONFIG_IMA_ARCH_POLICY=y
# CONFIG_IMA_BLACKLIST_KEYRING is not set
# CONFIG_IMA_DEFAULT_HASH_SHA1 is not set
CONFIG_IMA_DEFAULT_HASH="sha256"
CONFIG_IMA_DEFAULT_HASH_SHA256=y
# CONFIG_IMA_DEFAULT_HASH_SHA512 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_IMA_DISABLE_HTABLE is not set
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_IMA_KEXEC=y
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
CONFIG_IMA_KEYRINGS_PERMIT_SIGNED_BY_BUILTIN_OR_SECONDARY=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_IMA_LOAD_X509 is not set
CONFIG_IMA_LSM_RULES=y
CONFIG_IMA_MEASURE_PCR_IDX=10
# CONFIG_IMA_NG_TEMPLATE is not set
CONFIG_IMA_READ_POLICY=y
CONFIG_IMA_SECURE_AND_OR_TRUSTED_BOOT=y
CONFIG_IMA_SIG_TEMPLATE=y
# CONFIG_IMA_TEMPLATE is not set
CONFIG_IMA_TRUSTED_KEYRING=y
CONFIG_IMA_WRITE_POLICY=y
CONFIG_IMA=y
# CONFIG_INA2XX_ADC is not set
CONFIG_INET6_AH=m
CONFIG_INET6_ESPINTCP=y
CONFIG_INET6_ESP=m
CONFIG_INET6_ESP_OFFLOAD=m
CONFIG_INET6_IPCOMP=m
CONFIG_INET_AH=m
kernel-5.14.0-360.el9 * Wed Aug 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-360.el9] - PM: hibernate: Use kernel_can_power_off() (Sebastian Ott) [2183343] - kernel/reboot: Fix powering off using a non-syscall code paths (Sebastian Ott) [2183343] - kernel/reboot: Use static handler for register_platform_power_off() (Sebastian Ott) [2183343] - kernel/reboot: Change registration order of legacy power-off handler (Sebastian Ott) [2183343] - reboot: Remove pm_power_off_prepare() (Sebastian Ott) [2183343] - kernel/reboot: Add register_platform_power_off() (Sebastian Ott) [2183343] - kernel/reboot: Add kernel_can_power_off() (Sebastian Ott) [2183343] - kernel/reboot: Add stub for pm_power_off (Sebastian Ott) [2183343] - kernel/reboot: Add do_kernel_power_off() (Sebastian Ott) [2183343] - kernel/reboot: Wrap legacy power-off callbacks into sys-off handlers (Sebastian Ott) [2183343] - notifier: Add atomic_notifier_call_chain_is_empty() (Sebastian Ott) [2183343] - efi: libstub: fix efi_load_initrd_dev_path() kernel-doc comment (Sebastian Ott) [2183343] - efi: efivars: drop kobject from efivars_register() (Sebastian Ott) [2183343] - gsmi: fix null-deref in gsmi_get_variable (Sebastian Ott) [2183343] - ACPI: power: Switch to sys-off handler API (Sebastian Ott) [2183343] - redhat/configs: update firmware configs (Sebastian Ott) [2183343] - firmware/sysfb: Fix VESA format selection (Sebastian Ott) [2183343] - efi: Bump stub image version for macOS HVF compatibility (Sebastian Ott) [2183343] - efi/libstub: randomalloc: Return EFI_OUT_OF_RESOURCES on failure (Sebastian Ott) [2183343] - efi/libstub: zboot: Add compressed image to make targets (Sebastian Ott) [2183343] - efi: sysfb_efi: Fix DMI quirks not working for simpledrm (Sebastian Ott) [2183343] - efi/libstub: smbios: Drop unused 'recsize' parameter (Sebastian Ott) [2183343] - arm64: efi: Use SMBIOS processor version to key off Ampere quirk (Sebastian Ott) [2183343] - efi/libstub: smbios: Use length member instead of record struct size (Sebastian Ott) [2183343] - efi: earlycon: Reprobe after parsing config tables (Sebastian Ott) [2183343] - efi/libstub: zboot: Mark zboot EFI application as NX compatible (Sebastian Ott) [2183343] - arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines (Sebastian Ott) [2183343] - efi: Discover BTI support in runtime services regions (Sebastian Ott) [2183343] - efi: Use standard format for printing the EFI revision (Sebastian Ott) [2183343] - efi: zboot: Use EFI protocol to remap code/data with the right attributes (Sebastian Ott) [2183343] - efi: fix potential NULL deref in efi_mem_reserve_persistent (Sebastian Ott) [2183343] - efi: Accept version 2 of memory attributes table (Sebastian Ott) [2183343] - efi/libstub: Add memory attribute protocol definitions (Sebastian Ott) [2183343] - efi: efivars: prevent double registration (Sebastian Ott) [2183343] - efi: verify that variable services are supported (Sebastian Ott) [2183343] - efi: memmap: Disregard bogus entries instead of returning them (Sebastian Ott) [2183343] - firmware: dmi-sysfs: Fix null-ptr-deref in dmi_sysfs_register_handle (Sebastian Ott) [2183343] - efi/earlycon: Replace open coded strnchrnul() (Sebastian Ott) [2183343] - firmware: arm_scmi: Fix virtio channels cleanup on shutdown (Sebastian Ott) [2183343] - firmware: arm_scmi: Harden shared memory access in fetch_notification (Sebastian Ott) [2183343] - firmware: arm_scmi: Harden shared memory access in fetch_response (Sebastian Ott) [2183343] - firmware: arm_scmi: Clear stale xfer->hdr.status (Sebastian Ott) [2183343] - firmware/sysfb: Fix EFI/VESA format selection (Sebastian Ott) [2183343] - efi: fix userspace infinite retry read efivars after EFI runtime services page fault (Sebastian Ott) [2183343] - efi: fix NULL-deref in init error path (Sebastian Ott) [2183343] - efi: Put Linux specific magic number in the DOS header (Sebastian Ott) [2183343] - firmware: arm_ffa: Move constants to header file (Sebastian Ott) [2183343] - efi: libstub: Always enable initrd command line loader and bump version (Sebastian Ott) [2183343] - efi: stub: use random seed from EFI variable (Sebastian Ott) [2183343] - firmware: raspberrypi: fix possible memory leak in rpi_firmware_probe() (Sebastian Ott) [2183343] - efi: random: combine bootloader provided RNG seed with RNG protocol output (Sebastian Ott) [2183343] - efi: pstore: Add module parameter for setting the record size (Sebastian Ott) [2183343] - efi: memmap: Move manipulation routines into x86 arch tree (Sebastian Ott) [2183343] - drivers: fix typo in firmware/efi/memmap.c (Sebastian Ott) [2183343] - efi: Correct comment on efi_memmap_alloc (Sebastian Ott) [2183343] - efi: memmap: Move EFI fake memmap support into x86 arch tree (Sebastian Ott) [2183343] - efi: libstub: Undeprecate the command line initrd loader (Sebastian Ott) [2183343] - efi: libstub: Add mixed mode support to command line initrd loader (Sebastian Ott) [2183343] - efi: libstub: Permit mixed mode return types other than efi_status_t (Sebastian Ott) [2183343] - efi: libstub: Implement devicepath support for initrd commandline loader (Sebastian Ott) [2183343] - efi: libstub: use EFI_LOADER_CODE region when moving the kernel in memory (Sebastian Ott) [2183343] - arm64: efi: Force the use of SetVirtualAddressMap() on Altra machines (Sebastian Ott) [2183343] - arm64: unwind: add asynchronous unwind tables to kernel and modules (Sebastian Ott) [2183343] - efi: libstub: Merge zboot decompressor with the ordinary stub (Sebastian Ott) [2183343] - efi/arm64: libstub: Split off kernel image relocation for builtin stub (Sebastian Ott) [2183343] - efi: libstub: Factor out min alignment and preferred kernel load address (Sebastian Ott) [2183343] - efi: libstub: Add image code and data size to the zimage metadata (Sebastian Ott) [2183343] - efi: libstub: Factor out EFI stub entrypoint into separate file (Sebastian Ott) [2183343] - efi: libstub: Provide local implementations of strrchr() and memchr() (Sebastian Ott) [2183343] - efi: libstub: Move screen_info handling to common code (Sebastian Ott) [2183343] - efi: libstub: Enable efi_printk() in zboot decompressor (Sebastian Ott) [2183343] - efi: libstub: Clone memcmp() into the stub (Sebastian Ott) [2183343] - efi: libstub: Use local strncmp() implementation unconditionally (Sebastian Ott) [2183343] - arm64: efi: Move efi-entry.S into the libstub source directory (Sebastian Ott) [2183343] - arm64: efi: Avoid dcache_clean_poc() altogether in efi_enter_kernel() (Sebastian Ott) [2183343] - arm64: efi: Move dcache cleaning of loaded image out of efi_enter_kernel() (Sebastian Ott) [2183343] - efi: libstub: Deduplicate ftrace command line argument filtering (Sebastian Ott) [2183343] - efi: libstub: Drop handling of EFI properties table (Sebastian Ott) [2183343] - efi: libstub: Drop randomization of runtime memory map (Sebastian Ott) [2183343] - ARM: 9255/1: efi/dump UEFI runtime page tables for ARM (Sebastian Ott) [2183343] - firmware: ti_sci: Use devm_bitmap_zalloc when applicable (Sebastian Ott) [2183343] - firmware: arm_scmi: Fix deferred_tx_wq release on error paths (Sebastian Ott) [2183343] - firmware: arm_scmi: Fix devres allocation device in virtio transport (Sebastian Ott) [2183343] - firmware: arm_scmi: Suppress the driver's bind attributes (Sebastian Ott) [2183343] - firmware: arm_scmi: Cleanup the core driver removal callback (Sebastian Ott) [2183343] - efi: efivars: Fix variable writes with unsupported query_variable_store() (Sebastian Ott) [2183343] - firmware: ti_sci: Fix polled mode during system suspend (Sebastian Ott) [2183343] - firmware: ti_sci: Use the non-atomic bitmap API when applicable (Sebastian Ott) [2183343] - firmware: ti_sci: Use the bitmap API to allocate bitmaps (Sebastian Ott) [2183343] - firmware: ti_sci: Switch transport to polled mode during system suspend (Sebastian Ott) [2183343] - firmware: raspberrypi: Introduce rpi_firmware_find_node() (Sebastian Ott) [2183343] - efi: random: Use 'ACPI reclaim' memory for random seed (Sebastian Ott) [2183343] - efi: random: reduce seed size to 32 bytes (Sebastian Ott) [2183343] - firmware: imx: scu-pd: add missed USB_1_PHY pd (Sebastian Ott) [2183343] - efi: runtime: Don't assume virtual mappings are missing if VA == PA == 0 (Sebastian Ott) [2183343] - efi: libstub: Fix incorrect payload size in zboot header (Sebastian Ott) [2183343] - efi: libstub: Give efi_main() asmlinkage qualification (Sebastian Ott) [2183343] - efi: efivars: Fix variable writes without query_variable_store() (Sebastian Ott) [2183343] - efi: ssdt: Don't free memory if ACPI table was loaded successfully (Sebastian Ott) [2183343] - efi: libstub: Remove zboot signing from build options (Sebastian Ott) [2183343] - efi/cper: Export several helpers for ghes_edac to use (Sebastian Ott) [2183343] - efi: pstore: Follow convention for the efi-pstore backend name (Sebastian Ott) [2183343] - firmware: raspberrypi: Use dev_err_probe() to simplify code (Sebastian Ott) [2183343] - efi/arm64: libstub: avoid SetVirtualAddressMap() when possible (Sebastian Ott) [2183343] - efi: zboot: create MemoryMapped() device path for the parent if needed (Sebastian Ott) [2183343] - efi: libstub: fix up the last remaining open coded boot service call (Sebastian Ott) [2183343] - efi/arm: libstub: move ARM specific code out of generic routines (Sebastian Ott) [2183343] - efi/libstub: measure EFI LoadOptions (Sebastian Ott) [2183343] - efi/libstub: refactor the initrd measuring functions (Sebastian Ott) [2183343] - efi: libstub: install boot-time memory map as config table (Sebastian Ott) [2183343] - efi: libstub: remove DT dependency from generic stub (Sebastian Ott) [2183343] - efi: libstub: unify initrd loading between architectures (Sebastian Ott) [2183343] - efi: libstub: remove pointless goto kludge (Sebastian Ott) [2183343] - efi: libstub: simplify efi_get_memory_map() and struct efi_boot_memmap (Sebastian Ott) [2183343] - efi: libstub: avoid efi_get_memory_map() for allocating the virt map (Sebastian Ott) [2183343] - psci: Fix the function type for psci_initcall_t (Sebastian Ott) [2183343] - firmware: dmi: Fortify entry point length checks (Sebastian Ott) [2183343] - Revert "firmware: arm_scmi: Add clock management to the SCMI power domain" (Sebastian Ott) [2183343] - efi: libstub: check Shim mode using MokSBStateRT (Sebastian Ott) [2183343] - efi: libstub: drop pointless get_memory_map() call (Sebastian Ott) [2183343] - efi: efibc: Guard against allocation failure (Sebastian Ott) [2183343] - efi: efibc: avoid efivar API for setting variables (Sebastian Ott) [2183343] - efi: libstub: fix type confusion for load_options_size (Sebastian Ott) [2183343] - efi/libstub: implement generic EFI zboot (Sebastian Ott) [2183343] - efi/dev-path-parser: Refactor _UID handling to use acpi_dev_uid_to_integer() (Sebastian Ott) [2183343] - efi/libstub: move efi_system_table global var into separate object (Sebastian Ott) [2183343] - efi/libstub: use EFI provided memcpy/memset routines (Sebastian Ott) [2183343] - efi/libstub: add some missing EFI prototypes (Sebastian Ott) [2183343] - efi: capsule-loader: Fix use-after-free in efi_capsule_write (Sebastian Ott) [2183343] - efi/x86: libstub: remove unused variable (Sebastian Ott) [2183343] - efi: libstub: Disable struct randomization (Sebastian Ott) [2183343] - firmware: arm_scmi: Add SCMI PM driver remove routine (Sebastian Ott) [2183343] - firmware: arm_scmi: Fix the asynchronous reset requests (Sebastian Ott) [2183343] - firmware: arm_scmi: Harden accesses to the reset domains (Sebastian Ott) [2183343] - firmware: arm_scmi: Harden accesses to the sensor domains (Sebastian Ott) [2183343] - firmware: arm_scmi: Improve checks in the info_get operations (Sebastian Ott) [2183343] - firmware: arm_scmi: Fix missing kernel-doc in optee (Sebastian Ott) [2183343] - firmware: dmi: Use the proper accessor for the version field (Sebastian Ott) [2183343] - firmware: arm_scmi: Get detailed power scale from perf (Sebastian Ott) [2183343] - cpufreq: scmi: Support the power scale in micro-Watts in SCMI v3.1 (Sebastian Ott) [2183343] - cpufreq: scmi: Use .register_em() to register with energy model (Sebastian Ott) [2183343] - efi: Fix efi_power_off() not being run before acpi_power_off() when necessary (Sebastian Ott) [2183343] - firmware: arm_scmi: Use fast channel tracing (Sebastian Ott) [2183343] - include: trace: Add SCMI fast channel tracing (Sebastian Ott) [2183343] - firmware: arm_scmi: Add SCMI v3.1 powercap fast channels support (Sebastian Ott) [2183343] - firmware: arm_scmi: Generalize the fast channel support (Sebastian Ott) [2183343] - firmware: arm_scmi: Add SCMI v3.1 powercap protocol basic support (Sebastian Ott) [2183343] - firmware: arm_scmi: Add SCMI System Power Control driver (Sebastian Ott) [2183343] - firmware: arm_scmi: Add devm_protocol_acquire helper (Sebastian Ott) [2183343] - firmware: arm_scmi: Add SCMI v3.1 System Power extensions (Sebastian Ott) [2183343] - firmware: arm_scpi: Ensure scpi_info is not assigned if the probe fails (Sebastian Ott) [2183343] - efi: vars: Move efivar caching layer into efivarfs (Sebastian Ott) [2183343] - efi: avoid efivars layer when loading SSDTs from variables (Sebastian Ott) [2183343] - efi: vars: Switch to new wrapper layer (Sebastian Ott) [2183343] - efi: vars: Remove deprecated 'efivars' sysfs interface (Sebastian Ott) [2183343] - efi: vars: Drop __efivar_entry_iter() helper which is no longer used (Sebastian Ott) [2183343] - efi: vars: Use locking version to iterate over efivars linked lists (Sebastian Ott) [2183343] - efi: pstore: Omit efivars caching EFI varstore access layer (Sebastian Ott) [2183343] - efi: vars: Add thin wrapper around EFI get/set variable interface (Sebastian Ott) [2183343] - efi: vars: Don't drop lock in the middle of efivar_init() (Sebastian Ott) [2183343] - pstore: Add priv field to pstore_record for backend specific use (Sebastian Ott) [2183343] - firmware: arm_scmi: Fix incorrect error propagation in scmi_voltage_descriptors_get (Sebastian Ott) [2183343] - firmware: arm_scmi: Relax base protocol sanity checks on the protocol list (Sebastian Ott) [2183343] - firmware: raspberrypi: Fix a leak in 'rpi_firmware_get()' (Sebastian Ott) [2183343] - redhat: stop tainting the kernel with virtio-mem (David Hildenbrand) [2228379] - x86/mm: Ease W^X enforcement back to just a warning (Ani Sinha) [2228318] - x86/mm: Disable W^X detection and enforcement on 32-bit (Ani Sinha) [2228318] - x86/mm/32: Fix W^X detection when page tables do not support NX (Ani Sinha) [2228318] - drm/nouveau/nvkm/dp: Add workaround to fix DP 1.3+ DPCD issues (Karol Herbst) [2229988] - redhat/configs: enable CONFIG_INET_DIAG_DESTROY (Andrea Claudi) [RHEL-212] - KVM: VMX: Don't fudge CR0 and CR4 for restricted L2 guest (Maxim Levitsky) [2225079] - KVM: x86: Disallow KVM_SET_SREGS{2} if incoming CR0 is invalid (Maxim Levitsky) [2225079] - KVM: Grab a reference to KVM for VM and vCPU stats file descriptors (Maxim Levitsky) [2225079] - Revert "KVM: SVM: Skip WRMSR fastpath on VM-Exit if next RIP isn't valid" (Maxim Levitsky) [2225079] - KVM: x86: Acquire SRCU read lock when handling fastpath MSR writes (Maxim Levitsky) [2225079] - KVM: x86/irq: Conditionally register IRQ bypass consumer again (Maxim Levitsky) [2225079] - KVM: X86: Use GFP_KERNEL_ACCOUNT for pid_table in ipiv (Maxim Levitsky) [2225079] - KVM: x86: check the kvm_cpu_get_interrupt result before using it (Maxim Levitsky) [2225079] - KVM: x86: VMX: set irr_pending in kvm_apic_update_irr (Maxim Levitsky) [2225079] - KVM: x86: VMX: __kvm_apic_update_irr must update the IRR atomically (Maxim Levitsky) [2225079] - KVM: SVM: WARN, but continue, if misc_cg_set_capacity() fails (Maxim Levitsky) [2225079] - KVM: x86/cpuid: Add AMD CPUID ExtPerfMonAndDbg leaf 0x80000022 (Maxim Levitsky) [2225079] - KVM: x86/svm/pmu: Add AMD PerfMonV2 support (Maxim Levitsky) [2225079] - KVM: x86/cpuid: Add a KVM-only leaf to redirect AMD PerfMonV2 flag (Maxim Levitsky) [2225079] - KVM: x86/pmu: Constrain the num of guest counters with kvm_pmu_cap (Maxim Levitsky) [2225079] - KVM: x86/pmu: Advertise PERFCTR_CORE iff the min nr of counters is met (Maxim Levitsky) [2225079] - KVM: x86/pmu: Disable vPMU if the minimum num of counters isn't met (Maxim Levitsky) [2225079] - KVM: x86: Explicitly zero cpuid "0xa" leaf when PMU is disabled (Maxim Levitsky) [2225079] - KVM: x86/pmu: Provide Intel PMU's pmc_is_enabled() as generic x86 code (Maxim Levitsky) [2225079] - KVM: x86/pmu: Move handling PERF_GLOBAL_CTRL and friends to common x86 (Maxim Levitsky) [2225079] - KVM: x86/pmu: Reject userspace attempts to set reserved GLOBAL_STATUS bits (Maxim Levitsky) [2225079] - KVM: x86/pmu: Move reprogram_counters() to pmu.h (Maxim Levitsky) [2225079] - KVM: x86/pmu: Rename global_ovf_ctrl_mask to global_status_mask (Maxim Levitsky) [2225079] - KVM: SVM: enhance info printk's in SEV init (Maxim Levitsky) [2225079] - KVM: selftests: Add test for race in kvm_recalculate_apic_map() (Maxim Levitsky) [2225079] - KVM: x86: Bail from kvm_recalculate_phys_map() if x2APIC ID is out-of-bounds (Maxim Levitsky) [2225079] - KVM: SVM: Invoke trace_kvm_exit() for fastpath VM-Exits (Maxim Levitsky) [2225079] - KVM: x86: Account fastpath-only VM-Exits in vCPU stats (Maxim Levitsky) [2225079] - KVM: SVM: vNMI pending bit is V_NMI_PENDING_MASK not V_NMI_BLOCKING_MASK (Maxim Levitsky) [2225079] - KVM: x86/mmu: Grab memslot for correct address space in NX recovery worker (Maxim Levitsky) [2225079] - KVM: VMX: Inject #GP, not #UD, if SGX2 ENCLS leafs are unsupported (Maxim Levitsky) [2225079] - KVM: VMX: Inject #GP on ENCLS if vCPU has paging disabled (CR0.PG==0) (Maxim Levitsky) [2225079] - KVM: VMX: restore vmx_vmexit alignment (Maxim Levitsky) [2225079] - KVM: Don't kfree(NULL) on kzalloc() failure in kvm_assign_ioeventfd_idx() (Maxim Levitsky) [2225079] - KVM: SVM: Remove TSS reloading code after VMEXIT (Maxim Levitsky) [2225079] - KVM: Clean up kvm_vm_ioctl_create_vcpu() (Maxim Levitsky) [2225079] - KVM: allow KVM_BUG/KVM_BUG_ON to handle 64-bit cond (Maxim Levitsky) [2225079] - KVM: VMX: Use proper accessor to read guest CR4 in handle_desc() (Maxim Levitsky) [2225079] - KVM: VMX: Treat UMIP as emulated if and only if the host doesn't have UMIP (Maxim Levitsky) [2225079] - KVM: VMX: add MSR_IA32_TSX_CTRL into msrs_to_save (Maxim Levitsky) [2225079] - KVM: x86: Don't adjust guest's CPUID.0x12.1 (allowed SGX enclave XFRM) (Maxim Levitsky) [2225079] - KVM: VMX: Don't rely _only_ on CPUID to enforce XCR0 restrictions for ECREATE (Maxim Levitsky) [2225079] - KVM: VMX: Fix header file dependency of asm/vmx.h (Maxim Levitsky) [2225079] - KVM: x86: Filter out XTILE_CFG if XTILE_DATA isn't permitted (Maxim Levitsky) [2225079] - KVM: x86: Add a helper to handle filtering of unpermitted XCR0 features (Maxim Levitsky) [2225079] - KVM: nVMX: Emulate NOPs in L2, and PAUSE if it's not intercepted (Maxim Levitsky) [2225079] - KVM: x86/pmu: Prevent the PMU from counting disallowed events (Maxim Levitsky) [2225079] - KVM: x86/pmu: Rewrite reprogram_counters() to improve performance (Maxim Levitsky) [2225079] - KVM: VMX: Refactor intel_pmu_{g,}set_msr() to align with other helpers (Maxim Levitsky) [2225079] - KVM: x86/pmu: Rename pmc_is_enabled() to pmc_is_globally_enabled() (Maxim Levitsky) [2225079] - KVM: x86/pmu: Disallow legacy LBRs if architectural LBRs are available (Maxim Levitsky) [2225079] - KVM: x86/pmu: Zero out pmu->all_valid_pmc_idx each time it's refreshed (Maxim Levitsky) [2225079] - KVM: VMX: Use is_64_bit_mode() to check 64-bit mode in SGX handler (Maxim Levitsky) [2225079] - KVM: x86: Assert that the emulator doesn't load CS with garbage in !RM (Maxim Levitsky) [2225079] - KVM: nSVM: Implement support for nested VNMI (Maxim Levitsky) [2225079] - KVM: x86: Add support for SVM's Virtual NMI (Maxim Levitsky) [2225079] - KVM: x86: Route pending NMIs from userspace through process_nmi() (Maxim Levitsky) [2225079] - KVM: SVM: Add definitions for new bits in VMCB::int_ctrl related to vNMI (Maxim Levitsky) [2225079] - x86/cpufeatures: Redefine synthetic virtual NMI bit as AMD's "real" vNMI (Maxim Levitsky) [2225079] - KVM: x86: Save/restore all NMIs when multiple NMIs are pending (Maxim Levitsky) [2225079] - KVM: x86: Tweak the code and comment related to handling concurrent NMIs (Maxim Levitsky) [2225079] - KVM: x86: Raise an event request when processing NMIs if an NMI is pending (Maxim Levitsky) [2225079] - KVM: SVM: add wrappers to enable/disable IRET interception (Maxim Levitsky) [2225079] - KVM: nSVM: Raise event on nested VM exit if L1 doesn't intercept IRQs (Maxim Levitsky) [2225079] - KVM: nSVM: Disable intercept of VINTR if saved L1 host RFLAGS.IF is 0 (Maxim Levitsky) [2225079] - KVM: nSVM: Don't sync vmcb02 V_IRQ back to vmcb12 if KVM (L0) is intercepting VINTR (Maxim Levitsky) [2225079] - KVM: x86: Use boolean return value for is_{pae,pse,paging}() (Maxim Levitsky) [2225079] - KVM: SVM: Fix benign "bool vs. int" comparison in svm_set_cr0() (Maxim Levitsky) [2225079] - KVM: PPC: Make KVM_CAP_IRQFD_RESAMPLE platform dependent (Maxim Levitsky) [2225079] - KVM: Ensure lockdep knows about kvm->lock vs. vcpu->mutex ordering rule (Maxim Levitsky) [2225079] - KVM: selftests: Build access_tracking_perf_test for arm64 (Maxim Levitsky) [2225079] - virtio-pci: Fix legacy device flag setting error in probe (Cindy Lu) [RHEL-814] - vdpa/mlx5: Fix crash on shutdown for when no ndev exists (Cindy Lu) [RHEL-814] - vdpa/mlx5: Delete control vq iotlb in destroy_mr only when necessary (Cindy Lu) [RHEL-814] - vdpa/mlx5: Fix mr->initialized semantics (Cindy Lu) [RHEL-814] Resolves: rhbz#2183343, rhbz#2225079, rhbz#2228318, rhbz#2228379, rhbz#2229988, RHEL-212, RHEL-814 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-23 11:58:14 +00:00
CONFIG_INET_DIAG_DESTROY=y
CONFIG_INET_DIAG=y
CONFIG_INET_ESPINTCP=y
CONFIG_INET_ESP=m
CONFIG_INET_ESP_OFFLOAD=m
CONFIG_INET_IPCOMP=m
CONFIG_INET_MPTCP_DIAG=y
CONFIG_INET_RAW_DIAG=y
CONFIG_INET_TCP_DIAG=y
CONFIG_INET_TUNNEL=m
CONFIG_INET_UDP_DIAG=y
CONFIG_INET=y
CONFIG_INFINIBAND_ADDR_TRANS=y
CONFIG_INFINIBAND_BNXT_RE=m
CONFIG_INFINIBAND_CXGB4=m
CONFIG_INFINIBAND_EFA=m
# CONFIG_INFINIBAND_HNS is not set
CONFIG_INFINIBAND_IPOIB_CM=y
# CONFIG_INFINIBAND_IPOIB_DEBUG_DATA is not set
CONFIG_INFINIBAND_IPOIB_DEBUG=y
CONFIG_INFINIBAND_IPOIB=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
CONFIG_INFINIBAND_IRDMA=m
CONFIG_INFINIBAND_ISER=m
CONFIG_INFINIBAND_ISERT=m
CONFIG_INFINIBAND=m
# CONFIG_INFINIBAND_MTHCA is not set
# CONFIG_INFINIBAND_OCRDMA is not set
CONFIG_INFINIBAND_ON_DEMAND_PAGING=y
CONFIG_INFINIBAND_QEDR=m
# CONFIG_INFINIBAND_QIB is not set
CONFIG_INFINIBAND_RDMAVT=m
# CONFIG_INFINIBAND_RTRS_CLIENT is not set
# CONFIG_INFINIBAND_RTRS_SERVER is not set
CONFIG_INFINIBAND_SRP=m
CONFIG_INFINIBAND_SRPT=m
CONFIG_INFINIBAND_USER_ACCESS=m
CONFIG_INFINIBAND_USER_MAD=m
CONFIG_INFINIBAND_USNIC=m
# CONFIG_INFTL is not set
# CONFIG_INIT_ON_ALLOC_DEFAULT_ON is not set
# CONFIG_INIT_ON_FREE_DEFAULT_ON is not set
CONFIG_INITRAMFS_SOURCE=""
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
# CONFIG_INIT_STACK_ALL_PATTERN is not set
# CONFIG_INIT_STACK_ALL_ZERO is not set
CONFIG_INIT_STACK_NONE=y
CONFIG_INOTIFY_USER=y
# CONFIG_INPUT_AD714X is not set
# CONFIG_INPUT_ADXL34X is not set
CONFIG_INPUT_APANEL=m
CONFIG_INPUT_ATI_REMOTE2=m
CONFIG_INPUT_ATLAS_BTNS=m
# CONFIG_INPUT_ATMEL_CAPTOUCH is not set
# CONFIG_INPUT_BMA150 is not set
CONFIG_INPUT_CM109=m
# CONFIG_INPUT_CMA3000 is not set
# CONFIG_INPUT_DA7280_HAPTICS is not set
# CONFIG_INPUT_DRV260X_HAPTICS is not set
# CONFIG_INPUT_DRV2665_HAPTICS is not set
# CONFIG_INPUT_DRV2667_HAPTICS is not set
# CONFIG_INPUT_E3X0_BUTTON is not set
# CONFIG_INPUT_EVBUG is not set
CONFIG_INPUT_EVDEV=y
CONFIG_INPUT_FF_MEMLESS=m
# CONFIG_INPUT_GPIO_BEEPER is not set
# CONFIG_INPUT_GPIO_DECODER is not set
CONFIG_INPUT_GPIO_ROTARY_ENCODER=m
# CONFIG_INPUT_GPIO_VIBRA is not set
# CONFIG_INPUT_IDEAPAD_SLIDEBAR is not set
# CONFIG_INPUT_IMS_PCU is not set
# CONFIG_INPUT_IQS269A is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_INPUT_IQS626A is not set
# CONFIG_INPUT_JOYDEV is not set
# CONFIG_INPUT_JOYSTICK is not set
CONFIG_INPUT_KEYBOARD=y
CONFIG_INPUT_KEYSPAN_REMOTE=m
# CONFIG_INPUT_KXTJ9 is not set
CONFIG_INPUT_LEDS=y
# CONFIG_INPUT_MATRIXKMAP is not set
CONFIG_INPUT_MISC=y
# CONFIG_INPUT_MMA8450 is not set
# CONFIG_INPUT_MOUSEDEV_PSAUX is not set
CONFIG_INPUT_MOUSEDEV_SCREEN_X=1024
CONFIG_INPUT_MOUSEDEV_SCREEN_Y=768
CONFIG_INPUT_MOUSEDEV=y
CONFIG_INPUT_MOUSE=y
# CONFIG_INPUT_PCF8574 is not set
# CONFIG_INPUT_PCSPKR is not set
CONFIG_INPUT_POWERMATE=m
CONFIG_INPUT_PWM_BEEPER=m
# CONFIG_INPUT_PWM_VIBRA is not set
CONFIG_INPUT_SPARSEKMAP=m
CONFIG_INPUT_TABLET=y
CONFIG_INPUT_TOUCHSCREEN=y
CONFIG_INPUT_UINPUT=m
CONFIG_INPUT=y
CONFIG_INPUT_YEALINK=m
# CONFIG_INT3406_THERMAL is not set
CONFIG_INTEGRITY_ASYMMETRIC_KEYS=y
CONFIG_INTEGRITY_AUDIT=y
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
CONFIG_INTEGRITY_CA_MACHINE_KEYRING_MAX=y
CONFIG_INTEGRITY_CA_MACHINE_KEYRING=y
CONFIG_INTEGRITY_MACHINE_KEYRING=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_INTEGRITY_PLATFORM_KEYRING=y
CONFIG_INTEGRITY_SIGNATURE=y
CONFIG_INTEGRITY_TRUSTED_KEYRING=y
CONFIG_INTEGRITY=y
# CONFIG_INTEL_ATOMISP2_PM is not set
# CONFIG_INTEL_IDMA64 is not set
kernel-5.14.0-86.el9 * Fri May 06 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-86.el9] - configs: enable LOGITECH_FF (Benjamin Tissoires) [2070018] - veth: Ensure eth header is in skb's linear part (Guillaume Nault) [2040238] - virtchnl: Add support for new VLAN capabilities (Ivan Vecera) [2078776] - virtchnl: Use the BIT() macro for capability/offload flags (Ivan Vecera) [2078776] - virtchnl: Remove unused VIRTCHNL_VF_OFFLOAD_RSVD define (Ivan Vecera) [2078776] - skbuff: introduce skb_pull_data (Ivan Vecera) [2078759] - redhat/configs: Add CONFIG_PERF_EVENTS_AMD_UNCORE (Michael Petlan) [2069070] - perf callchain: Fix compilation on powerpc with gcc11+ (Michael Petlan) [2069070] - perf script: Check session->header.env.arch before using it (Michael Petlan) [2069070] - perf build: Suppress 'rm dlfilter' build message (Michael Petlan) [2069070] - libperf tests: Fix test_stat_cpu (Michael Petlan) [2069070] - libperf test evsel: Fix build error on !x86 architectures (Michael Petlan) [2069070] - perf report: Output non-zero offset for decompressed records (Michael Petlan) [2069070] - perf build: Fix plugin static linking with libopencsd on ARM and ARM64 (Michael Petlan) [2069070] - perf build: Add missing -lstdc++ when linking with libopencsd (Michael Petlan) [2069070] - perf jevents: Free the sys_event_tables list after processing entries (Michael Petlan) [2069070] - perf iostat: Fix Segmentation fault from NULL 'struct perf_counts_values *' (Michael Petlan) [2069070] - perf iostat: Use system-wide mode if the target cpu_list is unspecified (Michael Petlan) [2069070] - perf config: Refine error message to eliminate confusion (Michael Petlan) [2069070] - perf doc: Fix typos all over the place (Michael Petlan) [2069070] - perf arm: Fix off-by-one directory paths. (Michael Petlan) [2069070] - perf vendor events powerpc: Fix spelling mistake "icach" -> "icache" (Michael Petlan) [2069070] - perf tests: Fix flaky test 'Object code reading' (Michael Petlan) [2069070] - perf test: Fix DWARF unwind for optimized builds. (Michael Petlan) [2069070] - perf bpf: Ignore deprecation warning when using libbpf's btf__get_from_id() (Michael Petlan) [2069070] - libperf evsel: Make use of FD robust. (Michael Petlan) [2069070] - perf machine: Initialize srcline string member in add_location struct (Michael Petlan) [2069070] - perf script: Fix ip display when type != attr->type (Michael Petlan) [2069070] - perf annotate: Fix fused instr logic for assembly functions (Michael Petlan) [2069070] - perf tools: Add an option to build without libbfd (Michael Petlan) [2069070] - perf tools: Allow build-id with trailing zeros (Michael Petlan) [2069070] - perf tools: Fix hybrid config terms list corruption (Michael Petlan) [2069070] - perf tools: Factor out copy_config_terms() and free_config_terms() (Michael Petlan) [2069070] - perf tools: Fix perf_event_attr__fprintf() missing/dupl. fields (Michael Petlan) [2069070] - perf tools: Ignore Documentation dependency file (Michael Petlan) [2069070] - perf bpf: Provide a weak btf__load_from_kernel_by_id() for older libbpf versions (Michael Petlan) [2069070] - perf beauty: Cover more flags in the move_mount syscall argument beautifier (Michael Petlan) [2069070] - perf report: Add support to print a textual representation of IBS raw sample data (Michael Petlan) [2069070] - perf report: Add tools/arch/x86/include/asm/amd-ibs.h (Michael Petlan) [2069070] - perf env: Add perf_env__cpuid, perf_env__{nr_}pmu_mappings (Michael Petlan) [2069070] - perf symbol: Look for ImageBase in PE file to compute .text offset (Michael Petlan) [2069070] - perf scripts python: Fix passing arguments to stackcollapse report (Michael Petlan) [2069070] - perf test: Fix bpf test sample mismatch reporting (Michael Petlan) [2069070] - perf beauty: Update copy of linux/socket.h with the kernel sources (Michael Petlan) [2069070] - perf tests: Add test for PMU aliases (Michael Petlan) [2069070] - perf pmu: Add PMU alias support (Michael Petlan) [2069070] - perf session: Report collisions in AUX records (Michael Petlan) [2069070] - perf script python: Allow reporting the [un]throttle PERF_RECORD_ meta event (Michael Petlan) [2069070] - perf build: Report failure for testing feature libopencsd (Michael Petlan) [2069070] - perf cs-etm: Show a warning for an unknown magic number (Michael Petlan) [2069070] - perf cs-etm: Print the decoder name (Michael Petlan) [2069070] - perf cs-etm: Create ETE decoder (Michael Petlan) [2069070] - perf cs-etm: Update OpenCSD decoder for ETE (Michael Petlan) [2069070] - perf cs-etm: Fix typo (Michael Petlan) [2069070] - perf cs-etm: Save TRCDEVARCH register (Michael Petlan) [2069070] - perf cs-etm: Refactor out ETMv4 header saving (Michael Petlan) [2069070] - perf cs-etm: Initialise architecture based on TRCIDR1 (Michael Petlan) [2069070] - perf cs-etm: Refactor initialisation of decoder params. (Michael Petlan) [2069070] - tools build: Fix feature detect clean for out of source builds (Michael Petlan) [2069070] - perf evlist: Add evlist__for_each_entry_from() macro (Michael Petlan) [2069070] - perf evsel: Handle precise_ip fallback in evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Move bpf_counter__install_pe() to success path in evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Move test_attr__open() to success path in evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Move ignore_missing_thread() to fallback code (Michael Petlan) [2069070] - perf evsel: Separate rlimit increase from evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Separate missing feature detection from evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Add evsel__prepare_open() (Michael Petlan) [2069070] - perf evsel: Separate missing feature disabling from evsel__open_cpu (Michael Petlan) [2069070] - perf evsel: Save open flags in evsel in prepare_open() (Michael Petlan) [2069070] - perf evsel: Separate open preparation from open itself (Michael Petlan) [2069070] - perf evsel: Remove retry_sample_id goto label (Michael Petlan) [2069070] - perf mmap: Add missing bitops.h header (Michael Petlan) [2069070] - libperf cpumap: Take into advantage it is sorted to optimize perf_cpu_map__max() (Michael Petlan) [2069070] - libsubcmd: add OPT_UINTEGER_OPTARG option type (Michael Petlan) [2069070] - perf tools: Fix LLVM download hint link (Michael Petlan) [2069070] - perf tools: Fix LLVM test failure when running in verbose mode (Michael Petlan) [2069070] - perf tools: Refactor LLVM test warning for missing binary (Michael Petlan) [2069070] - perf auxtrace arm: Support compat_auxtrace_mmap__{read_head|write_tail} (Michael Petlan) [2069070] - perf auxtrace: Add compat_auxtrace_mmap__{read_head|write_tail} (Michael Petlan) [2069070] - perf bpf: Fix memory leaks relating to BTF. (Michael Petlan) [2069070] - perf data: Correct -h output (Michael Petlan) [2069070] - perf header: Fix spelling mistake "cant'" -> "can't" (Michael Petlan) [2069070] - perf dlfilters: Fix build on environments with a --sysroot gcc arg (Michael Petlan) [2069070] - perf record: Fix wrong comm in system-wide mode with delay (Michael Petlan) [2069070] - perf stat: Do not allow --for-each-cgroup without cpu (Michael Petlan) [2069070] - perf bench evlist-open-close: Use PRIu64 with u64 to fix build on 32-bit architectures (Michael Petlan) [2069070] - perf tests: Fix *probe_vfs_getname.sh test failures (Michael Petlan) [2069070] - perf bench inject-buildid: Handle writen() errors (Michael Petlan) [2069070] - perf unwind: Do not overwrite FEATURE_CHECK_LDFLAGS-libunwind-{x86,aarch64} (Michael Petlan) [2069070] - perf config: Fix caching and memory leak in perf_home_perfconfig() (Michael Petlan) [2069070] - perf tools: Fixup get_current_dir_name() compilation (Michael Petlan) [2069070] - libperf tests: Fix verbose printing (Michael Petlan) [2069070] - perf tools: Add missing newline at the end of header file (Michael Petlan) [2069070] - perf tests dlfilter: Free desc and long_desc in check_filter_desc (Michael Petlan) [2069070] - tools: Add sparse context/locking annotations in compiler-types.h (Michael Petlan) [2069070] - perf test: Do not compare overheads in the zstd comp test (Michael Petlan) [2069070] - perf tools: Enable on a list of CPUs for hybrid (Michael Petlan) [2069070] - perf tools: Create hybrid flag in target (Michael Petlan) [2069070] - libperf: Add perf_cpu_map__default_new() (Michael Petlan) [2069070] - perf test: Make --skip work on shell tests (Michael Petlan) [2069070] - perf tests: Add dlfilter test (Michael Petlan) [2069070] - perf build: Move perf_dlfilters.h in the source tree (Michael Petlan) [2069070] - perf dlfilter: Amend documentation wrt library dependencies (Michael Petlan) [2069070] - perf script: Fix --list-dlfilters documentation (Michael Petlan) [2069070] - perf script: Fix unnecessary machine_resolve() (Michael Petlan) [2069070] - perf script: Fix documented const'ness of perf_dlfilter_fns (Michael Petlan) [2069070] - perf vendor events: Update metrics for SkyLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update uncore event list for SkyLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update core event list for SkyLake Server (Michael Petlan) [2069070] - perf vendor events: Update metrics for CascadeLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update uncore event list for CascadeLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update core event list for CascadeLake Server (Michael Petlan) [2069070] - perf test: Add pmu-events sys event support (Michael Petlan) [2069070] - perf jevents: Print SoC name per system event table (Michael Petlan) [2069070] - perf pmu: Make pmu_add_sys_aliases() public (Michael Petlan) [2069070] - perf test: Add more pmu-events uncore aliases (Michael Petlan) [2069070] - perf test: Re-add pmu-event uncore PMU alias test (Michael Petlan) [2069070] - perf pmu: Check .is_uncore field in pmu_add_cpu_aliases_map() (Michael Petlan) [2069070] - perf test: Test pmu-events core aliases separately (Michael Petlan) [2069070] - perf test: Factor out pmu-events alias comparison (Michael Petlan) [2069070] - perf test: Declare pmu-events test events separately (Michael Petlan) [2069070] - perf jevents: Relocate test events to cpu folder (Michael Petlan) [2069070] - perf test: Factor out pmu-events event comparison (Michael Petlan) [2069070] - perf jevents: Make build dependency on test JSONs (Michael Petlan) [2069070] - perf bench: Add benchmark for evlist open/close operations (Michael Petlan) [2069070] - perf docs: Fix accidental em-dashes (Michael Petlan) [2069070] - perf env: Track kernel 64-bit mode in environment (Michael Petlan) [2069070] - tools: Remove feature-sync-compare-and-swap feature detection (Michael Petlan) [2069070] - perf: Cleanup for HAVE_SYNC_COMPARE_AND_SWAP_SUPPORT (Michael Petlan) [2069070] - perf auxtrace: Remove auxtrace_mmap__read_snapshot_head() (Michael Petlan) [2069070] - perf auxtrace: Drop legacy __sync functions (Michael Petlan) [2069070] - perf auxtrace: Use WRITE_ONCE() for updating aux_tail (Michael Petlan) [2069070] - perf script python: Fix unintended underline (Michael Petlan) [2069070] - perf cs-etm: Add warnings for missing DSOs (Michael Petlan) [2069070] - perf vendor events: Add metrics for Icelake Server (Michael Petlan) [2069070] - perf bench futex, requeue: Add --pi parameter (Michael Petlan) [2069070] - perf bench futex, requeue: Robustify futex_wait() handling (Michael Petlan) [2069070] - perf bench futex, requeue: Add --broadcast option (Michael Petlan) [2069070] - perf bench futex: Add --mlockall parameter (Michael Petlan) [2069070] - perf bench futex: Remove bogus backslash from comment (Michael Petlan) [2069070] - perf bench futex: Group test parameters cleanup (Michael Petlan) [2069070] - perf cs-etm: Improve Coresight zero timestamp warning (Michael Petlan) [2069070] - perf tools: Add flag for tracking warnings of missing DSOs (Michael Petlan) [2069070] - perf annotate: Add disassembly warnings for annotate --stdio (Michael Petlan) [2069070] - perf annotate: Re-add annotate_warned functionality (Michael Petlan) [2069070] - perf tools: Add WARN_ONCE equivalent for UI warnings (Michael Petlan) [2069070] - perf tools: Add pipe_test.sh to verify pipe operations (Michael Petlan) [2069070] - perf inject: Fix output from a file to a pipe (Michael Petlan) [2069070] - perf inject: Fix output from a pipe to a file (Michael Petlan) [2069070] - perf tools: Pass a fd to perf_file_header__read_pipe() (Michael Petlan) [2069070] - perf tools: Remove repipe argument from perf_session__new() (Michael Petlan) [2069070] - perf vendor events intel: Add basic metrics for Elkhartlake (Michael Petlan) [2069070] - perf vendor events intel: Add core event list for Elkhartlake (Michael Petlan) [2069070] - perf vendor events: Add metrics for Tigerlake (Michael Petlan) [2069070] - perf vendor events intel: Add core event list for Tigerlake (Michael Petlan) [2069070] - perf annotate: Add error log in symbol__annotate() (Michael Petlan) [2069070] - perf env: Normalize aarch64.* and arm64.* to arm64 in normalize_arch() (Michael Petlan) [2069070] - perf beauty: Reuse the generic arch errno switch (Michael Petlan) [2069070] - perf doc: Reorganize ARTICLES variables. (Michael Petlan) [2069070] - perf doc: Remove howto-index.sh related references. (Michael Petlan) [2069070] - perf doc: Remove cmd-list.perl references (Michael Petlan) [2069070] - perf doc: Add info pages to all target. (Michael Petlan) [2069070] - perf doc: Remove references to user-manual (Michael Petlan) [2069070] - perf doc: Fix doc.dep (Michael Petlan) [2069070] - perf doc: Fix perfman.info build (Michael Petlan) [2069070] - perf cs-etm: Pass unformatted flag to decoder (Michael Petlan) [2069070] - perf cs-etm: Use existing decoder instead of resetting it (Michael Petlan) [2069070] - perf cs-etm: Suppress printing when resetting decoder (Michael Petlan) [2069070] - perf cs-etm: Only setup queues when they are modified (Michael Petlan) [2069070] - perf cs-etm: Split setup and timestamp search functions (Michael Petlan) [2069070] - perf cs-etm: Refactor initialisation of kernel start address (Michael Petlan) [2069070] - perf trace: Update cmd string table to decode sys_bpf first arg (Michael Petlan) [2069070] - perf/x86/msr: Add Sapphire Rapids CPU support (Michael Petlan) [2069070] - perf/core: fix userpage->time_enabled of inactive events (Michael Petlan) [2069070] - perf/x86/intel: Update event constraints for ICX (Michael Petlan) [2069070] - perf/x86: Reset destroy callback on event init failure (Michael Petlan) [2069070] - events: Reuse value read using READ_ONCE instead of re-reading it (Michael Petlan) [2069070] - perf/x86/amd/ibs: Add bitfield definitions in new <asm/amd-ibs.h> header (Michael Petlan) [2069070] - perf/amd/uncore: Allow the driver to be built as a module (Michael Petlan) [2069070] - perf/amd/uncore: Clean up header use, use <linux/ include paths instead of <asm/ (Michael Petlan) [2069070] - perf/amd/uncore: Simplify code, use free_percpu()'s built-in check for NULL (Michael Petlan) [2069070] - perf/x86: Remove unused assignment to pointer 'e' (Michael Petlan) [2069070] - perf/x86/intel/uncore: Fix IIO cleanup mapping procedure for SNR/ICX (Michael Petlan) [2069070] - cifs: fix NULL ptr dereference in smb2_ioctl_query_info() (Ronnie Sahlberg) [2074302] - cifs: prevent bad output lengths in smb2_ioctl_query_info() (Ronnie Sahlberg) [2074302] - ping: remove pr_err from ping_lookup (Xin Long) [2054023] - ping: fix the dif and sdif check in ping_lookup (Xin Long) [2054023] - ping: fix the sk_bound_dev_if match in ping_lookup (Xin Long) [2054023] - redhat: configs: add CONFIG_INTEL_IDXD_COMPAT (Jerry Snitselaar) [2072168] - dmaengine: idxd: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2072168] - dmaengine: idxd: restore traffic class defaults after wq reset (Jerry Snitselaar) [2046470] - dmaengine: idxd: deprecate token sysfs attributes for read buffers (Jerry Snitselaar) [2040044] - dmaengine: idxd: change bandwidth token to read buffers (Jerry Snitselaar) [2040044] - dmaengine: idxd: fix wq settings post wq disable (Jerry Snitselaar) [2040046] - dmaengine: idxd: change MSIX allocation based on per wq activation (Jerry Snitselaar) [2040496] - dmaengine: idxd: fix descriptor flushing locking (Jerry Snitselaar) [2040496] - dmaengine: idxd: embed irq_entry in idxd_wq struct (Jerry Snitselaar) [2040496] - dmaengine: idxd: fix missed completion on abort path (Jerry Snitselaar) [2040041] - dmaengine: idxd: fix calling wq quiesce inside spinlock (Jerry Snitselaar) [2040041] - dmaengine: idxd: add knob for enqcmds retries (Jerry Snitselaar) [2040048] - dmaengine: idxd: set defaults for wq configs (Jerry Snitselaar) [2040048] - dmaengine: idxd: handle interrupt handle revoked event (Jerry Snitselaar) [2040052] - dmaengine: idxd: handle invalid interrupt handle descriptors (Jerry Snitselaar) [2040052] - dmaengine: idxd: create locked version of idxd_quiesce() call (Jerry Snitselaar) [2040052] - dmaengine: idxd: add helper for per interrupt handle drain (Jerry Snitselaar) [2040052] - dmaengine: idxd: move interrupt handle assignment (Jerry Snitselaar) [2040052] - dmaengine: idxd: int handle management refactoring (Jerry Snitselaar) [2040052] - dmaengine: idxd: rework descriptor free path on failure (Jerry Snitselaar) [2040052] - dmaengine: idxd: fix resource leak on dmaengine driver disable (Jerry Snitselaar) [2040041] - dmaengine: idxd: cleanup completion record allocation (Jerry Snitselaar) [2040041] - dmaengine: idxd: reconfig device after device reset command (Jerry Snitselaar) [2040041] - dmaengine: idxd: add halt interrupt support (Jerry Snitselaar) [2040048] - dmaengine: idxd: Use list_move_tail instead of list_del/list_add_tail (Jerry Snitselaar) [2072168] - dmanegine: idxd: fix resource free ordering on driver removal (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove kernel wq type set when load configuration (Jerry Snitselaar) [2072168] - dmaengine: idxd: remove gen cap field per spec 1.2 update (Jerry Snitselaar) [2040041] - dmaengine: idxd: check GENCAP config support for gencfg register (Jerry Snitselaar) [2040041] - dmaengine: idxd: move out percpu_ref_exit() to ensure it's outside submission (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove interrupt disable for dev_lock (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove interrupt disable for cmd_lock (Jerry Snitselaar) [2040041] - dmaengine: idxd: fix setting up priv mode for dwq (Jerry Snitselaar) [2040041] - dmaengine: idxd: set descriptor allocation size to threshold for swq (Jerry Snitselaar) [2040041] - dmaengine: idxd: make submit failure path consistent on desc freeing (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove interrupt flag for completion list spinlock (Jerry Snitselaar) [2040041] - dmaengine: idxd: make I/O interrupt handler one shot (Jerry Snitselaar) [2040041] - dmaengine: idxd: clear block on fault flag when clear wq (Jerry Snitselaar) [2040041] - dmaengine: idxd: add capability check for 'block on fault' attribute (Jerry Snitselaar) [2040041] - dmaengine: idxd: Remove unused status variable in irq_process_work_list() (Jerry Snitselaar) [2072168] - dmaengine: idxd: Fix a possible NULL pointer dereference (Jerry Snitselaar) [2072168] - dmaengine: idxd: fix abort status check (Jerry Snitselaar) [2040041] - dmanegine: idxd: add software command status (Jerry Snitselaar) [2040048] - dmaengine: idxd: rotate portal address for better performance (Jerry Snitselaar) [2040048] - dmaengine: idxd: fix wq slot allocation index check (Jerry Snitselaar) [2040041] - dmaengine: idxd: fix uninit var for alt_drv (Jerry Snitselaar) [2072168] - dmaengine: idxd: Set defaults for GRPCFG traffic class (Jerry Snitselaar) [2040048] - dmaengine: idxd: remove fault processing code (Jerry Snitselaar) [2040041] - dmaengine: idxd: move dsa_drv support to compatible mode (Jerry Snitselaar) [1971962] - dmaengine: dsa: move dsa_bus_type out of idxd driver to standalone (Jerry Snitselaar) [1971962] - dmaengine: idxd: create user driver for wq 'device' (Jerry Snitselaar) [1971962] - dmaengine: idxd: create dmaengine driver for wq 'device' (Jerry Snitselaar) [1971962] - dmaengine: idxd: create idxd_device sub-driver (Jerry Snitselaar) [1971962] - dmaengine: idxd: add type to driver in order to allow device matching (Jerry Snitselaar) [1971962] - dmanegine: idxd: open code the dsa_drv registration (Jerry Snitselaar) [1971962] - dmaengine: idxd: idxd: move remove() bits for idxd 'struct device' to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: move probe() bits for idxd 'struct device' to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: fix bus_probe() and bus_remove() for dsa_bus (Jerry Snitselaar) [1971962] - dmaengine: idxd: remove iax_bus_type prototype (Jerry Snitselaar) [1971962] - dmaengine: idxd: remove bus shutdown (Jerry Snitselaar) [1971962] - dmaengine: idxd: move wq_disable() to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: move wq_enable() to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: remove IDXD_DEV_CONF_READY (Jerry Snitselaar) [1971962] - dmaengine: idxd: add 'struct idxd_dev' as wrapper for conf_dev (Jerry Snitselaar) [1971962] - dmaengine: idxd: add driver name (Jerry Snitselaar) [1971962] - dmaengine: idxd: add driver register helper (Jerry Snitselaar) [1971962] - dmaengine: idxd: assign MSIX vectors to each WQ rather than roundrobin (Jerry Snitselaar) [2072168] - dmaengine: idxd: add missing percpu ref put on failure (Jerry Snitselaar) [2072168] - dmaengine: idxd: have command status always set (Jerry Snitselaar) [1973884] - dmanegine: idxd: cleanup all device related bits after disabling device (Jerry Snitselaar) [2072168] - dmaengine: idxd: Simplify code and axe the use of a deprecated API (Jerry Snitselaar) [2072168] - x86/fpu/xstate: Consolidate size calculations (Jerry Snitselaar) [2004573] - x86/fpu/xstate: Handle supervisor states in XSTATE permissions (Jerry Snitselaar) [2004573] - x86/fpu/xsave: Handle compacted offsets correctly with supervisor states (Jerry Snitselaar) [2004573] - x86/fpu: Cache xfeature flags from CPUID (Jerry Snitselaar) [2004573] - x86/fpu/xsave: Initialize offset/size cache early (Jerry Snitselaar) [2004573] - x86/fpu: Remove unused supervisor only offsets (Jerry Snitselaar) [2004573] - Documentation/x86: Update documentation for SVA (Shared Virtual Addressing) (Jerry Snitselaar) [2004573] - tools/objtool: Check for use of the ENQCMD instruction in the kernel (Jerry Snitselaar) [2004573] - x86/cpufeatures: Re-enable ENQCMD (Jerry Snitselaar) [2004573] - x86/traps: Demand-populate PASID MSR via #GP (Jerry Snitselaar) [2004573] - sched: Define and initialize a flag to identify valid PASID in the task (Jerry Snitselaar) [2004573] - x86/fpu: Clear PASID when copying fpstate (Jerry Snitselaar) [2004573] - iommu/sva: Assign a PASID to mm on PASID allocation and free it on mm exit (Jerry Snitselaar) [2004573] - kernel/fork: Initialize mm's PASID (Jerry Snitselaar) [2004573] - iommu/ioasid: Introduce a helper to check for valid PASIDs (Jerry Snitselaar) [2004573] - mm: Change CONFIG option for mm->pasid field (Jerry Snitselaar) [2004573] - iommu/sva: Rename CONFIG_IOMMU_SVA_LIB to CONFIG_IOMMU_SVA (Jerry Snitselaar) [2004573] - stddef: Introduce DECLARE_FLEX_ARRAY() helper (Josef Oskera) [2069567] - iommu/vt-d: Use correctly sized arguments for bit field (Jerry Snitselaar) [2068207] - redhat: configs: Enable CONFIG_INTEL_IOMMU_DEBUGFS (Jerry Snitselaar) [2068207] Resolves: rhbz#2070018, rhbz#2040238, rhbz#2078776, rhbz#2078759, rhbz#2069070, rhbz#2074302, rhbz#2054023, rhbz#2072168, rhbz#2046470, rhbz#2040044, rhbz#2040046, rhbz#2040496, rhbz#2040041, rhbz#2040048, rhbz#2040052, rhbz#1971962, rhbz#1973884, rhbz#2004573, rhbz#2069567, rhbz#2068207 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-06 08:44:02 +00:00
# CONFIG_INTEL_IDXD_COMPAT is not set
CONFIG_INTEL_IDXD=m
# CONFIG_INTEL_INT0002_VGPIO is not set
# CONFIG_INTEL_IOMMU_DEBUGFS is not set
kernel-5.14.0-309.el9 * Sun May 07 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-309.el9] - scsi: ses: Handle enclosure with just a primary component gracefully (Tomas Henzl) [2188482] - rtnetlink: advertise allmulti counter (Petr Oros) [2192483] - ethtool: reset #lanes when lanes is omitted (Davide Caratti) [2190429] - veth: Fix use after free in XDP_REDIRECT (Davide Caratti) [2190429] - packet: do not set TP_STATUS_CSUM_VALID on CHECKSUM_COMPLETE (Davide Caratti) [2190429] - af_unix: Fix a data-race in unix_dgram_peer_wake_me(). (Davide Caratti) [2190429] - ipvlan: Make skb->skb_iif track skb->dev for l3s mode (Davide Caratti) [2190430] - erspan: do not use skb_mac_header() in ndo_start_xmit() (Guillaume Nault) [2190389] - net: tunnels: annotate lockless accesses to dev->needed_headroom (Guillaume Nault) [2190389] - macvlan: Fix mc_filter calculation (Xin Long) [2179199] - macvlan: Add netlink attribute for broadcast cutoff (Xin Long) [2179199] - macvlan: Skip broadcast queue if multicast with single receiver (Xin Long) [2179199] - iommufd: Do not corrupt the pfn list when doing batch carry (Jerry Snitselaar) [2181354] - iommufd: Fix unpinning of pages when an access is present (Jerry Snitselaar) [2181354] - iommufd: Check for uptr overflow (Jerry Snitselaar) [2181354] - vfio: Support VFIO_NOIOMMU with iommufd (Jerry Snitselaar) [2181354] - iommu: Remove IOMMU_CAP_INTR_REMAP (Jerry Snitselaar) [2181354] - irq/s390: Add arch_is_isolated_msi() for s390 (Jerry Snitselaar) [2181354] - iommu/x86: Replace IOMMU_CAP_INTR_REMAP with IRQ_DOMAIN_FLAG_ISOLATED_MSI (Jerry Snitselaar) [2181354] - genirq/msi: Rename IRQ_DOMAIN_MSI_REMAP to IRQ_DOMAIN_ISOLATED_MSI (Jerry Snitselaar) [2181354] - genirq/irqdomain: Remove unused irq_domain_check_msi_remap() code (Jerry Snitselaar) [2181354] - iommufd: Convert to msi_device_has_isolated_msi() (Jerry Snitselaar) [2181354] - vfio/type1: Convert to iommu_group_has_isolated_msi() (Jerry Snitselaar) [2181354] - iommu: Add iommu_group_has_isolated_msi() (Jerry Snitselaar) [2181354] - genirq/msi: Add msi_device_has_isolated_msi() (Jerry Snitselaar) [2181354] - swiotlb: fix a braino in the alignment check fix (Jerry Snitselaar) [2181354] - redhat: configs: Enable CONFIG_INTEL_IOMMU_PERF_EVENTS (Jerry Snitselaar) [2176996] - iommu/vt-d: Fix an IOMMU perfmon warning when CPU hotplug (Jerry Snitselaar) [2176996] - iommu/vt-d: Allow zero SAGAW if second-stage not supported (Jerry Snitselaar) [2181354] - swiotlb: fix slot alignment checks (Jerry Snitselaar) [2181354] - swiotlb: use wrap_area_index() instead of open-coding it (Jerry Snitselaar) [2181354] - swiotlb: fix the deadlock in swiotlb_do_find_slots (Jerry Snitselaar) [2181354] - swiotlb: remove swiotlb_max_segment (Jerry Snitselaar) [2181354] - iommu: Attach device group to old domain in error path (Jerry Snitselaar) [2181354] - iommu: Fix error unwind in iommu_group_alloc() (Jerry Snitselaar) [2181354] - iommu/of: mark an unused function as __maybe_unused (Jerry Snitselaar) [2181354] - iommu/s390: Use GFP_KERNEL in sleepable contexts (Jerry Snitselaar) [2181354] - iommu/s390: Push the gfp parameter to the kmem_cache_alloc()'s (Jerry Snitselaar) [2181354] - iommu/intel: Use GFP_KERNEL in sleepable contexts (Jerry Snitselaar) [2181354] - iommu/intel: Support the gfp argument to the map_pages op (Jerry Snitselaar) [2181354] - iommu/intel: Add a gfp parameter to alloc_pgtable_page() (Jerry Snitselaar) [2181354] - iommufd: Use GFP_KERNEL_ACCOUNT for iommu_map() (Jerry Snitselaar) [2181354] - iommu/dma: Use the gfp parameter in __iommu_dma_alloc_noncontiguous() (Jerry Snitselaar) [2181354] - iommu: Add a gfp parameter to iommu_map_sg() (Jerry Snitselaar) [2181354] - iommu: Remove iommu_map_atomic() (Jerry Snitselaar) [2181354] - iommu: Add a gfp parameter to iommu_map() (Jerry Snitselaar) [2181354] - iommu: dma: Use of_iommu_get_resv_regions() (Jerry Snitselaar) [2181354] - iommu: Implement of_iommu_get_resv_regions() (Jerry Snitselaar) [2181354] - of: Introduce of_translate_dma_region() (Jerry Snitselaar) [2181354] - iommu: Tidy up io-pgtable dependencies (Jerry Snitselaar) [2181354] - iommu: Remove detach_dev callback (Jerry Snitselaar) [2181354] - iommu: Remove deferred attach check from __iommu_detach_device() (Jerry Snitselaar) [2181354] - iommu: Add set_platform_dma_ops callbacks (Jerry Snitselaar) [2181354] - iommu: Add set_platform_dma_ops iommu ops (Jerry Snitselaar) [2181354] - iommu: Remove detach_dev callbacks (Jerry Snitselaar) [2181354] - iommu/amd: Skip attach device domain is same as new domain (Jerry Snitselaar) [2181354] - iommu/amd: Improve page fault error reporting (Jerry Snitselaar) [2181354] - iommu/amd: Do not identity map v2 capable device when snp is enabled (Jerry Snitselaar) [2181354] - iommu/amd: Add a length limitation for the ivrs_acpihid command-line parameter (Jerry Snitselaar) [2181354] - iommu/amd: Do not clear event/ppr log buffer when snp is enabled (Jerry Snitselaar) [2181354] - iommu/amd: Fix error handling for pdev_pri_ats_enable() (Jerry Snitselaar) [2181354] - iommu/amd: Do not allocate io_pgtable_ops for passthrough domain (Jerry Snitselaar) [2181354] - iommu/amd: Free domain ID after domain_flush_pages (Jerry Snitselaar) [2181354] - iommu/amd: Free domain id in error path (Jerry Snitselaar) [2181354] - iommu/vt-d: Allow to use flush-queue when first level is default (Jerry Snitselaar) [2181354] - iommu/vt-d: Fix PASID directory pointer coherency (Jerry Snitselaar) [2181354] - iommu/vt-d: Fix error handling in sva enable/disable paths (Jerry Snitselaar) [2181354] - iommu/vt-d: Enable IOMMU perfmon support (Jerry Snitselaar) [2176996] - iommu/vt-d: Add IOMMU perfmon overflow handler support (Jerry Snitselaar) [2176996] - iommu/vt-d: Support cpumask for IOMMU perfmon (Jerry Snitselaar) [2176996] - iommu/vt-d: Add IOMMU perfmon support (Jerry Snitselaar) [2176996] - iommu/vt-d: Support Enhanced Command Interface (Jerry Snitselaar) [2176996] - iommu/vt-d: Retrieve IOMMU perfmon capability information (Jerry Snitselaar) [2176996] - iommu/vt-d: Support size of the register set in DRHD (Jerry Snitselaar) [2181354] - iommu/vt-d: Set No Execute Enable bit in PASID table entry (Jerry Snitselaar) [2181354] - iommu/vt-d: Remove sva from intel_svm_dev (Jerry Snitselaar) [2181354] - iommu/vt-d: Remove users from intel_svm_dev (Jerry Snitselaar) [2181354] - iommu/vt-d: Remove unused fields in svm structures (Jerry Snitselaar) [2181354] - iommu/vt-d: Remove include/linux/intel-svm.h (Jerry Snitselaar) [2181354] - iommu/arm-smmu-qcom: Select identity domain for sc8280xp MDSS (Jerry Snitselaar) [2181354] - iommu/arm-smmu-qcom: Add SM8150 DPU compatible (Jerry Snitselaar) [2181354] - dma-mapping: no need to pass a bus_type into get_arch_dma_ops() (Jerry Snitselaar) [2181354] - swiotlb: mark swiotlb_memblock_alloc() as __init (Jerry Snitselaar) [2181354] - iommu/iova: Fix alloc iova overflows issue (Jerry Snitselaar) [2181354] - iommu/arm-smmu-v3: Don't unregister on shutdown (Jerry Snitselaar) [2181354] - iommu/arm-smmu: Don't unregister on shutdown (Jerry Snitselaar) [2181354] - iommu/io-pgtable: Remove map/unmap (Jerry Snitselaar) [2181354] - iommu/io-pgtable-arm: Remove map/unmap (Jerry Snitselaar) [2181354] - iommu: Avoid races around device probe (Jerry Snitselaar) [2181354] - iommu/amd: Fix typo in macro parameter name (Jerry Snitselaar) [2181354] - iommu/amd: Check return value of mmu_notifier_register() (Jerry Snitselaar) [2181354] - iommu/amd: Fix pci device refcount leak in ppr_notifier() (Jerry Snitselaar) [2181354] - iommu/amd: Fix ill-formed ivrs_ioapic, ivrs_hpet and ivrs_acpihid options (Jerry Snitselaar) [2181354] - iommu/amd: Fix ivrs_acpihid cmdline parsing code (Jerry Snitselaar) [2181354] - iommu/amd: Remove variable cnt ind iommu_poll_ga_log() (Jerry Snitselaar) [2181354] - iommu/vt-d: Use real field for indication of first level (Jerry Snitselaar) [2181354] - iommu/vt-d: Remove unnecessary domain_context_mapped() (Jerry Snitselaar) [2181354] - iommu/vt-d: Rename domain_add_dev_info() (Jerry Snitselaar) [2181354] - iommu/vt-d: Rename iommu_disable_dev_iotlb() (Jerry Snitselaar) [2181354] - iommu/vt-d: Add blocking domain support (Jerry Snitselaar) [2181354] - iommu/vt-d: Add device_block_translation() helper (Jerry Snitselaar) [2181354] - iommu/vt-d: Allocate pasid table in device probe path (Jerry Snitselaar) [2181354] - s390/pci: use lock-free I/O translation updates (Jerry Snitselaar) [2181354] - iommu/s390: Optimize IOMMU table walking (Jerry Snitselaar) [2181354] - iommu/s390: Use RCU to allow concurrent domain_list iteration (Jerry Snitselaar) [2181354] - iommu/s390: Add I/O TLB ops (Jerry Snitselaar) [2181354] - iommu/s390: Make attach succeed even if the device is in error state (Jerry Snitselaar) [2181354] - iommu/s390: Implement map_pages()/unmap_pages() instead of map()/unmap() (Jerry Snitselaar) [2181354] - iommu/s390: Fix incorrect pgsize_bitmap (Jerry Snitselaar) [2181354] - iommu/s390: Fix incorrect aperture check (Jerry Snitselaar) [2181354] - iommu/s390: Fix potential s390_domain aperture shrinking (Jerry Snitselaar) [2181354] - iommu/s390: Get rid of s390_domain_device (Jerry Snitselaar) [2181354] - iommu/s390: Fix duplicate domain attachments (Jerry Snitselaar) [2181354] - iommu/arm-smmu: Warn once when the perfetcher errata patch fails to apply (Jerry Snitselaar) [2181354] - iommu: Add note about struct iommu_fwspec usage (Jerry Snitselaar) [2181354] - dma-mapping: reject __GFP_COMP in dma_alloc_attrs (Jerry Snitselaar) [2181354] - dma-mapping: reject GFP_COMP for noncoherent allocations (Jerry Snitselaar) [2181354] - ALSA: memalloc: don't use GFP_COMP for non-coherent dma allocations (Jerry Snitselaar) [2181354] - ALSA: memalloc: don't pass bogus GFP_ flags to dma_alloc_* (Jerry Snitselaar) [2181354] - s390/ism: don't pass bogus GFP_ flags to dma_alloc_coherent (Jerry Snitselaar) [2181354] - RDMA/hfi1: don't pass bogus GFP_ flags to dma_alloc_coherent (Jerry Snitselaar) [2181354] - iommu/vt-d: Fix PCI device refcount leak in dmar_dev_scope_init() (Jerry Snitselaar) [2181354] - iommu/vt-d: Fix PCI device refcount leak in has_external_pci() (Jerry Snitselaar) [2181354] - iommu/vt-d: Set SRE bit only when hardware has SRS cap (Jerry Snitselaar) [2181354] - iommu/vt-d: Preset Access bit for IOVA in FL non-leaf paging entries (Jerry Snitselaar) [2181354] - iommu/vt-d: Clean up si_domain in the init_dmars() error path (Jerry Snitselaar) [2136874] - iommu/vt-d: Allow NVS regions in arch_rmrr_sanity_check() (Jerry Snitselaar) [2181354] - iommu/vt-d: Use rcu_lock in get_resv_regions (Jerry Snitselaar) [2181354] - iommu: Add gfp parameter to iommu_alloc_resv_region (Jerry Snitselaar) [2181354] - lib/sg_pool: change module_init(sg_pool_init) to subsys_initcall (Jerry Snitselaar) [2181354] - MAINTAINERS: merge SWIOTLB SUBSYSTEM into DMA MAPPING HELPERS (Jerry Snitselaar) [2181354] - swiotlb: replace kmap_atomic() with memcpy_{from,to}_page() (Jerry Snitselaar) [2181354] - iommu/iova: Fix module config properly (Jerry Snitselaar) [2181354] - iova: Remove iovad->rcaches check in iova_rcache_get() (Jerry Snitselaar) [2181354] - iova: Remove magazine BUG_ON() checks (Jerry Snitselaar) [2181354] - iova: Remove some magazine pointer NULL checks (Jerry Snitselaar) [2181354] - iommu/dma: Make header private (Jerry Snitselaar) [2181354] - iommu/dma: Move public interfaces to linux/iommu.h (Jerry Snitselaar) [2181354] - iommu/io-pgtable-arm: Remove iommu_dev==NULL special case (Jerry Snitselaar) [2181354] - iommu: Remove duplicate ida_free in iommu_group_alloc (Jerry Snitselaar) [2181354] - iommu: Remove comment of dev_has_feat in struct doc (Jerry Snitselaar) [2181354] - iommu: Do not dereference fwnode in struct device (Jerry Snitselaar) [2181354] - iommu/vt-d: Avoid unnecessary global DMA cache invalidation (Jerry Snitselaar) [2176984] - iommu/vt-d: Avoid unnecessary global IRTE cache invalidation (Jerry Snitselaar) [2176984] - iommu/vt-d: Rename cap_5lp_support to cap_fl5lp_support (Jerry Snitselaar) [2181354] - iommu/vt-d: Remove pasid_set_eafe() (Jerry Snitselaar) [2181354] - iommu/vt-d: Decouple PASID & PRI enabling from SVA (Jerry Snitselaar) [2181354] - iommu/dma: Clean up Kconfig (Jerry Snitselaar) [2181354] - iommu/virtio: Do not dereference fwnode in struct device (Jerry Snitselaar) [2181354] - iommu/arm-smmu-v3: Make default domain type of HiSilicon PTT device to identity (Jerry Snitselaar) [2181354] - iommu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354] - iommu/virtio: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354] - iommu/tegra-smmu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354] - iommu/arm-smmu-v3: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354] - iommu/arm-smmu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354] - iommu/amd: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354] - iommu: Move bus setup to IOMMU device registration (Jerry Snitselaar) [2181354] - iommu: Always register bus notifiers (Jerry Snitselaar) [2181354] - iommu/s390: Fail probe for non-PCI devices (Jerry Snitselaar) [2181354] - iommu/amd: Handle race between registration and device probe (Jerry Snitselaar) [2181354] - iommu/vt-d: Handle race between registration and device probe (Jerry Snitselaar) [2181354] - iommu/amd: Add support for AVIC when SNP is enabled (Jerry Snitselaar) [2181354] - iommu/amd: Do not support IOMMUv2 APIs when SNP is enabled (Jerry Snitselaar) [2181354] - iommu/amd: Do not support IOMMU_DOMAIN_IDENTITY after SNP is enabled (Jerry Snitselaar) [2181354] - iommu/amd: Set translation valid bit only when IO page tables are in use (Jerry Snitselaar) [2181354] - iommu/amd: Introduce function to check and enable SNP (Jerry Snitselaar) [2181354] - swiotlb: reduce the swiotlb buffer size on allocation failure (Jerry Snitselaar) [2181354] - swiotlb: don't panic! (Jerry Snitselaar) [2181354] - iommu/vt-d: Avoid superfluous IOTLB tracking in lazy mode (Jerry Snitselaar) [2175621] - iommu/vt-d: Fix buggy QAT device mask (Jerry Snitselaar) [2169569] - iommu/vt-d: Add a fix for devices need extra dtlb flush (Jerry Snitselaar) [2169569] - iommu/amd: Add map/unmap_pages() iommu_domain_ops callback support (Jerry Snitselaar) [2179856] - iommu/amd/io-pgtable: Implement unmap_pages io_pgtable_ops callback (Jerry Snitselaar) [2179856] - iommu/amd/io-pgtable: Implement map_pages io_pgtable_ops callback (Jerry Snitselaar) [2179856] - redhat: Remove editconfig (Prarit Bhargava) Resolves: rhbz#2136874, rhbz#2169569, rhbz#2175621, rhbz#2176984, rhbz#2176996, rhbz#2179199, rhbz#2179856, rhbz#2181354, rhbz#2188482, rhbz#2190389, rhbz#2190429, rhbz#2190430, rhbz#2192483 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-07 11:10:58 +00:00
CONFIG_INTEL_IOMMU_PERF_EVENTS=y
# CONFIG_INTEL_IOMMU_SCALABLE_MODE_DEFAULT_ON is not set
# CONFIG_INTEL_ISH_FIRMWARE_DOWNLOADER is not set
# CONFIG_INTEL_LDMA is not set
kernel-5.14.0-206.el9 * Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9] - dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601] - dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601] - ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468] - ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468] - ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468] - ice: config netdev tc before setting queues number (Petr Oros) [2104468] - ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468] - ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468] - ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468] - ice: Fix DMA mappings leak (Petr Oros) [2104468] - ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468] - ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468] - ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468] - ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468] - net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468] - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468] - ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468] - ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468] - ice: compress branches in ice_set_features() (Petr Oros) [2104468] - ice: Add support for PPPoE hardware offload (Petr Oros) [2104468] - ice: add i2c write command (Petr Oros) [2104468] - ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468] - ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468] - ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468] - ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468] - ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468] - ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468] - ice: Add support for double VLAN in switchdev (Petr Oros) [2104468] - intel/ice:fix repeated words in comments (Petr Oros) [2104468] - drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468] - ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468] - ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468] - ice: remove VLAN representor specific ops (Petr Oros) [2104468] - ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468] - Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876] - firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876] - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876] - Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876] - Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876] - Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876] - agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876] - dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876] - Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876] - Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876] - drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876] - mei: gsc: retrieve the firmware version (Karol Herbst) [2115876] - mei: gsc: add runtime pm handlers (Karol Herbst) [2115876] - mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876] - mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876] - drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876] - drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876] - drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876] - drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876] - drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876] - drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876] - drm/i915: Report steering details in debugfs (Karol Herbst) [2115876] - DRM: add new options (Karol Herbst) [2115876] - spec: Update bpftool versioning scheme (Viktor Malik) [2139374] - ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641] - ptp: add missing documentation for parameters (Izabela Bakollari) [2141641] - dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617] - dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617] Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 06:09:22 +00:00
CONFIG_INTEL_MEI_GSC=m
# CONFIG_INTEL_MEI_HDCP is not set
kernel-5.14.0-236.el9 * Mon Jan 16 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-236.el9] - Revert "nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH" (Ewan D. Milne) [2140810] - vmxnet3: correctly report csum_level for encapsulated packet (Izabela Bakollari) [2158807] - cpu/hotplug: Set cpuhp target for boot cpu (Phil Auld) [2086305] - cpu/hotplug: Make target_store() a nop when target == state (Phil Auld) [2086305] - scsi: target: core: Fix hard lockup when executing a compare-and-write command (Maurizio Lombardi) [2153690] - ceph: rely on vfs for setgid stripping (Andrey Albershteyn) [2128900] - fs: move S_ISGID stripping into the vfs_*() helpers (Andrey Albershteyn) [2128898 2128900] - fs: Add missing umask strip in vfs_tmpfile (Andrey Albershteyn) [2128898 2128900] - fs: add mode_strip_sgid() helper (Andrey Albershteyn) [2128898 2128900] - tracing: Add linear buckets to histogram logic (Jerome Marchand) [2143635] - disable CONFIG_INTEL_MEI_PXP (Jocelyn Falempe) [2147558] - mei: pxp: support matching with a gfx discrete card (Jocelyn Falempe) [2147558] - mei: pxp: add command streamer API to the PXP driver (Jocelyn Falempe) [2147558] - mei: bus: extend bus API to support command streamer API (Jocelyn Falempe) [2147558] - mei: adjust extended header kdocs (Jocelyn Falempe) [2147558] - mei: bus: enable sending gsc commands (Jocelyn Falempe) [2147558] - mei: add support to GSC extended header (Jocelyn Falempe) [2147558] - mei: debugfs: add pxp mode to devstate in debugfs (Jocelyn Falempe) [2147558] - mei: drop ready bits check after start (Jocelyn Falempe) [2147558] - mei: gsc: add transition to PXP mode in resume flow (Jocelyn Falempe) [2147558] - mei: gsc: setup gsc extended operational memory (Jocelyn Falempe) [2147558] - mei: mkhi: add memory ready command (Jocelyn Falempe) [2147558] - mei: bus: export common mkhi definitions into a separate header (Jocelyn Falempe) [2147558] - mei: extend timeouts on slow devices (Jocelyn Falempe) [2147558] - mei: gsc: wait for reset thread on stop (Jocelyn Falempe) [2147558] - mei: gsc: use polling instead of interrupts (Jocelyn Falempe) [2147558] - mei: add slow_firmware flag to the mei auxiliary device (Jocelyn Falempe) [2147558] - mei: add kdoc for struct mei_aux_device (Jocelyn Falempe) [2147558] - mei: me: fix clang -Wformat warning (Jocelyn Falempe) [2147558] - mei: me: add raptor lake point S DID (Jocelyn Falempe) [2147558] - mei: hbm: drop capability response on early shutdown (Jocelyn Falempe) [2147558] - mei: me: set internal pg flag to off on hardware reset (Jocelyn Falempe) [2147558] - misc/mei: Add NULL check to component match callback functions (Jocelyn Falempe) [2147558] - drm/display: Move HDCP helpers into display-helper module (Jocelyn Falempe) [2147558] - mei: avoid iterator usage outside of list_for_each_entry (Jocelyn Falempe) [2147558] - mei: do not overwrite state on hw start (Jocelyn Falempe) [2147558] - mei: me: fix reset policy on read error in interrupt (Jocelyn Falempe) [2147558] - mei: me: avoid link reset on shutdown (Jocelyn Falempe) [2147558] - mei: me: Use dma_set_mask_and_coherent() and simplify code (Jocelyn Falempe) [2147558] - mei: hbm: fix client dma reply status (Jocelyn Falempe) [2147558] - mei: cleanup status before client dma setup call (Jocelyn Falempe) [2147558] - mei: add POWERING_DOWN into device state print (Jocelyn Falempe) [2147558] - mei: Remove some dead code (Jocelyn Falempe) [2147558] - mei: bus: add client dma interface (Jocelyn Falempe) [2147558] - mei: hbm: drop hbm responses on early shutdown (Jocelyn Falempe) [2147558] - mei: pxp: export pavp client to me client bus (Jocelyn Falempe) [2147558] - mei: Remove usage of the deprecated "pci-dma-compat.h" API (Jocelyn Falempe) [2147558] - mei: constify passed buffers and structures (Jocelyn Falempe) [2147558] Resolves: rhbz#2140810, rhbz#2158807, rhbz#2086305, rhbz#2153690, rhbz#2128900, rhbz#2128898, rhbz#2143635, rhbz#2147558 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-16 16:22:21 +00:00
# CONFIG_INTEL_MEI_PXP is not set
# CONFIG_INTEL_MEI_TXE is not set
# CONFIG_INTEL_PMC_CORE is not set
# CONFIG_INTEL_PMT_CLASS is not set
# CONFIG_INTEL_PMT_CRASHLOG is not set
# CONFIG_INTEL_PMT_TELEMETRY is not set
# CONFIG_INTEL_PUNIT_IPC is not set
kernel-5.14.0-367.el9 * Mon Sep 18 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-367.el9] - platform/x86/intel-uncore-freq: tpmi: Provide cluster level control (David Arcari) [2177013] - platform/x86/intel-uncore-freq: Uncore frequency control via TPMI (David Arcari) [2177013] - platform/x86/intel-uncore-freq: Support for cluster level controls (David Arcari) [2177013] - platform/x86/intel-uncore-freq: Return error on write frequency (David Arcari) [2177013] - platform/x86: intel-uncore-freq: Add client processors (David Arcari) [2177013] - platform/x86: intel-uncore-freq: move to use bus_get_dev_root() (David Arcari) [2177013] - platform/x86: intel-uncore-freq: add Emerald Rapids support (David Arcari) [2177013] - platform/x86: intel-uncore-freq: Use sysfs_emit() to instead of scnprintf() (David Arcari) [2177013] - platform/x86: intel-uncore-freq: Prevent driver loading in guests (David Arcari) [2177013] - platform/x86: intel-uncore-freq: fix uncore_freq_common_init() error codes (David Arcari) [2177013] - Documentation: admin-guide: pm: Document uncore frequency scaling (David Arcari) [2177013] - platform/x86/intel-uncore-freq: Split common and enumeration part (David Arcari) [2177013] - platform/x86/intel/uncore-freq: Display uncore current frequency (David Arcari) [2177013] - platform/x86/intel/uncore-freq: Use sysfs API to create attributes (David Arcari) [2177013] - platform/x86/intel/uncore-freq: Move to uncore-frequency folder (David Arcari) [2177013] - platform/x86: intel-uncore-frequency: use default_groups in kobj_type (David Arcari) [2177013] - platform/x86: intel-uncore-frequency: Move to intel sub-directory (David Arcari) [2177013] - Revert "platform/x86: intel-uncore-freq: add Emerald Rapids support" (David Arcari) [2177013] - redhat: add additional gating boot tests (Scott Weaver) - powercap: intel_rapl: Fix invalid setting of Power Limit 4 (David Arcari) [2177009] - powercap: intel_rapl: Optimize rp->domains memory allocation (David Arcari) [2177009] - powercap: intel_rapl: Fix a sparse warning in TPMI interface (David Arcari) [2177009] - powercap: RAPL: Fix a NULL vs IS_ERR() bug (David Arcari) [2177009] - powercap: RAPL: Fix CONFIG_IOSF_MBI dependency (David Arcari) [2177009] - powercap: RAPL: fix invalid initialization for pl4_supported field (David Arcari) [2177009] - redhat/configs: enable CONFIG_INTEL_RAPL_TPMI (David Arcari) [2177009] - redhat/filter-modules.sh.rhel: add needed deps for intel_rapl_tpmi (David Arcari) [2177009] - powercap: intel_rapl: Introduce RAPL TPMI interface driver (David Arcari) [2177009] - powercap: intel_rapl: Introduce core support for TPMI interface (David Arcari) [2177009] - powercap: intel_rapl: Introduce RAPL I/F type (David Arcari) [2177009] - powercap: intel_rapl: Make cpu optional for rapl_package (David Arcari) [2177009] - powercap: intel_rapl: Remove redundant cpu parameter (David Arcari) [2177009] - powercap: intel_rapl: Add support for lock bit per Power Limit (David Arcari) [2177009] - powercap: intel_rapl: Cleanup Power Limits support (David Arcari) [2177009] - powercap: intel_rapl: Use bitmap for Power Limits (David Arcari) [2177009] - powercap: intel_rapl: Change primitive order (David Arcari) [2177009] - powercap: intel_rapl: Use index to initialize primitive information (David Arcari) [2177009] - powercap: intel_rapl: Support per domain energy/power/time unit (David Arcari) [2177009] - powercap: intel_rapl: Support per Interface primitive information (David Arcari) [2177009] - powercap: intel_rapl: Support per Interface rapl_defaults (David Arcari) [2177009] - powercap: intel_rapl: Allow probing without CPUID match (David Arcari) [2177009] - powercap: intel_rapl: Remove unused field in struct rapl_if_priv (David Arcari) [2177009] - powercap: RAPL: Add Power Limit4 support for Meteor Lake SoC (David Arcari) [2177009] - powercap: intel_rapl: Fix handling for large time window (David Arcari) [2177009] - platform/x86:intel/pmc: Add Meteor Lake IOE-M PMC related maps (David Arcari) [2177038] - platform/x86:intel/pmc: Add Meteor Lake IOE-P PMC related maps (David Arcari) [2177038] - platform/x86:intel/pmc: Use SSRAM to discover pwrm base address of primary PMC (David Arcari) [2177038] - platform/x86:intel/pmc: Discover PMC devices (David Arcari) [2177038] - platform/x86:intel/pmc: Enable debugfs multiple PMC support (David Arcari) [2177038] - platform/x86:intel/pmc: Add support to handle multiple PMCs (David Arcari) [2177038] - platform/x86:intel/pmc: Combine core_init() and core_configure() (David Arcari) [2177038] - platform/x86:intel/pmc: Update maps for Meteor Lake P/M platforms (David Arcari) [2177038] - platform/x86/intel/pmc/mtl: Put devices in D3 during resume (David Arcari) [2177038] - platform/x86/intel/pmc: Add resume callback (David Arcari) [2177038] - platform/x86:intel/pmc: Remove Meteor Lake S platform support (David Arcari) [2177038] - platform/x86/intel/pmc/mtl: Put GNA/IPU/VPU devices in D3 (David Arcari) [2177038] - platform/x86/intel/pmc: Alder Lake PCH slp_s0_residency fix (David Arcari) [2177038] - platform/x86: intel: pmc: core: Convert to platform remove callback returning void (David Arcari) [2177038] Resolves: rhbz#2177009, rhbz#2177013, rhbz#2177038 Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-18 17:04:46 +00:00
CONFIG_INTEL_RAPL_TPMI=m
CONFIG_INTEL_RST=m
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
# CONFIG_INTEL_SAR_INT1092 is not set
# CONFIG_INTEL_SCU_PCI is not set
# CONFIG_INTEL_SCU_PLATFORM is not set
# CONFIG_INTEL_SMARTCONNECT is not set
# CONFIG_INTEL_SOC_DTS_THERMAL is not set
# CONFIG_INTEL_SOC_PMIC_CHTDC_TI is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_INTEL_TCC_COOLING is not set
# CONFIG_INTEL_TH is not set
CONFIG_INTEL_TURBO_MAX_3=y
CONFIG_INTEL_UNCORE_FREQ_CONTROL=m
# CONFIG_INTEL_WMI_SBL_FW_UPDATE is not set
CONFIG_INTEL_WMI_THUNDERBOLT=m
CONFIG_INTEL_XWAY_PHY=m
# CONFIG_INTERCONNECT is not set
# CONFIG_INTERVAL_TREE_TEST is not set
# CONFIG_INV_ICM42600_I2C is not set
# CONFIG_INV_ICM42600_SPI is not set
# CONFIG_INV_MPU6050_I2C is not set
# CONFIG_INV_MPU6050_SPI is not set
CONFIG_IO_DELAY_0X80=y
# CONFIG_IO_DELAY_0XED is not set
# CONFIG_IO_DELAY_NONE is not set
# CONFIG_IO_DELAY_UDELAY is not set
CONFIG_IO_EVENT_IRQ=y
CONFIG_IOMMU_DEBUGFS=y
kernel-5.14.0-18.el9 * Thu Nov 18 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-18.el9] - CI: Add template for baseline gcov build (c9s repos) (Michael Hofmann) - PCI: vmd: depend on !UML (Myron Stowe) [1994932] - PCI: vmd: Do not disable MSI-X remapping if interrupt remapping is enabled by IOMMU (Myron Stowe) [1994932] - PCI: vmd: Assign a number to each VMD controller (Myron Stowe) [1994932] - PCI: VMD: ACPI: Make ACPI companion lookup work for VMD bus (Myron Stowe) [1994932] - swiotlb-xen: drop DEFAULT_NSLABS (Jerry Snitselaar) [2004348] - swiotlb-xen: arrange to have buffer info logged (Jerry Snitselaar) [2004348] - swiotlb-xen: drop leftover __ref (Jerry Snitselaar) [2004348] - swiotlb-xen: limit init retries (Jerry Snitselaar) [2004348] - swiotlb-xen: suppress certain init retries (Jerry Snitselaar) [2004348] - swiotlb-xen: maintain slab count properly (Jerry Snitselaar) [2004348] - swiotlb-xen: fix late init retry (Jerry Snitselaar) [2004348] - swiotlb-xen: avoid double free (Jerry Snitselaar) [2004348] - dma-debug: teach add_dma_entry() about DMA_ATTR_SKIP_CPU_SYNC (Jerry Snitselaar) [2004348] - dma-debug: fix sg checks in debug_dma_map_sg() (Jerry Snitselaar) [2004348] - dma-mapping: fix the kerneldoc for dma_map_sgtable() (Jerry Snitselaar) [2004348] - dma-debug: prevent an error message from causing runtime problems (Jerry Snitselaar) [2004348] - dma-mapping: fix the kerneldoc for dma_map_sg_attrs (Jerry Snitselaar) [2004348] - iommu/vt-d: Drop "0x" prefix from PCI bus & device addresses (Jerry Snitselaar) [2004348] - iommu: Clarify default domain Kconfig (Jerry Snitselaar) [2004348] - iommu/vt-d: Fix a deadlock in intel_svm_drain_prq() (Jerry Snitselaar) [2004348] - iommu/vt-d: Fix PASID leak in intel_svm_unbind_mm() (Jerry Snitselaar) [2004348] - iommu/amd: Remove iommu_init_ga() (Jerry Snitselaar) [2004348] - iommu/amd: Relocate GAMSup check to early_enable_iommus (Jerry Snitselaar) [2004348] - iommu/io-pgtable: Abstract iommu_iotlb_gather access (Jerry Snitselaar) [2004348] - iommu/vt-d: Add present bit check in pasid entry setup helpers (Jerry Snitselaar) [2004348] - iommu/vt-d: Use pasid_pte_is_present() helper function (Jerry Snitselaar) [2004348] - iommu/vt-d: Drop the kernel doc annotation (Jerry Snitselaar) [2004348] - iommu/vt-d: Allow devices to have more than 32 outstanding PRs (Jerry Snitselaar) [1921363] - iommu/vt-d: Preset A/D bits for user space DMA usage (Jerry Snitselaar) [2004348] - iomm/vt-d: Enable Intel IOMMU scalable mode by default (Jerry Snitselaar) [2004348] - iommu/vt-d: Refactor Kconfig a bit (Jerry Snitselaar) [2004348] - iommu/vt-d: Remove unnecessary oom message (Jerry Snitselaar) [2004348] - iommu/vt-d: Update the virtual command related registers (Jerry Snitselaar) [2004348] - iommu: Allow enabling non-strict mode dynamically (Jerry Snitselaar) [2004348] - iommu: Merge strictness and domain type configs (Jerry Snitselaar) [2004348] - iommu: Only log strictness for DMA domains (Jerry Snitselaar) [2004348] - iommu: Expose DMA domain strictness via sysfs (Jerry Snitselaar) [2004348] - iommu: Express DMA strictness via the domain type (Jerry Snitselaar) [2004348] - iommu/vt-d: Prepare for multiple DMA domain types (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Prepare for multiple DMA domain types (Jerry Snitselaar) [2004348] - iommu/amd: Prepare for multiple DMA domain types (Jerry Snitselaar) [2004348] - iommu: Introduce explicit type for non-strict DMA domains (Jerry Snitselaar) [2004348] - iommu/io-pgtable: Remove non-strict quirk (Jerry Snitselaar) [2004348] - iommu: Indicate queued flushes via gather data (Jerry Snitselaar) [2004348] - iommu/dma: Remove redundant "!dev" checks (Jerry Snitselaar) [2004348] - iommu/virtio: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu/vt-d: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu/amd: Drop IOVA cookie management (Jerry Snitselaar) [2004348] - iommu: Pull IOVA cookie management into the core (Jerry Snitselaar) [2004348] - iommu/amd: Remove stale amd_iommu_unmap_flush usage (Jerry Snitselaar) [2004348] - iommu/amd: Use only natural aligned flushes in a VM (Jerry Snitselaar) [2004348] - iommu/amd: Sync once for scatter-gather operations (Jerry Snitselaar) [2004348] - iommu/amd: Tailored gather logic for AMD (Jerry Snitselaar) [2004348] - iommu: Factor iommu_iotlb_gather_is_disjoint() out (Jerry Snitselaar) [2004348] - iommu: Improve iommu_iotlb_gather helpers (Jerry Snitselaar) [2004348] - iommu/amd: Do not use flush-queue when NpCache is on (Jerry Snitselaar) [2004348] - iommu/amd: Selective flush on unmap (Jerry Snitselaar) [2004348] - iommu/amd: Fix printing of IOMMU events when rate limiting kicks in (Jerry Snitselaar) [2004348] - iommu/amd: Convert from atomic_t to refcount_t on pasid_state->count (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Fix missing unlock on error in arm_smmu_device_group() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Stop pre-zeroing batch commands (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Extract reusable function __arm_smmu_cmdq_skip_err() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Add and use static helper function arm_smmu_get_cmdq() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Add and use static helper function arm_smmu_cmdq_issue_cmd_with_sync() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Use command queue batching helpers to improve performance (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Optimize ->tlb_flush_walk() for qcom implementation (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Fix race condition during iommu_group creation (Jerry Snitselaar) [2004348] - iommu: Fix race condition during default domain allocation (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Add clk_bulk_{prepare/unprepare} to system pm callbacks (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Remove some unneeded init in arm_smmu_cmdq_issue_cmdlist() (Jerry Snitselaar) [2004348] - iommu/arm-smmu-v3: Implement the map_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/arm-smmu-v3: Implement the unmap_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/vt-d: Move clflush'es from iotlb_sync_map() to map_pages() (Jerry Snitselaar) [1971978] - iommu/vt-d: Implement map/unmap_pages() iommu_ops callback (Jerry Snitselaar) [1971978] - iommu/vt-d: Report real pgsize bitmap to iommu core (Jerry Snitselaar) [1971978] - iommu: Streamline iommu_iova_to_phys() (Jerry Snitselaar) [2004348] - iommu: Remove mode argument from iommu_set_dma_strict() (Jerry Snitselaar) [2004348] - redhat/configs: Use new iommu default dma config options (Jerry Snitselaar) [2004348] - iommu/amd: Add support for IOMMU default DMA mode build options (Jerry Snitselaar) [2004348] - iommu/vt-d: Add support for IOMMU default DMA mode build options (Jerry Snitselaar) [2004348] - iommu: Enhance IOMMU default DMA mode build options (Jerry Snitselaar) [2004348] - iommu: Print strict or lazy mode at init time (Jerry Snitselaar) [2004348] - iommu: Deprecate Intel and AMD cmdline methods to enable strict mode (Jerry Snitselaar) [2004348] - iommu/arm-smmu: Implement the map_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/arm-smmu: Implement the unmap_pages() IOMMU driver callback (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm-v7s: Implement arm_v7s_map_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm-v7s: Implement arm_v7s_unmap_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm: Implement arm_lpae_map_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm: Implement arm_lpae_unmap_pages() (Jerry Snitselaar) [1971978] - iommu/io-pgtable-arm: Prepare PTE methods for handling multiple entries (Jerry Snitselaar) [1971978] - iommu/io-pgtable: Introduce map_pages() as a page table op (Jerry Snitselaar) [1971978] - iommu/io-pgtable: Introduce unmap_pages() as a page table op (Jerry Snitselaar) [1971978] - iommu: Add support for the map_pages() callback (Jerry Snitselaar) [1971978] - iommu: Hook up '->unmap_pages' driver callback (Jerry Snitselaar) [1971978] - iommu: Split 'addr_merge' argument to iommu_pgsize() into separate parts (Jerry Snitselaar) [1971978] - iommu: Use bitmap to calculate page size in iommu_pgsize() (Jerry Snitselaar) [1971978] - iommu: Add a map_pages() op for IOMMU drivers (Jerry Snitselaar) [1971978] - iommu: Add an unmap_pages() op for IOMMU drivers (Jerry Snitselaar) [1971978] - swiotlb: use depends on for DMA_RESTRICTED_POOL (Jerry Snitselaar) [2004348] - swiotlb: Free tbl memory in swiotlb_exit() (Jerry Snitselaar) [2004348] - swiotlb: Emit diagnostic in swiotlb_exit() (Jerry Snitselaar) [2004348] - swiotlb: Convert io_default_tlb_mem to static allocation (Jerry Snitselaar) [2004348] - swiotlb: add overflow checks to swiotlb_bounce (Jerry Snitselaar) [2004348] - swiotlb: fix implicit debugfs declarations (Jerry Snitselaar) [2004348] - swiotlb: Add restricted DMA pool initialization (Jerry Snitselaar) [2004348] - redhat/configs: Add CONFIG_DMA_RESTRICTED_POOL (Jerry Snitselaar) [2004348] - swiotlb: Add restricted DMA alloc/free support (Jerry Snitselaar) [2004348] - swiotlb: Refactor swiotlb_tbl_unmap_single (Jerry Snitselaar) [2004348] - swiotlb: Move alloc_size to swiotlb_find_slots (Jerry Snitselaar) [2004348] - swiotlb: Use is_swiotlb_force_bounce for swiotlb data bouncing (Jerry Snitselaar) [2004348] - swiotlb: Update is_swiotlb_active to add a struct device argument (Jerry Snitselaar) [2004348] - swiotlb: Update is_swiotlb_buffer to add a struct device argument (Jerry Snitselaar) [2004348] - swiotlb: Set dev->dma_io_tlb_mem to the swiotlb pool used (Jerry Snitselaar) [2004348] - swiotlb: Refactor swiotlb_create_debugfs (Jerry Snitselaar) [2004348] - swiotlb: Refactor swiotlb init functions (Jerry Snitselaar) [2004348] - dma-mapping: make the global coherent pool conditional (Jerry Snitselaar) [2004348] - dma-mapping: add a dma_init_global_coherent helper (Jerry Snitselaar) [2004348] - dma-mapping: simplify dma_init_coherent_memory (Jerry Snitselaar) [2004348] - dma-mapping: allow using the global coherent pool for !ARM (Jerry Snitselaar) [2004348] - dma-direct: add support for dma_coherent_default_memory (Jerry Snitselaar) [2004348] - dma-mapping: return an unsigned int from dma_map_sg{,_attrs} (Jerry Snitselaar) [2004348] - dma-mapping: disallow .map_sg operations from returning zero on error (Jerry Snitselaar) [2004348] - dma-mapping: return error code from dma_dummy_map_sg() (Jerry Snitselaar) [2004348] - xen: swiotlb: return error code from xen_swiotlb_map_sg() (Jerry Snitselaar) [2004348] - s390/pci: don't set failed sg dma_address to DMA_MAPPING_ERROR (Jerry Snitselaar) [2004348] - s390/pci: return error code from s390_dma_map_sg() (Jerry Snitselaar) [2004348] - powerpc/iommu: don't set failed sg dma_address to DMA_MAPPING_ERROR (Jerry Snitselaar) [2004348] - powerpc/iommu: return error code from .map_sg() ops (Jerry Snitselaar) [2004348] - iommu/dma: return error code from iommu_dma_map_sg() (Jerry Snitselaar) [2004348] - iommu: return full error code from iommu_map_sg[_atomic]() (Jerry Snitselaar) [2004348] - dma-direct: return appropriate error code from dma_direct_map_sg() (Jerry Snitselaar) [2004348] - dma-mapping: allow map_sg() ops to return negative error codes (Jerry Snitselaar) [2004348] - dma-debug: fix debugfs initialization order (Jerry Snitselaar) [2004348] - dma-debug: use memory_intersects() directly (Jerry Snitselaar) [2004348] Resolves: rhbz#1921363, rhbz#1971978, rhbz#1994932, rhbz#2004348 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-18 22:21:04 +00:00
# CONFIG_IOMMU_DEFAULT_DMA_LAZY is not set
CONFIG_IOMMU_DEFAULT_DMA_STRICT=y
# CONFIG_IOMMU_DEFAULT_PASSTHROUGH is not set
kernel-5.14.0-297.el9 * Thu Apr 06 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-297.el9] - igb: conditionalize I2C bit banging on external thermal sensor support (Corinna Vinschen) [2173594] - Add process name and pid to locks warning (Jeffrey Layton) [2172087] - nfsd: use locks_inode_context helper (Jeffrey Layton) [2172087] - nfs: use locks_inode_context helper (Jeffrey Layton) [2172087] - lockd: use locks_inode_context helper (Jeffrey Layton) [2172087] - cifs: use locks_inode_context helper (Jeffrey Layton) [2172087] - ceph: use locks_inode_context helper (Jeffrey Layton) [2172087] - filelock: add a new locks_inode_context accessor function (Jeffrey Layton) [2172087] - filelock: new helper: vfs_inode_has_locks (Jeffrey Layton) [2172087] - filelock: WARN_ON_ONCE when ->fl_file and filp don't match (Jeffrey Layton) [2172087] - locks: Fix dropped call to ->fl_release_private() (Jeffrey Layton) [2172087] - fs/lock: Rearrange ops in flock syscall. (Jeffrey Layton) [2172087] - fs/lock: Don't allocate file_lock in flock_make_lock(). (Jeffrey Layton) [2172087] - fs/lock: add 2 callbacks to lock_manager_operations to resolve conflict (Jeffrey Layton) [2172087] - fs/lock: add helper locks_owner_has_blockers to check for blockers (Jeffrey Layton) [2172087] - fs/lock: documentation cleanup. Replace inode->i_lock with flc_lock. (Jeffrey Layton) [2172087] - net: rename reference+tracking helpers (Íñigo Huguet) [2175258] - llc: only change llc->dev when bind() succeeds (Íñigo Huguet) [2175258] - llc: fix netdevice reference leaks in llc_ui_bind() (Íñigo Huguet) [2175258] - net: macvlan: add net device refcount tracker (Íñigo Huguet) [2175258] - macsec: dump IFLA_MACSEC_OFFLOAD attribute as part of macsec dump (Sabrina Dubroca) [2173895] - macsec: add support for IFLA_MACSEC_OFFLOAD in macsec_changelink (Sabrina Dubroca) [2173895] - net: macsec: fix net device access prior to holding a lock (Sabrina Dubroca) [2173895] - macsec: add missing attribute validation for offload (Sabrina Dubroca) [2173895] - macsec: Fix invalid error code set (Sabrina Dubroca) [2173895] - macsec: clear encryption keys from the stack after setting up offload (Sabrina Dubroca) [2173895] - macsec: fix detection of RXSCs when toggling offloading (Sabrina Dubroca) [2173895] - macsec: fix secy->n_rx_sc accounting (Sabrina Dubroca) [2173895] - macsec: delete new rxsc when offload fails (Sabrina Dubroca) [2173895] - Revert "net: macsec: report real_dev features when HW offloading is enabled" (Sabrina Dubroca) [2173895] - macsec: don't free NULL metadata_dst (Sabrina Dubroca) [2173895] - net: atlantic: macsec: remove checks on the prepare phase (Sabrina Dubroca) [2173895] - net: phy: mscc: macsec: remove checks on the prepare phase (Sabrina Dubroca) [2173895] - net: macsec: remove the prepare phase when offloading (Sabrina Dubroca) [2173895] - net: atlantic: macsec: make the prepare phase a noop (Sabrina Dubroca) [2173895] - net: phy: mscc: macsec: make the prepare phase a noop (Sabrina Dubroca) [2173895] - net: macsec: Expose extended packet number (EPN) properties to macsec offload (Sabrina Dubroca) [2173895] - net/macsec: Move some code for sharing with various drivers that implements offload (Sabrina Dubroca) [2173895] - net: drivers: get ready for const netdev->dev_addr (Sabrina Dubroca) [2173895] - net/macsec: Add MACsec skb_metadata_dst Rx Data path support (Sabrina Dubroca) [2173895] - net/macsec: Add MACsec skb_metadata_dst Tx Data path support (Sabrina Dubroca) [2173895] - kernel-rt: config: adjust MAX_LOCKDEP_ENTRIES and MAX_LOCKDEP_CHAINS for RT (Luis Claudio R. Goncalves) [2178947] - vfio: fix deadlock between group lock and kvm lock (Alex Williamson) [2177087] - kvm/vfio: Fix potential deadlock on vfio group_lock (Alex Williamson) [2177087] - vfio/type1: Respect IOMMU reserved regions in vfio_test_domain_fgsp() (Alex Williamson) [2177087] - samples: vfio-mdev: Fix missing pci_disable_device() in mdpy_fb_probe() (Alex Williamson) [2177087] - vfio/ap/ccw/samples: Fix device_register() unwind path (Alex Williamson) [2177087] - vfio: Fold vfio_virqfd.ko into vfio.ko (Alex Williamson) [2177087] - vfio: Remove CONFIG_VFIO_SPAPR_EEH (Alex Williamson) [2177087] - vfio: Move vfio_spapr_iommu_eeh_ioctl into vfio_iommu_spapr_tce.c (Alex Williamson) [2177087] - vfio/spapr: Move VFIO_CHECK_EXTENSION into tce_iommu_ioctl() (Alex Williamson) [2177087] - vfio/pci: Move all the SPAPR PCI specific logic to vfio_pci_core.ko (Alex Williamson) [2177087] - vfio: Remove vfio_free_device (Alex Williamson) [2177087] - vfio/ccw: replace vfio_init_device with _alloc_ (Alex Williamson) [2177087] - vfio/ccw: remove release completion (Alex Williamson) [2177087] - vfio/ccw: move private to mdev lifecycle (Alex Williamson) [2177087] - vfio/ccw: move private initialization to callback (Alex Williamson) [2177087] - vfio/ccw: remove private->sch (Alex Williamson) [2177087] - vfio/ccw: remove WARN_ON during shutdown (Alex Williamson) [2177087] - vfio/ccw: create a parent struct (Alex Williamson) [2177087] - vfio/iova_bitmap: Explicitly include linux/slab.h (Alex Williamson) [2177087] - vfio: platform: Do not pass return buffer to ACPI _RST method (Alex Williamson) [2177087] - MAINTAINERS: git://github -> https://github.com for awilliam (Alex Williamson) [2177087] - s390/vfio-ap: GISA: sort out physical vs virtual pointers usage (Alex Williamson) [2177087] - iommufd: Change the order of MSI setup (Alex Williamson) [2177087] - iommufd: Improve a few unclear bits of code (Alex Williamson) [2177087] - iommufd: Fix comment typos (Alex Williamson) [2177087] - vfio: Fix NULL pointer dereference caused by uninitialized group->iommufd (Alex Williamson) [2177087] - vfio: Move vfio group specific code into group.c (Alex Williamson) [2177087] - vfio: Refactor dma APIs for emulated devices (Alex Williamson) [2177087] - vfio: Wrap vfio group module init/clean code into helpers (Alex Williamson) [2177087] - vfio: Refactor vfio_device open and close (Alex Williamson) [2177087] - vfio: Make vfio_device_open() truly device specific (Alex Williamson) [2177087] - vfio: Swap order of vfio_device_container_register() and open_device() (Alex Williamson) [2177087] - vfio: Set device->group in helper function (Alex Williamson) [2177087] - vfio: Create wrappers for group register/unregister (Alex Williamson) [2177087] - vfio: Move the sanity check of the group to vfio_create_group() (Alex Williamson) [2177087] - vfio: Simplify vfio_create_group() (Alex Williamson) [2177087] - iommufd: Allow iommufd to supply /dev/vfio/vfio (Alex Williamson) [2177087] - vfio: Make vfio_container optionally compiled (Alex Williamson) [2177087] - vfio: Move container related MODULE_ALIAS statements into container.c (Alex Williamson) [2177087] - vfio-iommufd: Support iommufd for emulated VFIO devices (Alex Williamson) [2177087] - vfio-iommufd: Support iommufd for physical VFIO devices (Alex Williamson) [2177087] - vfio-iommufd: Allow iommufd to be used in place of a container fd (Alex Williamson) [2177087] - vfio: Use IOMMU_CAP_ENFORCE_CACHE_COHERENCY for vfio_file_enforced_coherent() (Alex Williamson) [2177087] - vfio: Rename vfio_device_assign/unassign_container() (Alex Williamson) [2177087] - vfio: Move vfio_device_assign_container() into vfio_device_first_open() (Alex Williamson) [2177087] - vfio: Move vfio_device driver open/close code to a function (Alex Williamson) [2177087] - vfio/ap: Validate iova during dma_unmap and trigger irq disable (Alex Williamson) [2177087] - i915/gvt: Move gvt mapping cache initialization to intel_vgpu_init_dev() (Alex Williamson) [2177087] - selftests: iommu: Fix test_cmd_destroy_access() call in user_copy (Alex Williamson) [2177087] - iommufd: Make sure to zero vfio_iommu_type1_info before copying to user (Alex Williamson) [2177087] - iommufd: Do not add the same hwpt to the ioas->hwpt_list twice (Alex Williamson) [2177087] - iommufd: Add three missing structures in ucmd_buffer (Alex Williamson) [2177087] - iommufd: Add a selftest (Alex Williamson) [2177087] - iommufd: Add additional invariant assertions (Alex Williamson) [2177087] - iommufd: Add some fault injection points (Alex Williamson) [2177087] - iommufd: Add kernel support for testing iommufd (Alex Williamson) [2177087] - iommufd: vfio container FD ioctl compatibility (Alex Williamson) [2177087] - iommufd: Add kAPI toward external drivers for kernel access (Alex Williamson) [2177087] - iommufd: Add kAPI toward external drivers for physical devices (Alex Williamson) [2177087] - iommufd: Add a HW pagetable object (Alex Williamson) [2177087] - iommufd: IOCTLs for the io_pagetable (Alex Williamson) [2177087] - iommufd: Data structure to provide IOVA to PFN mapping (Alex Williamson) [2177087] - iommufd: Algorithms for PFN storage (Alex Williamson) [2177087] - iommufd: PFN handling for iopt_pages (Alex Williamson) [2177087] - kernel/user: Allow user_struct::locked_vm to be usable for iommufd (Alex Williamson) [2177087] - redhat/configs: Add CONFIG_IOMMUFD (Alex Williamson) [2177087] - iommufd: File descriptor, context, kconfig and makefiles (Alex Williamson) [2177087] - iommufd: Document overview of iommufd (Alex Williamson) [2177087] - scripts/kernel-doc: support EXPORT_SYMBOL_NS_GPL() with -export (Alex Williamson) [2177087] - interval-tree: Add a utility to iterate over spans in an interval tree (Alex Williamson) [2177087] - iommu: Fix refcount leak in iommu_device_claim_dma_owner (Alex Williamson) [2177087] - iommu: Add device-centric DMA ownership interfaces (Alex Williamson) [2177087] - iommu: Add IOMMU_CAP_ENFORCE_CACHE_COHERENCY (Alex Williamson) [2177087] - scripts: kernel-doc: Refresh the copyright lines (Alex Williamson) [2177087] - scripts: kernel-doc: Drop obsolete comments (Alex Williamson) [2177087] - scripts: kernel-doc: Replace the usage function (Alex Williamson) [2177087] - scripts: kernel-doc: Translate the "Other parameters" subsection of OPTIONS (Alex Williamson) [2177087] - scripts: kernel-doc: Translate the "Output selection modifiers" subsection of OPTIONS (Alex Williamson) [2177087] - scripts: kernel-doc: Translate the "Output selection" subsection of OPTIONS (Alex Williamson) [2177087] - scripts: kernel-doc: Translate the "Output format selection modifier" subsection of OPTIONS (Alex Williamson) [2177087] - scripts: kernel-doc: Translate the "Output format selection" subsection of OPTIONS (Alex Williamson) [2177087] - scripts: kernel-doc: Translate the DESCRIPTION section (Alex Williamson) [2177087] - scripts: kernel-doc: Relink argument parsing error handling to pod2usage (Alex Williamson) [2177087] - scripts: kernel-doc: Add the basic POD sections (Alex Williamson) [2177087] - scripts: kernel-doc: Ignore __alloc_size() attribute (Alex Williamson) [2177087] - scripts/kernel-doc: Override -Werror from KCFLAGS with KDOC_WERROR (Alex Williamson) [2177087] - iommu: Rename iommu-sva-lib.{c,h} (Alex Williamson) [2177087] - iommu: Per-domain I/O page fault handling (Alex Williamson) [2177087] - iommu: Prepare IOMMU domain for IOPF (Alex Williamson) [2177087] - iommu: Remove SVA related callbacks from iommu ops (Alex Williamson) [2177087] - iommu/sva: Refactoring iommu_sva_bind/unbind_device() (Alex Williamson) [2177087] - arm-smmu-v3/sva: Add SVA domain support (Alex Williamson) [2177087] - iommu/vt-d: Add SVA domain support (Alex Williamson) [2177087] - iommu: Add IOMMU SVA domain support (Alex Williamson) [2177087] - iommu: Add attach/detach_dev_pasid iommu interfaces (Alex Williamson) [2177087] - PCI: Enable PASID only when ACS RR & UF enabled on upstream path (Alex Williamson) [2177087] - iommu: Remove SVM_FLAG_SUPERVISOR_MODE support (Alex Williamson) [2177087] - iommu: Add max_pasids field in struct dev_iommu (Alex Williamson) [2177087] - iommu: Add max_pasids field in struct iommu_device (Alex Williamson) [2177087] - iommu: Propagate return value in ->attach_dev callback functions (Alex Williamson) [2177087] - iommu: Use EINVAL for incompatible device/domain in ->attach_dev (Alex Williamson) [2177087] - iommu: Regulate EINVAL in ->attach_dev callback functions (Alex Williamson) [2177087] - iommu: Add return value rules to attach_dev op and APIs (Alex Williamson) [2177087] - iommu/amd: Drop unnecessary checks in amd_iommu_attach_device() (Alex Williamson) [2177087] - iommu/vt-d: Fix PCI device refcount leak in prq_event_thread() (Alex Williamson) [2177087] - iommu/vt-d: Remove unnecessary SVA data accesses in page fault path (Alex Williamson) [2177087] - iommu/virtio: Fix compile error with viommu_capable() (Alex Williamson) [2177087] - iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY even betterer (Alex Williamson) [2177087] - iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY better (Alex Williamson) [2177087] - iommu: Retire iommu_capable() (Alex Williamson) [2177087] - vfio/fsl-mc: Remove linux/msi.h include (Alex Williamson) [2177087] - vfio/ccw: identify CCW data addresses as physical (Alex Williamson) [2177087] - vfio/ccw: sort out physical vs virtual pointers usage (Alex Williamson) [2177087] - s390/vfio-ap: Fix memory allocation for mdev_types array (Alex Williamson) [2177087] - vfio: More vfio_file_is_group() use cases (Alex Williamson) [2177087] - vfio: Make the group FD disassociate from the iommu_group (Alex Williamson) [2177087] - vfio: Hold a reference to the iommu_group in kvm for SPAPR (Alex Williamson) [2177087] - vfio: Add vfio_file_is_group() (Alex Williamson) [2177087] - vfio: Change vfio_group->group_rwsem to a mutex (Alex Williamson) [2177087] - vfio: Remove the vfio_group->users and users_comp (Alex Williamson) [2177087] - vfio/mdev: add mdev available instance checking to the core (Alex Williamson) [2177087] - vfio/mdev: consolidate all the description sysfs into the core code (Alex Williamson) [2177087] - vfio/mdev: consolidate all the available_instance sysfs into the core code (Alex Williamson) [2177087] - vfio/mdev: consolidate all the name sysfs into the core code (Alex Williamson) [2177087] - vfio/mdev: consolidate all the device_api sysfs into the core code (Alex Williamson) [2177087] - vfio/mdev: remove mtype_get_parent_dev (Alex Williamson) [2177087] - vfio/mdev: remove mdev_parent_dev (Alex Williamson) [2177087] - vfio/mdev: remove mdev_from_dev (Alex Williamson) [2177087] - vfio/mdev: simplify mdev_type handling (Alex Williamson) [2177087] - vfio/mdev: embedd struct mdev_parent in the parent data structure (Alex Williamson) [2177087] - vfio/mdev: make mdev.h standalone includable (Alex Williamson) [2177087] - drm/i915/gvt: simplify vgpu configuration management (Alex Williamson) [2177087] - drm/i915/gvt: fix a memory leak in intel_gvt_init_vgpu_types (Alex Williamson) [2177087] - vfio: Follow a strict lifetime for struct iommu_group (Alex Williamson) [2177087] - vfio: Move container code into drivers/vfio/container.c (Alex Williamson) [2177087] - vfio: Rename vfio_ioctl_check_extension() (Alex Williamson) [2177087] - vfio: Split out container code from the init/cleanup functions (Alex Williamson) [2177087] - vfio: Remove #ifdefs around CONFIG_VFIO_NOIOMMU (Alex Williamson) [2177087] - vfio: Split the container logic into vfio_container_attach_group() (Alex Williamson) [2177087] - vfio: Rename __vfio_group_unset_container() (Alex Williamson) [2177087] - vfio: Add header guards and includes to drivers/vfio/vfio.h (Alex Williamson) [2177087] - vfio: Add struct device to vfio_device (Alex Williamson) [2177087] - vfio: Rename vfio_device_put() and vfio_device_try_get() (Alex Williamson) [2177087] - vfio/ccw: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/amba: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio: platform: ignore missing reset if disabled at module init (Alex Williamson) [2177087] - vfio/platform: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/fsl-mc: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/ap: Use the new device life cycle helpers (Alex Williamson) [2177087] - drm/i915/gvt: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/mbochs: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/mtty: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/mdpy: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/hisi_acc: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio/pci: Use the new device life cycle helpers (Alex Williamson) [2177087] - vfio: Add helpers for unifying vfio_device life cycle (Alex Williamson) [2177087] - vfio/fsl-mc: Fix a typo in a message (Alex Williamson) [2177087] - vfio: Remove vfio_group dev_counter (Alex Williamson) [2177087] - vfio/pci: Implement VFIO_DEVICE_FEATURE_LOW_POWER_ENTRY_WITH_WAKEUP (Alex Williamson) [2177087] - vfio/pci: Implement VFIO_DEVICE_FEATURE_LOW_POWER_ENTRY/EXIT (Alex Williamson) [2177087] - vfio/pci: Mask INTx during runtime suspend (Alex Williamson) [2177087] - vfio: Increment the runtime PM usage count during IOCTL call (Alex Williamson) [2177087] - vfio: Add the device features for the low power entry and exit (Alex Williamson) [2177087] - vfio: Split VFIO_GROUP_GET_STATUS into a function (Alex Williamson) [2177087] - vfio: Follow the naming pattern for vfio_group_ioctl_unset_container() (Alex Williamson) [2177087] - vfio: Fold VFIO_GROUP_SET_CONTAINER into vfio_group_set_container() (Alex Williamson) [2177087] - vfio: Fold VFIO_GROUP_GET_DEVICE_FD into vfio_group_get_device_fd() (Alex Williamson) [2177087] - vfio-pci: Replace 'void __user *' with proper types in the ioctl functions (Alex Williamson) [2177087] - vfio-pci: Re-indent what was vfio_pci_core_ioctl() (Alex Williamson) [2177087] - vfio-pci: Break up vfio_pci_core_ioctl() into one function per ioctl (Alex Williamson) [2177087] - vfio-pci: Fix vfio_pci_ioeventfd() to return int (Alex Williamson) [2177087] - vfio/pci: Simplify the is_intx/msi/msix/etc defines (Alex Williamson) [2177087] - vfio/pci: Rename vfio_pci_register_dev_region() (Alex Williamson) [2177087] - vfio/pci: Split linux/vfio_pci_core.h (Alex Williamson) [2177087] - vfio/pci: Remove console drivers (Alex Williamson) [2177087] - powerpc/pseries: Avoid hcall in plpks_is_available() on non-pseries (Mamatha Inamdar) [1873865] - powerpc/pseries: Fix endianness issue when parsing PLPKS secvar flags (Mamatha Inamdar) [1873865] - integrity/powerpc: Support loading keys from PLPKS (Mamatha Inamdar) [1873865] - integrity/powerpc: Improve error handling & reporting when loading certs (Mamatha Inamdar) [1873865] - powerpc/pseries: Implement secvars for dynamic secure boot (Mamatha Inamdar) [1873865] - powerpc/pseries: Pass PLPKS password on kexec (Mamatha Inamdar) [1873865] - powerpc/pseries: Add helper to get PLPKS password length (Mamatha Inamdar) [1873865] - powerpc/pseries: Clarify warning when PLPKS password already set (Mamatha Inamdar) [1873865] - powerpc/pseries: Turn PSERIES_PLPKS into a hidden option (Mamatha Inamdar) [1873865] - powerpc/pseries: Make caller pass buffer to plpks_read_var() (Mamatha Inamdar) [1873865] - powerpc/pseries: Log hcall return codes for PLPKS debug (Mamatha Inamdar) [1873865] - powerpc/pseries: Implement signed update for PLPKS objects (Mamatha Inamdar) [1873865] - powerpc/pseries: Expose PLPKS config values, support additional fields (Mamatha Inamdar) [1873865] - powerpc/pseries: Move PLPKS constants to header file (Mamatha Inamdar) [1873865] - powerpc/pseries: Move plpks.h to include directory (Mamatha Inamdar) [1873865] - powerpc/secvar: Don't print error on ENOENT when reading variables (Mamatha Inamdar) [1873865] - powerpc/secvar: Warn when PAGE_SIZE is smaller than max object size (Mamatha Inamdar) [1873865] - powerpc/secvar: Allow backend to populate static list of variable names (Mamatha Inamdar) [1873865] - powerpc/secvar: Extend sysfs to include config vars (Mamatha Inamdar) [1873865] - powerpc/secvar: Clean up init error messages (Mamatha Inamdar) [1873865] - powerpc/secvar: Handle max object size in the consumer (Mamatha Inamdar) [1873865] - powerpc/secvar: Handle format string in the consumer (Mamatha Inamdar) [1873865] - powerpc/secvar: Use sysfs_emit() instead of sprintf() (Mamatha Inamdar) [1873865] - powerpc/secvar: Warn and error if multiple secvar ops are set (Mamatha Inamdar) [1873865] - powerpc/secvar: Use u64 in secvar_operations (Mamatha Inamdar) [1873865] - powerpc/secvar: Fix incorrect return in secvar_sysfs_load() (Mamatha Inamdar) [1873865] - powerpc/pseries: Fix alignment of PLPKS structures and buffers (Mamatha Inamdar) [1873865] - powerpc/pseries: Fix handling of PLPKS object flushing timeout (Mamatha Inamdar) [1873865] - powerpc/pseries: fix plpks_read_var() code for different consumers (Mamatha Inamdar) [1873865] - powerpc/pseries: replace kmalloc with kzalloc in PLPKS driver (Mamatha Inamdar) [1873865] - powerpc/pseries: cleanup error logs in plpks driver (Mamatha Inamdar) [1873865] - powerpc/pseries: Return -EIO instead of -EINTR for H_ABORTED error (Mamatha Inamdar) [1873865] - powerpc/pseries: Fix the H_CALL error code in PLPKS driver (Mamatha Inamdar) [1873865] - powerpc/pseries: fix the object owners enum value in plpks driver (Mamatha Inamdar) [1873865] - powerpc/pseries: Fix plpks crash on non-pseries (Mamatha Inamdar) [1873865] - powerpc/pseries: define driver for Platform KeyStore (Mamatha Inamdar) [1873865] - x86/tsc: Add option to force frequency recalibration with HW timer (David Arcari) [2100598] - Reinstate "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2181344] - iavf: fix hang on reboot with ice (Stefan Assmann) [2175775] Resolves: rhbz#1873865, rhbz#2100598, rhbz#2172087, rhbz#2173594, rhbz#2173895, rhbz#2175258, rhbz#2177087, rhbz#2178947 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-06 12:59:16 +00:00
# CONFIG_IOMMUFD is not set
# CONFIG_IOMMU_IO_PGTABLE_ARMV7S is not set
# CONFIG_IOMMU_IO_PGTABLE_LPAE_SELFTEST is not set
CONFIG_IOMMU_SUPPORT=y
CONFIG_IONIC=m
CONFIG_IOSCHED_BFQ=y
# CONFIG_IOSF_MBI_DEBUG is not set
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
CONFIG_IOSM=m
# CONFIG_IO_STRICT_DEVMEM is not set
kernel-5.14.0-315.el9 * Wed May 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-315.el9] - watchdog: wdat_wdt: Avoid unimplemented get_timeleft (David Arcari) [2189867] - watchdog: wdat_wdt: Set the min and max timeout values properly (David Arcari) [2189867] - watchdog: wdat_wdt: Remove #ifdef guards for PM related functions (David Arcari) [2189867] - watchdog: wdat_wdt: Stop watchdog when uninstalling module (David Arcari) [2189867] - watchdog: wdat_wdt: Stop watchdog when rebooting the system (David Arcari) [2189867] - watchdog: wdat_wdt: Using the existing function to check parameter timeout (David Arcari) [2189867] - watchdog: imx2_wdg: suspend watchdog in WAIT mode (Steve Best) [2192546] - watchdog: imx2_wdg: Alow ping on suspend (Steve Best) [2192546] - watchdog: imx2_wdg: notify wdog core to stop ping worker on suspend (Steve Best) [2192546] - watchdog: introduce watchdog_dev_suspend/resume (Steve Best) [2192546] - scsi: iscsi_tcp: Check that sock is valid before iscsi_set_param() (Chris Leech) [2192404] - scsi: iscsi_tcp: Fix UAF during login when accessing the shost ipaddress (Chris Leech) [2192404] - scsi: iscsi_tcp: Fix UAF during logout when accessing the shost ipaddress (Chris Leech) [2192404] - scsi: iscsi: Fix multiple iSCSI session unbind events sent to userspace (Chris Leech) [2192404] - scsi: iscsi: Rename iscsi_set_param() to iscsi_if_set_param() (Chris Leech) [2192404] - scsi: iscsi: tracing: Use the new __vstring() helper (Chris Leech) [2192404] - md/raid5: remove unused working_disks variable (Nigel Croxon) [2162219] - md/raid10: don't call bio_start_io_acct twice for bio which experienced read error (Nigel Croxon) [2162219] - md/raid10: fix memleak of md thread (Nigel Croxon) [2162219] - md/raid10: fix memleak for 'conf->bio_split' (Nigel Croxon) [2162219] - md/raid10: fix leak of 'r10bio->remaining' for recovery (Nigel Croxon) [2162219] - md/raid10: don't BUG_ON() in raise_barrier() (Nigel Croxon) [2162219] - md: fix soft lockup in status_resync (Nigel Croxon) [2162219] - md: add error_handlers for raid0 and linear (Nigel Croxon) [2162219] - md: Use optimal I/O size for last bitmap page (Nigel Croxon) [2162219] - md: Fix types in sb writer (Nigel Croxon) [2162219] - md: Move sb writer loop to its own function (Nigel Croxon) [2162219] - md/raid10: Fix typo in comment (replacment -> replacement) (Nigel Croxon) [2162219] - md: make kobj_type structures constant (Nigel Croxon) [2162219] - md/raid10: fix null-ptr-deref in raid10_sync_request (Nigel Croxon) [2162219] - md/raid10: fix task hung in raid10d (Nigel Croxon) [2162219] - md: simplify sysctl registration (Nigel Croxon) [2162219] - md: fix regression for null-ptr-deference in __md_stop() (Nigel Croxon) [2162219] - md: avoid signed overflow in slot_store() (Nigel Croxon) [2162219] - md: Free resources in __md_stop (Nigel Croxon) [2162219] - md: account io_acct_set usage with active_io (Nigel Croxon) [2122229 2162219] - md: use MD_RESYNC_* whenever possible (Nigel Croxon) [2162219] - md: Free writes_pending in md_stop (Nigel Croxon) [2162219] - md: Change active_io to percpu (Nigel Croxon) [2162219] - md: Factor out is_md_suspended helper (Nigel Croxon) [2162219] - md: don't update recovery_cp when curr_resync is ACTIVE (Nigel Croxon) [2162219] - md: fix incorrect declaration about claim_rdev in md_import_device (Nigel Croxon) [2162219] - md: fold unbind_rdev_from_array into md_kick_rdev_from_array (Nigel Croxon) [2162219] - md: mark md_kick_rdev_from_array static (Nigel Croxon) [2162219] - md: remove lock_bdev / unlock_bdev (Nigel Croxon) [2162219] - bonding: Fix memory leak when changing bond type to Ethernet (Hangbin Liu) [2189406] - selftests: bonding: add tests for ether type changes (Hangbin Liu) [2189406] - bonding: restore bond's IFF_SLAVE flag if a non-eth dev enslave fails (Hangbin Liu) [2189406] - bonding: restore IFF_MASTER/SLAVE flags on bond enslave ether type change (Hangbin Liu) [2189406] - drivers/net/bonding/bond_3ad: return when there's no aggregator (Hangbin Liu) [2189406] - net: add IFF_NO_ADDRCONF and use it in bonding to prevent ipv6 addrconf (Hangbin Liu) [2189406] - net/core: Allow live renaming when an interface is up (Hangbin Liu) [2189406] - bonding: 3ad: Add support for 800G speed (Hangbin Liu) [2189406] - thermal/drivers/imx: Use generic thermal_zone_get_trip() function (Steve Best) [2185130] - kernel.spec: skip kernel meta package when building without up (Jan Stancek) [2190323] - redhat: configs: enable CONFIG_DELL_WMI_PRIVACY (Foggy Liu) [2186163] - RHEL-only: Enable CONFIG_IO_URING (Jeff Moyer) [2068237 2170014] - RHEL: io_uring: mark tech preview (Jeff Moyer) [2068237] - RHEL: add a boot parameter to enable io_uring (Jeff Moyer) [2068237] - io_uring: fix size calculation when registering buf ring (Jeff Moyer) [2068237] - Revert "io_uring/rsrc: disallow multi-source reg buffers" (Jeff Moyer) [2068237] - net: reclaim skb->scm_io_uring bit (Jeff Moyer) [2068237] - io_uring: complete request via task work in case of DEFER_TASKRUN (Jeff Moyer) [2068237] - io_uring: fix memory leak when removing provided buffers (Jeff Moyer) [2068237] - io_uring: fix return value when removing provided buffers (Jeff Moyer) [2068237] - io_uring: fix poll/netmsg alloc caches (Jeff Moyer) [2068237] - io_uring/rsrc: fix rogue rsrc node grabbing (Jeff Moyer) [2068237] - io_uring/poll: clear single/double poll flags on poll arming (Jeff Moyer) [2068237] - io_uring/rsrc: fix null-ptr-deref in io_file_bitmap_get() (Jeff Moyer) [2068237] - io_uring/net: avoid sending -ECONNABORTED on repeated connection requests (Jeff Moyer) [2068237] - block/io_uring: pass in issue_flags for uring_cmd task_work handling (Jeff Moyer) [2068237] - io_uring/msg_ring: let target know allocated index (Jeff Moyer) [2068237] - io_uring/sqpoll: Do not set PF_NO_SETAFFINITY on sqpoll threads (Jeff Moyer) [2068237] - io_uring/io-wq: stop setting PF_NO_SETAFFINITY on io-wq workers (Jeff Moyer) [2068237] - io_uring/uring_cmd: ensure that device supports IOPOLL (Jeff Moyer) [2068237] - io_uring: fix fget leak when fs don't support nowait buffered read (Jeff Moyer) [2068237] - io_uring/poll: allow some retries for poll triggering spuriously (Jeff Moyer) [2068237] - io_uring: remove MSG_NOSIGNAL from recvmsg (Jeff Moyer) [2068237] - io_uring/rsrc: disallow multi-source reg buffers (Jeff Moyer) [2068237] - io_uring,audit: don't log IORING_OP_MADVISE (Jeff Moyer) [2068237] - io_uring: mark task TASK_RUNNING before handling resume/task work (Jeff Moyer) [2068237] - io_uring: add reschedule point to handle_tw_list() (Jeff Moyer) [2068237] - io_uring: add a conditional reschedule to the IOPOLL cancelation loop (Jeff Moyer) [2068237] - io_uring: use user visible tail in io_uring_poll() (Jeff Moyer) [2068237] - io_uring: handle TIF_NOTIFY_RESUME when checking for task_work (Jeff Moyer) [2068237] - io_uring: Replace 0-length array with flexible array (Jeff Moyer) [2068237] - io_uring: always prep_async for drain requests (Jeff Moyer) [2068237] - io_uring/net: cache provided buffer group value for multishot receives (Jeff Moyer) [2068237] - io_uring/poll: don't reissue in case of poll race on multishot request (Jeff Moyer) [2068237] - io_uring/msg_ring: fix remote queue to disabled ring (Jeff Moyer) [2068237] - io_uring/msg_ring: fix flagging remote execution (Jeff Moyer) [2068237] - io_uring/msg_ring: fix missing lock on overflow for IOPOLL (Jeff Moyer) [2068237] - io_uring/msg_ring: move double lock/unlock helpers higher up (Jeff Moyer) [2068237] - io_uring: lock overflowing for IOPOLL (Jeff Moyer) [2068237] - io_uring/poll: attempt request issue after racy poll wakeup (Jeff Moyer) [2068237] - io_uring/fdinfo: include locked hash table in fdinfo output (Jeff Moyer) [2068237] - io_uring/poll: add hash if ready poll request can't complete inline (Jeff Moyer) [2068237] - io_uring/io-wq: only free worker if it was allocated for creation (Jeff Moyer) [2068237] - io_uring: fix CQ waiting timeout handling (Jeff Moyer) [2068237] - io_uring: move 'poll_multi_queue' bool in io_ring_ctx (Jeff Moyer) [2068237] - io_uring: lockdep annotate CQ locking (Jeff Moyer) [2068237] - io_uring: pin context while queueing deferred tw (Jeff Moyer) [2068237] - io_uring/io-wq: free worker if task_work creation is canceled (Jeff Moyer) [2068237] - uapi:io_uring.h: allow linux/time_types.h to be skipped (Jeff Moyer) [2068237] - io_uring: check for valid register opcode earlier (Jeff Moyer) [2068237] - io_uring/cancel: re-grab ctx mutex after finishing wait (Jeff Moyer) [2068237] - io_uring: finish waiting before flushing overflow entries (Jeff Moyer) [2068237] - io_uring/net: fix cleanup after recycle (Jeff Moyer) [2068237] - io_uring/net: ensure compat import handlers clear free_iov (Jeff Moyer) [2068237] - io_uring: include task_work run after scheduling in wait for events (Jeff Moyer) [2068237] - io_uring: don't use TIF_NOTIFY_SIGNAL to test for availability of task_work (Jeff Moyer) [2068237] - io_uring: fix overflow handling regression (Jeff Moyer) [2068237] - io_uring: ease timeout flush locking requirements (Jeff Moyer) [2068237] - io_uring: revise completion_lock locking (Jeff Moyer) [2068237] - io_uring: protect cq_timeouts with timeout_lock (Jeff Moyer) [2068237] - io_uring/rw: enable bio caches for IRQ rw (Jeff Moyer) [2068237] - io_uring/msg_ring: flag target ring as having task_work, if needed (Jeff Moyer) [2068237] - io_uring: skip spinlocking for ->task_complete (Jeff Moyer) [2068237] - io_uring: do msg_ring in target task via tw (Jeff Moyer) [2068237] - io_uring: extract a io_msg_install_complete helper (Jeff Moyer) [2068237] - io_uring: get rid of double locking (Jeff Moyer) [2068237] - io_uring: never run tw and fallback in parallel (Jeff Moyer) [2068237] - io_uring: use tw for putting rsrc (Jeff Moyer) [2068237] - io_uring: force multishot CQEs into task context (Jeff Moyer) [2068237] - io_uring: complete all requests in task context (Jeff Moyer) [2068237] - io_uring: don't check overflow flush failures (Jeff Moyer) [2068237] - io_uring: skip overflow CQE posting for dying ring (Jeff Moyer) [2068237] - io_uring: improve io_double_lock_ctx fail handling (Jeff Moyer) [2068237] - io_uring: dont remove file from msg_ring reqs (Jeff Moyer) [2068237] - io_uring: reshuffle issue_flags (Jeff Moyer) [2068237] - io_uring: don't reinstall quiesce node for each tw (Jeff Moyer) [2068237] - io_uring: improve rsrc quiesce refs checks (Jeff Moyer) [2068237] - io_uring: don't raw spin unlock to match cq_lock (Jeff Moyer) [2068237] - io_uring: combine poll tw handlers (Jeff Moyer) [2068237] - io_uring: improve poll warning handling (Jeff Moyer) [2068237] - io_uring: remove ctx variable in io_poll_check_events (Jeff Moyer) [2068237] - io_uring: carve io_poll_check_events fast path (Jeff Moyer) [2068237] - io_uring: kill io_poll_issue's PF_EXITING check (Jeff Moyer) [2068237] - io_uring: keep unlock_post inlined in hot path (Jeff Moyer) [2068237] - io_uring: don't use complete_post in kbuf (Jeff Moyer) [2068237] - io_uring: spelling fix (Jeff Moyer) [2068237] - io_uring: remove io_req_complete_post_tw (Jeff Moyer) [2068237] - io_uring: allow multishot polled reqs to defer completion (Jeff Moyer) [2068237] - io_uring: remove overflow param from io_post_aux_cqe (Jeff Moyer) [2068237] - io_uring: add lockdep assertion in io_fill_cqe_aux (Jeff Moyer) [2068237] - io_uring: make io_fill_cqe_aux static (Jeff Moyer) [2068237] - io_uring: add io_aux_cqe which allows deferred completion (Jeff Moyer) [2068237] - io_uring: allow defer completion for aux posted cqes (Jeff Moyer) [2068237] - io_uring: defer all io_req_complete_failed (Jeff Moyer) [2068237] - io_uring: always lock in io_apoll_task_func (Jeff Moyer) [2068237] - io_uring: remove iopoll spinlock (Jeff Moyer) [2068237] - io_uring: iopoll protect complete_post (Jeff Moyer) [2068237] - io_uring: inline __io_req_complete_put() (Jeff Moyer) [2068237] - io_uring: remove io_req_tw_post_queue (Jeff Moyer) [2068237] - io_uring: use io_req_task_complete() in timeout (Jeff Moyer) [2068237] - io_uring: hold locks for io_req_complete_failed (Jeff Moyer) [2068237] - io_uring: add completion locking for iopoll (Jeff Moyer) [2068237] - io_uring: kill io_cqring_ev_posted() and __io_cq_unlock_post() (Jeff Moyer) [2068237] - Revert "io_uring: disallow self-propelled ring polling" (Jeff Moyer) [2068237] - io_uring: pass in EPOLL_URING_WAKE for eventfd signaling and wakeups (Jeff Moyer) [2068237] - eventfd: provide a eventfd_signal_mask() helper (Jeff Moyer) [2068237] - eventpoll: add EPOLL_URING_WAKE poll wakeup flag (Jeff Moyer) [2068237] - io_uring: inline __io_req_complete_post() (Jeff Moyer) [2068237] - io_uring: split tw fallback into a function (Jeff Moyer) [2068237] - io_uring: inline io_req_task_work_add() (Jeff Moyer) [2068237] - io_uring: update outdated comment of callbacks (Jeff Moyer) [2068237] - io_uring/poll: remove outdated comments of caching (Jeff Moyer) [2068237] - io_uring: allow multishot recv CQEs to overflow (Jeff Moyer) [2068237] - io_uring: revert "io_uring fix multishot accept ordering" (Jeff Moyer) [2068237] - io_uring: do not always force run task_work in io_uring_register (Jeff Moyer) [2068237] - io_uring: fix two assignments in if conditions (Jeff Moyer) [2068237] - io_uring/net: move mm accounting to a slower path (Jeff Moyer) [2068237] - io_uring: move zc reporting from the hot path (Jeff Moyer) [2068237] - io_uring/net: inline io_notif_flush() (Jeff Moyer) [2068237] - io_uring/net: rename io_uring_tx_zerocopy_callback (Jeff Moyer) [2068237] - io_uring/net: preset notif tw handler (Jeff Moyer) [2068237] - io_uring/net: remove extra notif rsrc setup (Jeff Moyer) [2068237] - io_uring: move kbuf put out of generic tw complete (Jeff Moyer) [2068237] - io_uring/net: introduce IORING_SEND_ZC_REPORT_USAGE flag (Jeff Moyer) [2068237] - net: shrink struct ubuf_info (Jeff Moyer) [2068237] - vhost/net: use struct ubuf_info_msgzc (Jeff Moyer) [2068237] - xen/netback: use struct ubuf_info_msgzc (Jeff Moyer) [2068237] - net: introduce struct ubuf_info_msgzc (Jeff Moyer) [2068237] - io_uring: Fix a null-ptr-deref in io_tctx_exit_cb() (Jeff Moyer) [2068237] - io_uring: clear TIF_NOTIFY_SIGNAL if set and task_work not available (Jeff Moyer) [2068237] - io_uring/poll: fix poll_refs race with cancelation (Jeff Moyer) [2068237] - io_uring/filetable: fix file reference underflow (Jeff Moyer) [2068237] - io_uring: make poll refs more robust (Jeff Moyer) [2068237] - io_uring: cmpxchg for poll arm refs release (Jeff Moyer) [2068237] - io_uring: disallow self-propelled ring polling (Jeff Moyer) [2068237] - io_uring: fix multishot recv request leaks (Jeff Moyer) [2068237] - io_uring: fix multishot accept request leaks (Jeff Moyer) [2068237] - io_uring: fix tw losing poll events (Jeff Moyer) [2068237] - io_uring: update res mask in io_poll_check_events (Jeff Moyer) [2068237] - io_uring/poll: lockdep annote io_poll_req_insert_locked (Jeff Moyer) [2068237] - io_uring/poll: fix double poll req->flags races (Jeff Moyer) [2068237] - io_uring: check for rollover of buffer ID when providing buffers (Jeff Moyer) [2068237] - io_uring: calculate CQEs from the user visible value (Jeff Moyer) [2068237] - io_uring: fix typo in io_uring.h comment (Jeff Moyer) [2068237] - io_uring: unlock if __io_run_local_work locked inside (Jeff Moyer) [2068237] - io_uring: use io_run_local_work_locked helper (Jeff Moyer) [2068237] - io_uring/net: fail zc sendmsg when unsupported by socket (Jeff Moyer) [2068237] - io_uring/net: fail zc send when unsupported by socket (Jeff Moyer) [2068237] - selftests/net: don't tests batched TCP io_uring zc (Jeff Moyer) [2068237] - net: also flag accepted sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237] - net/ulp: remove SOCK_SUPPORT_ZC from tls sockets (Jeff Moyer) [2068237] - net: remove SOCK_SUPPORT_ZC from sockmap (Jeff Moyer) [2068237] - udp: advertise ipv6 udp support for msghdr::ubuf_info (Jeff Moyer) [2068237] - net: flag sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237] - io-wq: Fix memory leak in worker creation (Jeff Moyer) [2068237] - io_uring/msg_ring: Fix NULL pointer dereference in io_msg_send_fd() (Jeff Moyer) [2068237] - io_uring/rw: remove leftover debug statement (Jeff Moyer) [2068237] - io_uring: don't iopoll from io_ring_ctx_wait_and_kill() (Jeff Moyer) [2068237] - io_uring: reuse io_alloc_req() (Jeff Moyer) [2068237] - io_uring: kill hot path fixed file bitmap debug checks (Jeff Moyer) [2068237] - io_uring: remove FFS_SCM (Jeff Moyer) [2068237] - io_uring/rw: ensure kiocb_end_write() is always called (Jeff Moyer) [2068237] - io_uring: fix fdinfo sqe offsets calculation (Jeff Moyer) [2068237] - io_uring: local variable rw shadows outer variable in io_write (Jeff Moyer) [2068237] - io_uring/opdef: remove 'audit_skip' from SENDMSG_ZC (Jeff Moyer) [2068237] - io_uring: optimise locking for local tw with submit_wait (Jeff Moyer) [2068237] - io_uring: remove redundant memory barrier in io_req_local_work_add (Jeff Moyer) [2068237] - io_uring/net: handle -EINPROGRESS correct for IORING_OP_CONNECT (Jeff Moyer) [2068237] - io_uring: remove notif leftovers (Jeff Moyer) [2068237] - io_uring: correct pinned_vm accounting (Jeff Moyer) [2068237] - io_uring/af_unix: defer registered files gc to io_uring release (Jeff Moyer) [2068237] - io_uring: limit registration w/ SINGLE_ISSUER (Jeff Moyer) [2068237] - io_uring: remove io_register_submitter (Jeff Moyer) [2068237] - io_uring: simplify __io_uring_add_tctx_node (Jeff Moyer) [2068237] - io_uring: Add missing inline to io_uring_cmd_import_fixed() dummy (Jeff Moyer) [2068237] - nvme: wire up fixed buffer support for nvme passthrough (Jeff Moyer) [2068237] - nvme: pass ubuffer as an integer (Jeff Moyer) [2068237] - nvme: refactor nvme_alloc_request (Jeff Moyer) [2068237] - nvme: refactor nvme_add_user_metadata (Jeff Moyer) [2068237] - scsi: Use blk_rq_map_user_io helper (Jeff Moyer) [2068237] - io_uring: introduce fixed buffer support for io_uring_cmd (Jeff Moyer) [2068237] - io_uring: add io_uring_cmd_import_fixed (Jeff Moyer) [2068237] - nvme: enable batched completions of passthrough IO (Jeff Moyer) [2068237] - nvme: split out metadata vs non metadata end_io uring_cmd completions (Jeff Moyer) [2068237] - io_uring/net: fix notif cqe reordering (Jeff Moyer) [2068237] - io_uring/net: don't update msg_name if not provided (Jeff Moyer) [2068237] - io_uring: don't gate task_work run on TIF_NOTIFY_SIGNAL (Jeff Moyer) [2068237] - io_uring/rw: defer fsnotify calls to task context (Jeff Moyer) [2068237] - io_uring/net: fix fast_iov assignment in io_setup_async_msg() (Jeff Moyer) [2068237] - io_uring/net: fix non-zc send with address (Jeff Moyer) [2068237] - io_uring/net: don't skip notifs for failed requests (Jeff Moyer) [2068237] - selftests/net: enable io_uring sendzc testing (Jeff Moyer) [2068237] - io_uring/rw: don't lose short results on io_setup_async_rw() (Jeff Moyer) [2068237] - io_uring/rw: fix unexpected link breakage (Jeff Moyer) [2068237] - io_uring/net: fix cleanup double free free_iov init (Jeff Moyer) [2068237] - io_uring: fix CQE reordering (Jeff Moyer) [2068237] - io_uring/net: fix UAF in io_sendrecv_fail() (Jeff Moyer) [2068237] - selftest/net: adjust io_uring sendzc notif handling (Jeff Moyer) [2068237] - io_uring: ensure local task_work marks task as running (Jeff Moyer) [2068237] - io_uring/net: zerocopy sendmsg (Jeff Moyer) [2068237] - io_uring/net: combine fail handlers (Jeff Moyer) [2068237] - io_uring/net: rename io_sendzc() (Jeff Moyer) [2068237] - io_uring/net: support non-zerocopy sendto (Jeff Moyer) [2068237] - io_uring/net: refactor io_setup_async_addr (Jeff Moyer) [2068237] - io_uring/net: don't lose partial send_zc on fail (Jeff Moyer) [2068237] - io_uring/net: don't lose partial send/recv on fail (Jeff Moyer) [2068237] - io_uring/rw: don't lose partial IO result on fail (Jeff Moyer) [2068237] - io_uring: add custom opcode hooks on fail (Jeff Moyer) [2068237] - io_uring/fdinfo: fix sqe dumping for IORING_SETUP_SQE128 (Jeff Moyer) [2068237] - io_uring/fdinfo: get rid of unnecessary is_cqe32 variable (Jeff Moyer) [2068237] - io_uring: remove unused return from io_disarm_next (Jeff Moyer) [2068237] - io_uring: add fast path for io_run_local_work() (Jeff Moyer) [2068237] - io_uring/iopoll: unify tw breaking logic (Jeff Moyer) [2068237] - io_uring/iopoll: fix unexpected returns (Jeff Moyer) [2068237] - io_uring: disallow defer-tw run w/ no submitters (Jeff Moyer) [2068237] - io_uring: further limit non-owner defer-tw cq waiting (Jeff Moyer) [2068237] - io_uring/net: use io_sr_msg for sendzc (Jeff Moyer) [2068237] - io_uring/net: refactor io_sr_msg types (Jeff Moyer) [2068237] - io_uring/net: add non-bvec sg chunking callback (Jeff Moyer) [2068237] - io_uring/net: io_async_msghdr caches for sendzc (Jeff Moyer) [2068237] - io_uring/net: use async caches for async prep (Jeff Moyer) [2068237] - io_uring/net: reshuffle error handling (Jeff Moyer) [2068237] - io_uring: use io_cq_lock consistently (Jeff Moyer) [2068237] - io_uring: kill an outdated comment (Jeff Moyer) [2068237] - io_uring: allow buffer recycling in READV (Jeff Moyer) [2068237] - fs: add batch and poll flags to the uring_cmd_iopoll() handler (Jeff Moyer) [2068237] - io_uring: ensure iopoll runs local task work as well (Jeff Moyer) [2068237] - io_uring: add local task_work run helper that is entered locked (Jeff Moyer) [2068237] - io_uring: cleanly separate request types for iopoll (Jeff Moyer) [2068237] - nvme: wire up async polling for io passthrough commands (Jeff Moyer) [2068237] - block: export blk_rq_is_poll (Jeff Moyer) [2068237] - io_uring: add iopoll infrastructure for io_uring_cmd (Jeff Moyer) [2068237] - fs: add file_operations->uring_cmd_iopoll (Jeff Moyer) [2068237] - io_uring: trace local task work run (Jeff Moyer) [2068237] - io_uring: signal registered eventfd to process deferred task work (Jeff Moyer) [2068237] - io_uring: move io_eventfd_put (Jeff Moyer) [2068237] - io_uring: add IORING_SETUP_DEFER_TASKRUN (Jeff Moyer) [2068237] - io_uring: do not run task work at the start of io_uring_enter (Jeff Moyer) [2068237] - io_uring: introduce io_has_work (Jeff Moyer) [2068237] - io_uring: remove unnecessary variable (Jeff Moyer) [2068237] - __io_setxattr(): constify path (Jeff Moyer) [2068237] - nvme/host: Use the enum req_op and blk_opf_t types (Jeff Moyer) [2068237] - nvme: enable uring-passthrough for admin commands (Jeff Moyer) [2068237] - nvme: helper for uring-passthrough checks (Jeff Moyer) [2068237] - net: fix kdoc on __dev_queue_xmit() (Jeff Moyer) [2068237] - tcp: add missing tcp_skb_can_collapse() test in tcp_shift_skb_data() (Jeff Moyer) [2068237] - io_uring/poll: disable level triggered poll (Jeff Moyer) [2068237] - io_uring: register single issuer task at creation (Jeff Moyer) [2068237] - io_uring: ensure that cached task references are always put on exit (Jeff Moyer) [2068237] - io_uring/opdef: rename SENDZC_NOTIF to SEND_ZC (Jeff Moyer) [2068237] - io_uring/net: fix zc fixed buf lifetime (Jeff Moyer) [2068237] - io_uring/msg_ring: check file type before putting (Jeff Moyer) [2068237] - io_uring/rw: fix error'ed retry return values (Jeff Moyer) [2068237] - io_uring/rw: fix short rw error handling (Jeff Moyer) [2068237] - io_uring/net: copy addr for zc on POLL_FIRST (Jeff Moyer) [2068237] - io_uring: recycle kbuf recycle on tw requeue (Jeff Moyer) [2068237] - io_uring/kbuf: fix not advancing READV kbuf ring (Jeff Moyer) [2068237] - io_uring/notif: Remove the unused function io_notif_complete() (Jeff Moyer) [2068237] - selftests/net: return back io_uring zc send tests (Jeff Moyer) [2068237] - io_uring/net: simplify zerocopy send user API (Jeff Moyer) [2068237] - io_uring/notif: remove notif registration (Jeff Moyer) [2068237] - Revert "io_uring: rename IORING_OP_FILES_UPDATE" (Jeff Moyer) [2068237] - Revert "io_uring: add zc notification flush requests" (Jeff Moyer) [2068237] - selftests/net: temporarily disable io_uring zc test (Jeff Moyer) [2068237] - io_uring/net: fix overexcessive retries (Jeff Moyer) [2068237] - lsm,io_uring: add LSM hooks for the new uring_cmd file op (Jeff Moyer) [2068237] - io_uring/net: save address for sendzc async execution (Jeff Moyer) [2068237] - io_uring: conditional ->async_data allocation (Jeff Moyer) [2068237] - io_uring/notif: order notif vs send CQEs (Jeff Moyer) [2068237] - io_uring/net: fix indentation (Jeff Moyer) [2068237] - io_uring/net: fix zc send link failing (Jeff Moyer) [2068237] - io_uring/net: fix must_hold annotation (Jeff Moyer) [2068237] - io_uring: fix submission-failure handling for uring-cmd (Jeff Moyer) [2068237] - io_uring: fix off-by-one in sync cancelation file check (Jeff Moyer) [2068237] - io_uring: uapi: Add `extern "C"` in io_uring.h for liburing (Jeff Moyer) [2068237] - MAINTAINERS: Add `include/linux/io_uring_types.h` (Jeff Moyer) [2068237] - io_uring/net: use right helpers for async_data (Jeff Moyer) [2068237] - io_uring/notif: raise limit on notification slots (Jeff Moyer) [2068237] - io_uring/net: improve zc addr import error handling (Jeff Moyer) [2068237] - io_uring/net: use right helpers for async recycle (Jeff Moyer) [2068237] - io_uring: add missing BUILD_BUG_ON() checks for new io_uring_sqe fields (Jeff Moyer) [2068237] - io_uring: make io_kiocb_to_cmd() typesafe (Jeff Moyer) [2068237] - io_uring: consistently make use of io_notif_to_data() (Jeff Moyer) [2068237] - io_uring: fix error handling for io_uring_cmd (Jeff Moyer) [2068237] - io_uring: fix io_recvmsg_prep_multishot sparse warnings (Jeff Moyer) [2068237] - io_uring/net: send retry for zerocopy (Jeff Moyer) [2068237] - io_uring: mem-account pbuf buckets (Jeff Moyer) [2068237] - audit, io_uring, io-wq: Fix memory leak in io_sq_thread() and io_wqe_worker() (Jeff Moyer) [2068237] - io_uring: pass correct parameters to io_req_set_res (Jeff Moyer) [2068237] - io_uring: notification completion optimisation (Jeff Moyer) [2068237] - io_uring: export req alloc from core (Jeff Moyer) [2068237] - io_uring/net: use unsigned for flags (Jeff Moyer) [2068237] - io_uring/net: make page accounting more consistent (Jeff Moyer) [2068237] - io_uring/net: checks errors of zc mem accounting (Jeff Moyer) [2068237] - io_uring/net: improve io_get_notif_slot types (Jeff Moyer) [2068237] - selftests/io_uring: test zerocopy send (Jeff Moyer) [2068237] - io_uring: enable managed frags with register buffers (Jeff Moyer) [2068237] - io_uring: add zc notification flush requests (Jeff Moyer) [2068237] - io_uring: rename IORING_OP_FILES_UPDATE (Jeff Moyer) [2068237] - io_uring: flush notifiers after sendzc (Jeff Moyer) [2068237] - io_uring: sendzc with fixed buffers (Jeff Moyer) [2068237] - io_uring: allow to pass addr into sendzc (Jeff Moyer) [2068237] - io_uring: account locked pages for non-fixed zc (Jeff Moyer) [2068237] - io_uring: wire send zc request type (Jeff Moyer) [2068237] - io_uring: add notification slot registration (Jeff Moyer) [2068237] - io_uring: add rsrc referencing for notifiers (Jeff Moyer) [2068237] - io_uring: complete notifiers in tw (Jeff Moyer) [2068237] - io_uring: cache struct io_notif (Jeff Moyer) [2068237] - io_uring: add zc notification infrastructure (Jeff Moyer) [2068237] - io_uring: export io_put_task() (Jeff Moyer) [2068237] - io_uring: initialise msghdr::msg_ubuf (Jeff Moyer) [2068237] - net: fix uninitialised msghdr->sg_from_iter (Jeff Moyer) [2068237] - tcp: support externally provided ubufs (Jeff Moyer) [2068237] - tcp: take care of mixed splice()/sendmsg(MSG_ZEROCOPY) case (Jeff Moyer) [2068237] - tcp: fix mem under-charging with zerocopy sendmsg() (Jeff Moyer) [2068237] - ipv6/udp: support externally provided ubufs (Jeff Moyer) [2068237] - ipv4/udp: support externally provided ubufs (Jeff Moyer) [2068237] - net: introduce managed frags infrastructure (Jeff Moyer) [2068237] - net: Allow custom iter handler in msghdr (Jeff Moyer) [2068237] - skbuff: carry external ubuf_info in msghdr (Jeff Moyer) [2068237] - skbuff: add SKBFL_DONT_ORPHAN flag (Jeff Moyer) [2068237] - net: avoid double accounting for pure zerocopy skbs (Jeff Moyer) [2068237] - tcp: rename sk_wmem_free_skb (Jeff Moyer) [2068237] - skbuff: don't mix ubuf_info from different sources (Jeff Moyer) [2068237] - ipv6: avoid partial copy for zc (Jeff Moyer) [2068237] - ipv4: avoid partial copy for zc (Jeff Moyer) [2068237] - ipv6: refactor ip6_finish_output2() (Jeff Moyer) [2068237] - ipv6: help __ip6_finish_output() inlining (Jeff Moyer) [2068237] - net: inline dev_queue_xmit() (Jeff Moyer) [2068237] - net: inline skb_zerocopy_iter_dgram (Jeff Moyer) [2068237] - net: inline sock_alloc_send_skb (Jeff Moyer) [2068237] - xfs: Add async buffered write support (Jeff Moyer) [2068237] - xfs: Specify lockmode when calling xfs_ilock_for_iomap() (Jeff Moyer) [2068237] - xfs: Use generic_file_open() (Jeff Moyer) [2068237] - xfs: convert inode lock flags to unsigned. (Jeff Moyer) [2068237] - io_uring: Add tracepoint for short writes (Jeff Moyer) [2068237] - io_uring: fix issue with io_write() not always undoing sb_start_write() (Jeff Moyer) [2068237] - io_uring: Add support for async buffered writes (Jeff Moyer) [2068237] - fs: Add async write file modification handling. (Jeff Moyer) [2068237] - fs: Split off inode_needs_update_time and __file_update_time (Jeff Moyer) [2068237] - fs: __file_remove_privs(): restore call to inode_has_no_xattr() (Jeff Moyer) [2068237] - fs: add __remove_file_privs() with flags parameter (Jeff Moyer) [2068237] - fs: add a FMODE_BUF_WASYNC flags for f_mode (Jeff Moyer) [2068237] - iomap: Return -EAGAIN from iomap_write_iter() (Jeff Moyer) [2068237] - iomap: Add async buffered write support (Jeff Moyer) [2068237] - iomap: Add flags parameter to iomap_page_create() (Jeff Moyer) [2068237] - io_uring: ensure REQ_F_ISREG is set async offload (Jeff Moyer) [2068237] - net: fix compat pointer in get_compat_msghdr() (Jeff Moyer) [2068237] - io_uring: fix types in io_recvmsg_multishot_overflow (Jeff Moyer) [2068237] - io_uring: support multishot in recvmsg (Jeff Moyer) [2068237] - net: copy from user before calling __get_compat_msghdr (Jeff Moyer) [2068237] - net: copy from user before calling __copy_msghdr (Jeff Moyer) [2068237] - io_uring: Don't require reinitable percpu_ref (Jeff Moyer) [2068237] - io_uring: Use atomic_long_try_cmpxchg in __io_account_mem (Jeff Moyer) [2068237] - io_uring: support 0 length iov in buffer select in compat (Jeff Moyer) [2068237] - io_uring: fix multishot ending when not polled (Jeff Moyer) [2068237] - io_uring: add netmsg cache (Jeff Moyer) [2068237] - io_uring: impose max limit on apoll cache (Jeff Moyer) [2068237] - io_uring: add abstraction around apoll cache (Jeff Moyer) [2068237] - io_uring: move apoll cache to poll.c (Jeff Moyer) [2068237] - io_uring: consolidate hash_locked io-wq handling (Jeff Moyer) [2068237] - io_uring: clear REQ_F_HASH_LOCKED on hash removal (Jeff Moyer) [2068237] - io_uring: don't race double poll setting REQ_F_ASYNC_DATA (Jeff Moyer) [2068237] - io_uring: don't miss setting REQ_F_DOUBLE_POLL (Jeff Moyer) [2068237] - io_uring: disable multishot recvmsg (Jeff Moyer) [2068237] - io_uring: only trace one of complete or overflow (Jeff Moyer) [2068237] - io_uring: fix io_uring_cqe_overflow trace format (Jeff Moyer) [2068237] - io_uring: multishot recv (Jeff Moyer) [2068237] - io_uring: fix multishot accept ordering (Jeff Moyer) [2068237] - io_uring: fix multishot poll on overflow (Jeff Moyer) [2068237] - io_uring: add allow_overflow to io_post_aux_cqe (Jeff Moyer) [2068237] - io_uring: add IOU_STOP_MULTISHOT return code (Jeff Moyer) [2068237] - io_uring: clean up io_poll_check_events return values (Jeff Moyer) [2068237] - io_uring: recycle buffers on error (Jeff Moyer) [2068237] - io_uring: allow iov_len = 0 for recvmsg and buffer select (Jeff Moyer) [2068237] - io_uring: restore bgid in io_put_kbuf (Jeff Moyer) [2068237] - io_uring: allow 0 length for buffer select (Jeff Moyer) [2068237] - io_uring: let to set a range for file slot allocation (Jeff Moyer) [2068237] - io_uring: add support for passing fixed file descriptors (Jeff Moyer) [2068237] - io_uring: split out fixed file installation and removal (Jeff Moyer) [2068237] - io_uring: replace zero-length array with flexible-array member (Jeff Moyer) [2068237] - io_uring: remove ctx->refs pinning on enter (Jeff Moyer) [2068237] - io_uring: don't check file ops of registered rings (Jeff Moyer) [2068237] - io_uring: remove extra TIF_NOTIFY_SIGNAL check (Jeff Moyer) [2068237] - io_uring: fuse fallback_node and normal tw node (Jeff Moyer) [2068237] - io_uring: improve io_fail_links() (Jeff Moyer) [2068237] - io_uring: move POLLFREE handling to separate function (Jeff Moyer) [2068237] - io_uring: kbuf: inline io_kbuf_recycle_ring() (Jeff Moyer) [2068237] - io_uring: optimise submission side poll_refs (Jeff Moyer) [2068237] - io_uring: refactor poll arm error handling (Jeff Moyer) [2068237] - io_uring: change arm poll return values (Jeff Moyer) [2068237] - io_uring: add a helper for apoll alloc (Jeff Moyer) [2068237] - io_uring: remove events caching atavisms (Jeff Moyer) [2068237] - io_uring: clean poll ->private flagging (Jeff Moyer) [2068237] - io_uring: add sync cancelation API through io_uring_register() (Jeff Moyer) [2068237] - io_uring: add IORING_ASYNC_CANCEL_FD_FIXED cancel flag (Jeff Moyer) [2068237] - io_uring: have cancelation API accept io_uring_task directly (Jeff Moyer) [2068237] - io_uring: kbuf: kill __io_kbuf_recycle() (Jeff Moyer) [2068237] - io_uring: trace task_work_run (Jeff Moyer) [2068237] - io_uring: add trace event for running task work (Jeff Moyer) [2068237] - io_uring: batch task_work (Jeff Moyer) [2068237] - io_uring: introduce llist helpers (Jeff Moyer) [2068237] - io_uring: lockless task list (Jeff Moyer) [2068237] - io_uring: remove __io_req_task_work_add (Jeff Moyer) [2068237] - io_uring: remove priority tw list optimisation (Jeff Moyer) [2068237] - io_uring: dedup io_run_task_work (Jeff Moyer) [2068237] - io_uring: move list helpers to a separate file (Jeff Moyer) [2068237] - io_uring: improve io_run_task_work() (Jeff Moyer) [2068237] - io_uring: optimize io_uring_task layout (Jeff Moyer) [2068237] - io_uring: add a warn_once for poll_find (Jeff Moyer) [2068237] - io_uring: consistent naming for inline completion (Jeff Moyer) [2068237] - io_uring: move io_import_fixed() (Jeff Moyer) [2068237] - io_uring: opcode independent fixed buf import (Jeff Moyer) [2068237] - io_uring: add io_commit_cqring_flush() (Jeff Moyer) [2068237] - io_uring: introduce locking helpers for CQE posting (Jeff Moyer) [2068237] - io_uring: hide eventfd assumptions in eventfd paths (Jeff Moyer) [2068237] - io_uring: fix io_poll_remove_all clang warnings (Jeff Moyer) [2068237] - io_uring: improve task exit timeout cancellations (Jeff Moyer) [2068237] - io_uring: fix multi ctx cancellation (Jeff Moyer) [2068237] - io_uring: remove ->flush_cqes optimisation (Jeff Moyer) [2068237] - io_uring: move io_eventfd_signal() (Jeff Moyer) [2068237] - io_uring: reshuffle io_uring/io_uring.h (Jeff Moyer) [2068237] - io_uring: remove extra io_commit_cqring() (Jeff Moyer) [2068237] - io_uring: move a few private types to local headers (Jeff Moyer) [2068237] - io_uring: clean up tracing events (Jeff Moyer) [2068237] - io_uring: make io_uring_types.h public (Jeff Moyer) [2068237] - io_uring: kill extra io_uring_types.h includes (Jeff Moyer) [2068237] - io_uring: change ->cqe_cached invariant for CQE32 (Jeff Moyer) [2068237] - io_uring: deduplicate io_get_cqe() calls (Jeff Moyer) [2068237] - io_uring: deduplicate __io_fill_cqe_req tracing (Jeff Moyer) [2068237] - io_uring: introduce io_req_cqe_overflow() (Jeff Moyer) [2068237] - io_uring: don't inline __io_get_cqe() (Jeff Moyer) [2068237] - io_uring: don't expose io_fill_cqe_aux() (Jeff Moyer) [2068237] - io_uring: kbuf: add comments for some tricky code (Jeff Moyer) [2068237] - io_uring: mutex locked poll hashing (Jeff Moyer) [2068237] - io_uring: propagate locking state to poll cancel (Jeff Moyer) [2068237] - io_uring: introduce a struct for hash table (Jeff Moyer) [2068237] - io_uring: pass hash table into poll_find (Jeff Moyer) [2068237] - io_uring: add IORING_SETUP_SINGLE_ISSUER (Jeff Moyer) [2068237] - io_uring: use state completion infra for poll reqs (Jeff Moyer) [2068237] - io_uring: clean up io_ring_ctx_alloc (Jeff Moyer) [2068237] - io_uring: limit the number of cancellation buckets (Jeff Moyer) [2068237] - io_uring: clean up io_try_cancel (Jeff Moyer) [2068237] - io_uring: pass poll_find lock back (Jeff Moyer) [2068237] - io_uring: switch cancel_hash to use per entry spinlock (Jeff Moyer) [2068237] - io_uring: poll: remove unnecessary req->ref set (Jeff Moyer) [2068237] - io_uring: don't inline io_put_kbuf (Jeff Moyer) [2068237] - io_uring: refactor io_req_task_complete() (Jeff Moyer) [2068237] - io_uring: kill REQ_F_COMPLETE_INLINE (Jeff Moyer) [2068237] - io_uring: rw: delegate sync completions to core io_uring (Jeff Moyer) [2068237] - io_uring: remove unused IO_REQ_CACHE_SIZE defined (Jeff Moyer) [2068237] - io_uring: don't set REQ_F_COMPLETE_INLINE in tw (Jeff Moyer) [2068237] - io_uring: remove check_cq checking from hot paths (Jeff Moyer) [2068237] - io_uring: never defer-complete multi-apoll (Jeff Moyer) [2068237] - io_uring: inline ->registered_rings (Jeff Moyer) [2068237] - io_uring: explain io_wq_work::cancel_seq placement (Jeff Moyer) [2068237] - io_uring: move small helpers to headers (Jeff Moyer) [2068237] - io_uring: refactor ctx slow data placement (Jeff Moyer) [2068237] - io_uring: better caching for ctx timeout fields (Jeff Moyer) [2068237] - io_uring: move defer_list to slow data (Jeff Moyer) [2068237] - io_uring: make reg buf init consistent (Jeff Moyer) [2068237] - io_uring: deprecate epoll_ctl support (Jeff Moyer) [2068237] - io_uring: add support for level triggered poll (Jeff Moyer) [2068237] - io_uring: move opcode table to opdef.c (Jeff Moyer) [2068237] - io_uring: move read/write related opcodes to its own file (Jeff Moyer) [2068237] - io_uring: move remaining file table manipulation to filetable.c (Jeff Moyer) [2068237] - io_uring: move rsrc related data, core, and commands (Jeff Moyer) [2068237] - io_uring: split provided buffers handling into its own file (Jeff Moyer) [2068237] - io_uring: move cancelation into its own file (Jeff Moyer) [2068237] - io_uring: move poll handling into its own file (Jeff Moyer) [2068237] - io_uring: add opcode name to io_op_defs (Jeff Moyer) [2068237] - io_uring: include and forward-declaration sanitation (Jeff Moyer) [2068237] - io_uring: move io_uring_task (tctx) helpers into its own file (Jeff Moyer) [2068237] - io_uring: move fdinfo helpers to its own file (Jeff Moyer) [2068237] - io_uring: use io_is_uring_fops() consistently (Jeff Moyer) [2068237] - io_uring: move SQPOLL related handling into its own file (Jeff Moyer) [2068237] - io_uring: move timeout opcodes and handling into its own file (Jeff Moyer) [2068237] - io_uring: move our reference counting into a header (Jeff Moyer) [2068237] - io_uring: move msg_ring into its own file (Jeff Moyer) [2068237] - io_uring: split network related opcodes into its own file (Jeff Moyer) [2068237] - io_uring: move statx handling to its own file (Jeff Moyer) [2068237] - io_uring: move epoll handler to its own file (Jeff Moyer) [2068237] - io_uring: add a dummy -EOPNOTSUPP prep handler (Jeff Moyer) [2068237] - io_uring: move uring_cmd handling to its own file (Jeff Moyer) [2068237] - io_uring: split out open/close operations (Jeff Moyer) [2068237] - io_uring: separate out file table handling code (Jeff Moyer) [2068237] - io_uring: split out fadvise/madvise operations (Jeff Moyer) [2068237] - io_uring: split out fs related sync/fallocate functions (Jeff Moyer) [2068237] - io_uring: split out splice related operations (Jeff Moyer) [2068237] - io_uring: split out filesystem related operations (Jeff Moyer) [2068237] - io_uring: move nop into its own file (Jeff Moyer) [2068237] - io_uring: move xattr related opcodes to its own file (Jeff Moyer) [2068237] - io_uring: handle completions in the core (Jeff Moyer) [2068237] - io_uring: set completion results upfront (Jeff Moyer) [2068237] - io_uring: add io_uring_types.h (Jeff Moyer) [2068237] - io_uring: define a request type cleanup handler (Jeff Moyer) [2068237] - io_uring: unify struct io_symlink and io_hardlink (Jeff Moyer) [2068237] - io_uring: convert iouring_cmd to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert xattr to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert rsrc_update to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert msg and nop to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert splice to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert epoll to io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert file system request types to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert madvise/fadvise to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert open/close path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert timeout path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert cancel path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert the sync and fallocate paths to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert net related opcodes to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: remove recvmsg knowledge from io_arm_poll_handler() (Jeff Moyer) [2068237] - io_uring: convert poll_update path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert poll path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: convert read/write path to use io_cmd_type (Jeff Moyer) [2068237] - io_uring: add generic command payload type to struct io_kiocb (Jeff Moyer) [2068237] - io_uring: move req async preparation into opcode handler (Jeff Moyer) [2068237] - io_uring: move to separate directory (Jeff Moyer) [2068237] - io_uring: define a 'prep' and 'issue' handler for each opcode (Jeff Moyer) [2068237] - fs: export rw_verify_area() (Jeff Moyer) [2068237] - thermal/drivers/imx8mm: Add hwmon support (Steve Best) [2185128] - thermal/drivers/imx: Add support for loading calibration data from OCOTP (Steve Best) [2185128] - thermal/drivers/imx8mm_thermal: Validate temperature range (Steve Best) [2185128] - thermal/drivers/imx8mm_thermal: Use GENMASK() when appropriate (Steve Best) [2185128] - tools/power turbostat: update turbostat.8 (David Arcari) [2185900] - tools/power turbostat: version 2023.03.17 (David Arcari) [2185900] - tools/power turbostat: fix decoding of HWP_STATUS (David Arcari) [2185900] - tools/power turbostat: Introduce support for EMR (David Arcari) [2185900] - tools/power turbostat: remove stray newlines from warn/warnx strings (David Arcari) [2185900] - tools/power turbostat: Fix /dev/cpu_dma_latency warnings (David Arcari) [2185900] - tools/power turbostat: Provide better debug messages for failed capabilities accesses (David Arcari) [2185900] - tools/power turbostat: update dump of SECONDARY_TURBO_RATIO_LIMIT (David Arcari) [2185900] - tools/power turbostat: version 2022.10.04 (David Arcari) [2185900] - tools/power turbostat: Use standard Energy Unit for SPR Dram RAPL domain (David Arcari) [2185900] - tools/power turbostat: Do not dump TRL if turbo is not supported (David Arcari) [2185900] - cpufreq: intel_pstate: remove MODULE_LICENSE in non-modules (David Arcari) [2185879] - cpufreq: intel_pstate: Adjust balance_performance EPP for Sapphire Rapids (David Arcari) [2185879] - cpufreq: intel_pstate: Drop ACPI _PSS states table patching (David Arcari) [2185879] - cpufreq: intel_pstate: Allow EPP 0x80 setting by the firmware (David Arcari) [2185879] - cpufreq: intel_pstate: Add Tigerlake support in no-HWP mode (David Arcari) [2185879] - i2c: imx-lpi2c: check only for enabled interrupt flags (Steve Best) [2184917] - i2c: imx-lpi2c: clean rx/tx buffers upon new message (Steve Best) [2184917] - i2c: imx-lpi2c: use bulk clk API (Steve Best) [2184917] - i2c: move drivers from strlcpy to strscpy (Steve Best) [2184917] - i2c: brcmstb: Use dev_name() for adapter name (Steve Best) [2184917] Resolves: rhbz#2068237, rhbz#2122229, rhbz#2162219, rhbz#2170014, rhbz#2184917, rhbz#2185128, rhbz#2185130, rhbz#2185879, rhbz#2185900, rhbz#2186163, rhbz#2189406, rhbz#2189867, rhbz#2190323, rhbz#2192404, rhbz#2192546 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-17 10:11:09 +00:00
CONFIG_IO_URING=y
CONFIG_IP6_NF_FILTER=m
CONFIG_IP6_NF_IPTABLES=m
CONFIG_IP6_NF_MANGLE=m
CONFIG_IP6_NF_MATCH_AH=m
CONFIG_IP6_NF_MATCH_EUI64=m
CONFIG_IP6_NF_MATCH_FRAG=m
CONFIG_IP6_NF_MATCH_HL=m
CONFIG_IP6_NF_MATCH_IPV6HEADER=m
CONFIG_IP6_NF_MATCH_MH=m
CONFIG_IP6_NF_MATCH_OPTS=m
CONFIG_IP6_NF_MATCH_RPFILTER=m
CONFIG_IP6_NF_MATCH_RT=m
# CONFIG_IP6_NF_MATCH_SRH is not set
CONFIG_IP6_NF_NAT=m
CONFIG_IP6_NF_RAW=m
CONFIG_IP6_NF_SECURITY=m
# CONFIG_IP6_NF_TARGET_HL is not set
CONFIG_IP6_NF_TARGET_MASQUERADE=m
CONFIG_IP6_NF_TARGET_NPT=m
CONFIG_IP6_NF_TARGET_REJECT=m
CONFIG_IP6_NF_TARGET_SYNPROXY=m
# CONFIG_IPACK_BUS is not set
CONFIG_IP_ADVANCED_ROUTER=y
CONFIG_IPC_NS=y
# CONFIG_IP_DCCP is not set
CONFIG_IP_FIB_TRIE_STATS=y
# CONFIG_IPMB_DEVICE_INTERFACE is not set
CONFIG_IPMI_DEVICE_INTERFACE=m
CONFIG_IPMI_HANDLER=m
kernel-5.14.0-84.el9 * Tue May 03 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-84.el9] - sctp: use the correct skb for security_sctp_assoc_request (Ondrej Mosnacek) [2078856] - security: implement sctp_assoc_established hook in selinux (Ondrej Mosnacek) [2078856] - security: add sctp_assoc_established hook (Ondrej Mosnacek) [2078856] - security: call security_sctp_assoc_request in sctp_sf_do_5_1D_ce (Ondrej Mosnacek) [2078856] - security: pass asoc to sctp_assoc_request and sctp_sk_clone (Ondrej Mosnacek) [2078856] - string.h: Introduce memset_startat() for wiping trailing members and padding (Íñigo Huguet) [2077839] - string.h: Introduce memset_after() for wiping trailing members/padding (Íñigo Huguet) [2077839] - net_tstamp: define new flag HWTSTAMP_FLAG_BONDED_PHC_INDEX (Petr Oros) [2073458] - net_tstamp: add new flag HWTSTAMP_FLAG_BONDED_PHC_INDEX (Petr Oros) [2073458] - bnx2x: truncate value to original sizing (Manish Chopra) [2040283] - bnx2x: use correct format characters (Manish Chopra) [2040283] - bnx2x: fix built-in kernel driver load failure (Manish Chopra) [2040283] - bnx2x: fix driver load from initrd (Manish Chopra) [2040283] - bnx2x: Replace one-element array with flexible-array member (Manish Chopra) [2040283] - bnx2x: Remove useless DMA-32 fallback configuration (Manish Chopra) [2040283] - bnx2x: Invalidate fastpath HSI version for VFs (Manish Chopra) [2040283] - bnx2x: Utilize firmware 7.13.21.0 (Manish Chopra) [2040283] - bnx2x: constify static inline stub for dev_addr (Manish Chopra) [2040283] - net: bnx2x: fix variable dereferenced before check (Manish Chopra) [2040283] - ethernet: bnx2x: use eth_hw_addr_set() (Manish Chopra) [2040283] - cacheflush.h: Add forward declaration for struct folio (Aristeu Rozanski) [2019485] - mm/swap: fix confusing comment in folio_mark_accessed (Aristeu Rozanski) [2019485] - mm: page->mapping folio->mapping should have the same offset (Aristeu Rozanski) [2019485] - mm: delete unsafe BUG from page_cache_add_speculative() (Aristeu Rozanski) [2019485] - mm: Add functions to zero portions of a folio (Aristeu Rozanski) [2019485] - fs: Rename AS_THP_SUPPORT and mapping_thp_support (Aristeu Rozanski) [2019485] - fs: Remove FS_THP_SUPPORT (Aristeu Rozanski) [2019485] - mm: Remove folio_test_single (Aristeu Rozanski) [2019485] - mm: Rename folio_test_multi to folio_test_large (Aristeu Rozanski) [2019485] - Add linux/cacheflush.h (Aristeu Rozanski) [2019485] - folio: Add a function to get the host inode for a folio (Aristeu Rozanski) [2019485] - folio: Add a function to change the private data attached to a folio (Aristeu Rozanski) [2019485] - mm: fix mismerge of folio page flag manipulators (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_write_one (Aristeu Rozanski) [2019485] - mm/filemap: Add FGP_STABLE (Aristeu Rozanski) [2019485] - mm/filemap: Add filemap_get_folio (Aristeu Rozanski) [2019485] - mm/filemap: Convert mapping_get_entry to return a folio (Aristeu Rozanski) [2019485] - mm/filemap: Add filemap_add_folio() (Aristeu Rozanski) [2019485] - mm/filemap: Add filemap_alloc_folio (Aristeu Rozanski) [2019485] - mm/page_alloc: Add folio allocation functions (Aristeu Rozanski) [2019485] - mm/lru: Add folio_add_lru() (Aristeu Rozanski) [2019485] - mm/lru: Convert __pagevec_lru_add_fn to take a folio (Aristeu Rozanski) [2019485] - mm: Add folio_evictable() (Aristeu Rozanski) [2019485] - mm/workingset: Convert workingset_refault() to take a folio (Aristeu Rozanski) [2019485] - mm/filemap: Add readahead_folio() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_mkwrite_check_truncate() (Aristeu Rozanski) [2019485] - mm/filemap: Add i_blocks_per_folio() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_redirty_for_writepage() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_account_redirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_clear_dirty_for_io() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_cancel_dirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_account_cleaned() (Aristeu Rozanski) [2019485] - mm/writeback: Add filemap_dirty_folio() (Aristeu Rozanski) [2019485] - mm/writeback: Convert tracing writeback_page_template to folios (Aristeu Rozanski) [2019485] - mm/writeback: Add __folio_mark_dirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_mark_dirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_start_writeback() (Aristeu Rozanski) [2019485] - mm/writeback: Add __folio_end_writeback() (Aristeu Rozanski) [2019485] - mm/writeback: Change __wb_writeout_inc() to __wb_writeout_add() (Aristeu Rozanski) [2019485] - flex_proportions: Allow N events instead of 1 (Aristeu Rozanski) [2019485] - mm/writeback: Rename __add_wb_stat() to wb_stat_mod() (Aristeu Rozanski) [2019485] - mm/migrate: Add folio_migrate_copy() (Aristeu Rozanski) [2019485] - mm/migrate: Add folio_migrate_flags() (Aristeu Rozanski) [2019485] - mm/migrate: Add folio_migrate_mapping() (Aristeu Rozanski) [2019485] - mm/rmap: Add folio_mkclean() (Aristeu Rozanski) [2019485] - mm/swap: Add folio_mark_accessed() (Aristeu Rozanski) [2019485] - mm/swap: Add folio_activate() (Aristeu Rozanski) [2019485] - mm: Add folio_young and folio_idle (Aristeu Rozanski) [2019485] - mm: Add arch_make_folio_accessible() (Aristeu Rozanski) [2019485] - mm: Add kmap_local_folio() (Aristeu Rozanski) [2019485] - mm: Add flush_dcache_folio() (Aristeu Rozanski) [2019485] - mm: Add folio_raw_mapping() (Aristeu Rozanski) [2019485] - mm: Add folio_pfn() (Aristeu Rozanski) [2019485] - mm/workingset: Convert workingset_activation to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_lruvec_relock_irq() and folio_lruvec_relock_irqsave() (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_lruvec_lock() and similar functions (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_lruvec() (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_move_account() to use a folio (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_memcg_lock() and folio_memcg_unlock() (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_track_foreign_dirty_slowpath() to folio (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_migrate() to take folios (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_uncharge() to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Convert uncharge_page() to uncharge_folio() (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_charge() to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Convert commit_charge() to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_memcg() and related functions (Aristeu Rozanski) [2019485] - mm/memcg: Convert memcg_check_events to take a node ID (Aristeu Rozanski) [2019485] - mm/memcg: Remove soft_limit_tree_node() (Aristeu Rozanski) [2019485] - mm/memcg: Use the node id in mem_cgroup_update_tree() (Aristeu Rozanski) [2019485] - mm/memcg: Remove 'page' parameter to mem_cgroup_charge_statistics() (Aristeu Rozanski) [2019485] - mm: Add folio_nid() (Aristeu Rozanski) [2019485] - mm: Add folio_mapped() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio private_2 functions (Aristeu Rozanski) [2019485] - mm/filemap: Convert page wait queues to be folios (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_wake_bit() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_wait_bit() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_wait_stable() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_wait_writeback() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_end_writeback() (Aristeu Rozanski) [2019485] - mm/swap: Add folio_rotate_reclaimable() (Aristeu Rozanski) [2019485] - mm/filemap: Add __folio_lock_or_retry() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_wait_locked() (Aristeu Rozanski) [2019485] - mm/filemap: Add __folio_lock_async() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_lock_killable() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_lock() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_unlock() (Aristeu Rozanski) [2019485] - mm/util: Add folio_mapping() and folio_file_mapping() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_pos() and folio_file_pos() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_next_index() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_index(), folio_file_page() and folio_contains() (Aristeu Rozanski) [2019485] - mm: Handle per-folio private data (Aristeu Rozanski) [2019485] - mm/lru: Add folio LRU functions (Aristeu Rozanski) [2019485] - mm: Add folio flag manipulation functions (Aristeu Rozanski) [2019485] - mm: Add folio_try_get_rcu() (Aristeu Rozanski) [2019485] - mm: Add folio_get() (Aristeu Rozanski) [2019485] - mm: Add folio_put() (Aristeu Rozanski) [2019485] - mm: Add folio reference count functions (Aristeu Rozanski) [2019485] - mm/debug: Add VM_BUG_ON_FOLIO() and VM_WARN_ON_ONCE_FOLIO() (Aristeu Rozanski) [2019485] - mm/vmstat: Add functions to account folio statistics (Aristeu Rozanski) [2019485] - mm: Add folio_pgdat(), folio_zone() and folio_zonenum() (Aristeu Rozanski) [2019485] - mm: Introduce struct folio (Aristeu Rozanski) [2019485] - mm: Convert get_page_unless_zero() to return bool (Aristeu Rozanski) [2019485] - scripts: check_extable: fix typo in user error message (Aristeu Rozanski) [2019485] - PCI: vmd: Prevent recursive locking on interrupt allocation (Myron Stowe) [2066898] - PCI: Mark all AMD Navi10 and Navi14 GPU ATS as broken (Myron Stowe) [2066898] - PCI: mvebu: Fix device enumeration regression (Myron Stowe) [2066898] - PCI: kirin: Add dev struct for of_device_get_match_data() (Myron Stowe) [2066898] - PCI: j721e: Initialize pcie->cdns_pcie before using it (Myron Stowe) [2066898] - PCI/sysfs: Find shadow ROM before static attribute initialization (Myron Stowe) [2066898] - x86/gpu: Reserve stolen memory for first integrated Intel GPU (Myron Stowe) [2066898] - PCI: spear13xx: Avoid invalid address space conversions (Myron Stowe) [2066898] - PCI: hisi: Avoid invalid address space conversions (Myron Stowe) [2066898] - PCI: xilinx-cpm: Rename xilinx_cpm_pcie_port to xilinx_cpm_pcie (Myron Stowe) [2066898] - PCI: xilinx: Rename xilinx_pcie_port to xilinx_pcie (Myron Stowe) [2066898] - PCI: xgene: Rename xgene_pcie_port to xgene_pcie (Myron Stowe) [2066898] - PCI: uniphier: Rename uniphier_pcie_priv to uniphier_pcie (Myron Stowe) [2066898] - PCI: tegra194: Rename tegra_pcie_dw to tegra194_pcie (Myron Stowe) [2066898] - PCI: rcar-gen2: Rename rcar_pci_priv to rcar_pci (Myron Stowe) [2066898] - PCI: microchip: Rename mc_port to mc_pcie (Myron Stowe) [2066898] - PCI: mediatek-gen3: Rename mtk_pcie_port to mtk_gen3_pcie (Myron Stowe) [2066898] - PCI: ls-gen4: Rename ls_pcie_g4 to ls_g4_pcie (Myron Stowe) [2066898] - PCI: iproc: Rename iproc_pcie_pltfm_ to iproc_pltfm_pcie_ (Myron Stowe) [2066898] - PCI: iproc: Rename iproc_pcie_bcma_ to iproc_bcma_pcie_ (Myron Stowe) [2066898] - PCI: intel-gw: Rename intel_pcie_port to intel_pcie (Myron Stowe) [2066898] - PCI: j721e: Drop redundant struct device * (Myron Stowe) [2066898] - PCI: j721e: Drop pointless of_device_get_match_data() cast (Myron Stowe) [2066898] - PCI: kirin: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: keystone: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: dra7xx: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: designware-plat: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: cadence: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: artpec6: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: altera: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: xgene: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: hv: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: keystone: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: cpqphp: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI/PME: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI/DPC: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI: pciehp: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI: vmd: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI/ERR: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI: rockchip-host: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: rcar-host: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: altera: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: mvebu: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: aardvark: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: kirin: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: histb: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: exynos: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: mediatek: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: iproc: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: thunder: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: Use PCI_SET_ERROR_RESPONSE() for disconnected devices (Myron Stowe) [2066898] - PCI: Set error response data when config read fails (Myron Stowe) [2066898] - PCI: Add PCI_ERROR_RESPONSE and related definitions (Myron Stowe) [2066898] - misc: pci_endpoint_test: Terminate statement with semicolon (Myron Stowe) [2066898] - PCI: Correct misspelled words (Myron Stowe) [2066898] - PCI: Change capability register offsets to hex (Myron Stowe) [2066898] - PCI: Sort Intel Device IDs by value (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Set PCI_STATUS_CAP_LIST for PCIe device (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Correctly set PCIe capabilities (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Fix definitions of reserved bits (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Properly mark reserved PCIe bits in PCI config space (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Make expansion ROM Base Address register read-only (Myron Stowe) [2066898] - PCI: xilinx-nwl: Simplify code and fix a memory leak (Myron Stowe) [2066898] - PCI: xgene: Fix IB window setup (Myron Stowe) [2066898] - PCI: xgene-msi: Use bitmap_zalloc() when applicable (Myron Stowe) [2066898] - PCI: vmd: Add DID 8086:A77F for all Intel Raptor Lake SKU's (Myron Stowe) [2066898] - PCI: vmd: Honor ACPI _OSC on PCIe features (Myron Stowe) [2066898] - PCI: vmd: Clean up domain before enumeration (Myron Stowe) [2066898] - PCI: qcom-ep: Constify static dw_pcie_ep_ops (Myron Stowe) [2066898] - PCI: qcom: Use __be16 type to store return value from cpu_to_be16() (Myron Stowe) [2066898] - PCI: mvebu: Add support for compiling driver as module (Myron Stowe) [2066898] - bus: mvebu-mbus: Export symbols for public API window functions (Myron Stowe) [2066898] - PCI: mvebu: Fix support for DEVCAP2, DEVCTL2 and LNKCTL2 registers on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix support for PCI_EXP_RTSTA on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix support for PCI_EXP_DEVCTL on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix support for PCI_BRIDGE_CTL_BUS_RESET on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix configuring secondary bus of PCIe Root Port via emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Set PCI Bridge Class Code to PCI Bridge (Myron Stowe) [2066898] - PCI: mvebu: Setup PCIe controller to Root Complex mode (Myron Stowe) [2066898] - PCI: mvebu: Propagate errors when updating PCI_IO_BASE and PCI_MEM_BASE registers (Myron Stowe) [2066898] - PCI: mvebu: Do not modify PCI IO type bits in conf_write (Myron Stowe) [2066898] - PCI: mvebu: Fix support for bus mastering and PCI_COMMAND on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Disallow mapping interrupts on emulated bridges (Myron Stowe) [2066898] - PCI: mvebu: Handle invalid size of read config request (Myron Stowe) [2066898] - PCI: mvebu: Check that PCI bridge specified in DT has function number zero (Myron Stowe) [2066898] - PCI: mvebu: Check for errors from pci_bridge_emul_init() call (Myron Stowe) [2066898] - PCI: mvebu: Check for valid ports (Myron Stowe) [2066898] - arm: ioremap: Remove unused ARM-specific function pci_ioremap_io() (Myron Stowe) [2066898] - arm: ioremap: Replace pci_ioremap_io() usage by pci_remap_iospace() (Myron Stowe) [2066898] - PCI: mvebu: Remove custom mvebu_pci_host_probe() function (Myron Stowe) [2066898] - PCI: mvebu: Replace pci_ioremap_io() usage by devm_pci_remap_iospace() (Myron Stowe) [2066898] - arm: ioremap: Implement standard PCI function pci_remap_iospace() (Myron Stowe) [2066898] - PCI: mt7621: Allow COMPILE_TEST for all arches (Myron Stowe) [2066898] - PCI: Let pcibios_root_bridge_prepare() access bridge->windows (Myron Stowe) [2066898] - PCI: mediatek-gen3: Disable DVFSRC voltage request (Myron Stowe) [2066898] - PCI: mediatek: Assert PERST# for 100ms for power and clock to stabilize (Myron Stowe) [2066898] - PCI: keystone: Use phandle argument from "ti,syscon-pcie-id"/"ti,syscon-pcie-mode" (Myron Stowe) [2066898] - dt-bindings: PCI: ti,am65: Fix "ti,syscon-pcie-id"/"ti,syscon-pcie-mode" to take argument (Myron Stowe) [2066898] - PCI: endpoint: Return -EINVAL when interrupts num is smaller than 1 (Myron Stowe) [2066898] - PCI: layerscape: Change to use the DWC common link-up check function (Myron Stowe) [2066898] - PCI: imx: Add the imx8mm pcie support (Myron Stowe) [2066898] - PCI: qcom-ep: Remove surplus dev_err() when using platform_get_irq_byname() (Myron Stowe) [2066898] - PCI: dwc: Do not remap invalid res (Myron Stowe) [2066898] - PCI: brcmstb: Do not turn off WOL regulators on suspend (Myron Stowe) [2066898] - PCI: brcmstb: Add control of subdevice voltage regulators (Myron Stowe) [2066898] - PCI: brcmstb: Add mechanism to turn on subdev regulators (Myron Stowe) [2066898] - PCI: brcmstb: Split brcm_pcie_setup() into two funcs (Myron Stowe) [2066898] - dt-bindings: PCI: Add bindings for Brcmstb EP voltage regulators (Myron Stowe) [2066898] - dt-bindings: PCI: Correct brcmstb interrupts, interrupt-map. (Myron Stowe) [2066898] - PCI: brcmstb: Fix function return value handling (Myron Stowe) [2066898] - PCI: brcmstb: Do not use __GENMASK (Myron Stowe) [2066898] - PCI: brcmstb: Declare 'used' as bitmap, not unsigned long (Myron Stowe) [2066898] - PCI: aardvark: Disable common PHY when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Disable link training when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Assert PERST# when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Fix memory leak in driver unbind (Myron Stowe) [2066898] - PCI: aardvark: Mask all interrupts when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Disable bus mastering when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Comment actions in driver remove method (Myron Stowe) [2066898] - PCI: aardvark: Clear all MSIs at setup (Myron Stowe) [2066898] - PCI: aardvark: Add support for DEVCAP2, DEVCTL2, LNKCAP2 and LNKCTL2 registers on emulated bridge (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Add definitions for missing capabilities registers (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Add description for class_revision field (Myron Stowe) [2066898] - PCI: Add function 1 DMA alias quirk for Marvell 88SE9125 SATA controller (Myron Stowe) [2066898] - PCI/switchtec: Declare local state_names[] as static (Myron Stowe) [2066898] - PCI/switchtec: Add Gen4 automotive device IDs (Myron Stowe) [2066898] - PCI: Work around Intel I210 ROM BAR overlap defect (Myron Stowe) [2066898] - PCI/P2PDMA: Use percpu_ref_tryget_live_rcu() inside RCU critical section (Myron Stowe) [2066898] - MAINTAINERS: Add Logan Gunthorpe as P2PDMA maintainer (Myron Stowe) [2066898] - via-agp: convert to generic power management (Myron Stowe) [2066898] - sis-agp: convert to generic power management (Myron Stowe) [2066898] - amd64-agp: convert to generic power management (Myron Stowe) [2066898] - PCI: pciehp: Use down_read/write_nested(reset_lock) to fix lockdep errors (Myron Stowe) [2066898] - PCI: ibmphp: Remove commented-out functions (Myron Stowe) [2066898] - PCI: pciehp: Fix infinite loop in IRQ handler upon power fault (Myron Stowe) [2066898] - x86/PCI: Remove initialization of static variables to false (Myron Stowe) [2066898] - PCI: Use DWORD accesses for LTR, L1 SS to avoid erratum (Myron Stowe) [2066898] - PCI/sysfs: Use default_groups in kobj_type for slot attrs (Myron Stowe) [2066898] - PCI: Convert pci_dev_present() stub to static inline (Myron Stowe) [2066898] - PCI: Use pci_find_vsec_capability() when looking for TBT devices (Myron Stowe) [2066898] - PCI/ASPM: Remove struct aspm_latency (Myron Stowe) [2066898] - PCI/ASPM: Stop caching device L0s, L1 acceptable exit latencies (Myron Stowe) [2066898] - PCI/ASPM: Stop caching link L0s, L1 exit latencies (Myron Stowe) [2066898] - PCI/ASPM: Move pci_function_0() upward (Myron Stowe) [2066898] - PCI: Add MSI masking quirk for Nvidia ION AHCI (Myron Stowe) [2066898] - PCI/MSI: Destroy sysfs before freeing entries (Myron Stowe) [2066898] - PCI/MSI: Deal with devices lying about their MSI mask capability (Myron Stowe) [2066898] - misc: pci_endpoint_test: Ensure relationship between miscdev and PCI (Myron Stowe) [2066898] - redhat/configs: Enable CONFIG_NFT_SYNPROXY (Phil Sutter) [2069735] - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065351] {CVE-2022-1015} - netfilter: nf_tables: initialize registers in nft_do_chain() (Phil Sutter) [2067964] {CVE-2022-1016} - netfilter: conntrack: Add and use nf_ct_set_auto_assign_helper_warned() (Phil Sutter) [2069114] - ipmi: initialize len variable (Tony Camuso) [2067267] - ipmi: kcs: aspeed: Remove old bindings support (Tony Camuso) [2067267] - ipmi:ipmb: Add the ability to have a separate slave and master device (Tony Camuso) [2067267] - ipmi:ipmi_ipmb: Unregister the SMI on remove (Tony Camuso) [2067267] - ipmi: kcs: aspeed: Add AST2600 compatible string (Tony Camuso) [2067267] - ipmi: ssif: replace strlcpy with strscpy (Tony Camuso) [2067267] - ipmi/watchdog: Constify ident (Tony Camuso) [2067267] - ipmi: Fix UAF when uninstall ipmi_si and ipmi_msghandler module (Tony Camuso) [2067267] - ipmi: fix initialization when workqueue allocation fails (Tony Camuso) [2067267] - ipmi: bail out if init_srcu_struct fails (Tony Camuso) [2067267] - ipmi: ssif: initialize ssif_info->client early (Tony Camuso) [2067267] - ipmi:ipmb: Fix unknown command response (Tony Camuso) [2067267] - ipmi: fix IPMI_SMI_MSG_TYPE_IPMB_DIRECT response length checking (Tony Camuso) [2067267] - ipmi: fix oob access due to uninit smi_msg type (Tony Camuso) [2067267] - ipmi: msghandler: Make symbol 'remove_work_wq' static (Tony Camuso) [2067267] - ipmi: Move remove_work to dedicated workqueue (Tony Camuso) [2067267] - ipmi: kcs_bmc: Fix a memory leak in the error handling path of 'kcs_bmc_serio_add_device()' (Tony Camuso) [2067267] - char: ipmi: replace snprintf in show functions with sysfs_emit (Tony Camuso) [2067267] - ipmi: ipmb: fix dependencies to eliminate build error (Tony Camuso) [2067267] - ipmi:ipmb: Add OF support (Tony Camuso) [2067267] - ipmi: bt: Add ast2600 compatible string (Tony Camuso) [2067267] - ipmi: bt-bmc: Use registers directly (Tony Camuso) [2067267] - ipmi: ipmb: Fix off-by-one size check on rcvlen (Tony Camuso) [2067267] - ipmi:ssif: Use depends on, not select, for I2C (Tony Camuso) [2067267] - ipmi:ipmb: Add initial support for IPMI over IPMB (Tony Camuso) [2067267] - ipmi: Add support for IPMB direct messages (Tony Camuso) [2067267] - config: add CONFIG_IPMI_IPMB (Tony Camuso) [2067267] - ipmi: Export ipmb_checksum() (Tony Camuso) [2067267] - ipmi: Check error code before processing BMC response (Tony Camuso) [2067267] - ipmi:devintf: Return a proper error when recv buffer too small (Tony Camuso) [2067267] - ipmi: Disable some operations during a panic (Tony Camuso) [2067267] - ipmi:watchdog: Set panic count to proper value on a panic (Tony Camuso) [2067267] - parisc: Make struct parisc_driver::remove() return void (Tony Camuso) [2067267] - char: ipmi: use DEVICE_ATTR helper macro (Tony Camuso) [2067267] - ipmi: rate limit ipmi smi_event failure message (Tony Camuso) [2067267] Resolves: rhbz#2078856, rhbz#2077839, rhbz#2073458, rhbz#2040283, rhbz#2019485, rhbz#2066898, rhbz#2069735, rhbz#2065351, rhbz#2067964, rhbz#2069114, rhbz#2067267 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-03 09:13:09 +00:00
CONFIG_IPMI_IPMB=m
# CONFIG_IPMI_PANIC_EVENT is not set
CONFIG_IPMI_POWERNV=m
CONFIG_IPMI_POWEROFF=m
CONFIG_IPMI_SI=m
CONFIG_IPMI_SSIF=m
CONFIG_IPMI_WATCHDOG=m
CONFIG_IP_MROUTE_MULTIPLE_TABLES=y
CONFIG_IP_MROUTE=y
CONFIG_IP_MULTICAST=y
CONFIG_IP_MULTIPLE_TABLES=y
CONFIG_IP_NF_ARPFILTER=m
CONFIG_IP_NF_ARP_MANGLE=m
CONFIG_IP_NF_ARPTABLES=m
CONFIG_IP_NF_FILTER=m
CONFIG_IP_NF_IPTABLES=m
CONFIG_IP_NF_MANGLE=m
CONFIG_IP_NF_MATCH_AH=m
CONFIG_IP_NF_MATCH_ECN=m
CONFIG_IP_NF_MATCH_RPFILTER=m
CONFIG_IP_NF_MATCH_TTL=m
CONFIG_IP_NF_NAT=m
CONFIG_IP_NF_RAW=m
CONFIG_IP_NF_SECURITY=m
# CONFIG_IP_NF_TARGET_CLUSTERIP is not set
CONFIG_IP_NF_TARGET_ECN=m
CONFIG_IP_NF_TARGET_MASQUERADE=m
CONFIG_IP_NF_TARGET_NETMAP=m
CONFIG_IP_NF_TARGET_REDIRECT=m
CONFIG_IP_NF_TARGET_REJECT=m
CONFIG_IP_NF_TARGET_SYNPROXY=m
CONFIG_IP_NF_TARGET_TTL=m
CONFIG_IP_PIMSM_V1=y
CONFIG_IP_PIMSM_V2=y
# CONFIG_IP_PNP is not set
CONFIG_IP_ROUTE_MULTIPATH=y
CONFIG_IP_ROUTE_VERBOSE=y
CONFIG_IP_SCTP=m
CONFIG_IP_SET_BITMAP_IP=m
CONFIG_IP_SET_BITMAP_IPMAC=m
CONFIG_IP_SET_BITMAP_PORT=m
CONFIG_IP_SET_HASH_IP=m
CONFIG_IP_SET_HASH_IPMAC=m
CONFIG_IP_SET_HASH_IPMARK=m
CONFIG_IP_SET_HASH_IPPORTIP=m
CONFIG_IP_SET_HASH_IPPORT=m
CONFIG_IP_SET_HASH_IPPORTNET=m
CONFIG_IP_SET_HASH_MAC=m
CONFIG_IP_SET_HASH_NETIFACE=m
CONFIG_IP_SET_HASH_NET=m
CONFIG_IP_SET_HASH_NETNET=m
CONFIG_IP_SET_HASH_NETPORT=m
CONFIG_IP_SET_HASH_NETPORTNET=m
CONFIG_IP_SET_LIST_SET=m
CONFIG_IP_SET=m
CONFIG_IP_SET_MAX=256
CONFIG_IPV6_GRE=m
# CONFIG_IPV6_ILA is not set
CONFIG_IPV6_MIP6=m
CONFIG_IPV6_MROUTE_MULTIPLE_TABLES=y
CONFIG_IPV6_MROUTE=y
CONFIG_IPV6_MULTIPLE_TABLES=y
CONFIG_IPV6_OPTIMISTIC_DAD=y
CONFIG_IPV6_PIMSM_V2=y
CONFIG_IPV6_ROUTE_INFO=y
CONFIG_IPV6_ROUTER_PREF=y
# CONFIG_IPV6_RPL_LWTUNNEL is not set
kernel-5.14.0-318.el9 * Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9] - vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854] - config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526] - wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526] - rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526] - mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526] - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526] - net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526] - mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526] - wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526] - mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526] - wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526] - bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526] - bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526] - bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526] - mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526] - bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526] - bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526] - wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526] - treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526] - treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526] - hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526] - Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250] - usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250] - usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250] - usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250] - USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250] - usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250] - usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250] - usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250] - USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250] - usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250] - usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250] - usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250] - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250] - usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250] - xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250] - xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250] - xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250] - usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250] - xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250] - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250] - USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250] - thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250] - thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250] - thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250] - usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250] - usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250] - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250] - thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250] - treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250] - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250] - nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250] - mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250] - atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250] - xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250] - xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250] - USB: core: export usb_cache_string() (Desnes Nunes) [2190250] - usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250] - usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250] - usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250] - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250] - usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250] - usb: storage: Add check for kcalloc (Desnes Nunes) [2190250] - USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250] - USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250] - USB: sisusbvga: remove console support (Desnes Nunes) [2190250] - media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250] - media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250] - firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250] - usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250] - device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250] - driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250] - container_of: remove container_of_safe() (Desnes Nunes) [2190250] - net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250] - net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250] - USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250] - xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250] - xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250] - xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250] - xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250] - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250] - xhci: print warning when HCE was set (Desnes Nunes) [2190250] - Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250] - USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250] - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250] - xhci: Convert to use list_count() (Desnes Nunes) [2190250] - usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250] - usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250] - usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250] - usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250] - usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250] - usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250] - usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250] - usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250] - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250] - net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250] - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250] - media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250] - media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250] - media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250] - media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250] - media: videobuf2: set q->streaming later (Desnes Nunes) [2190250] - media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250] - USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250] - usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250] - usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250] - usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250] - usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250] - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250] - usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250] - usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250] - usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250] - Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250] - hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250] - usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250] - tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250] - usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250] - usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250] - usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250] - dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250] - dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250] - usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250] - usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250] - usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250] - thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250] - usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250] - thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250] - usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250] - usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250] - usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250] - usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250] - usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250] - usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250] - thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250] - thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250] - device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250] - device property: Constify device child node APIs (Desnes Nunes) [2190250] - device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250] - usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250] - device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250] - device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250] - device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250] - usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250] - USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250] - USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250] - kernel.spec: add bonding selftest (Hangbin Liu) [2179216] - selftests: bonding: add arp validate test (Hangbin Liu) [2179216] - selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216] - bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216] - net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375] - selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375] - seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375] - seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375] - net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375] - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375] - seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375] - udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375] - icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375] - seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375] - seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375] - net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375] - net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375] - net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375] - seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375] - ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375] - ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375] - netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375] - HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079} - HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079} - NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854] - NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854] - NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854] - NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854] - NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854] - NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854] - netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854] - i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194} - netlink: provide an ability to set default extack message (Petr Oros) [2193453] - mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712] Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 19:08:35 +00:00
CONFIG_IPV6_SEG6_HMAC=y
CONFIG_IPV6_SEG6_LWTUNNEL=y
CONFIG_IPV6_SIT_6RD=y
CONFIG_IPV6_SIT=m
# CONFIG_IPV6_SUBTREES is not set
CONFIG_IPV6_TUNNEL=m
CONFIG_IPV6_VTI=m
CONFIG_IPV6=y
CONFIG_IPVLAN=m
CONFIG_IP_VS_DEBUG=y
CONFIG_IP_VS_DH=m
CONFIG_IP_VS_FO=m
CONFIG_IP_VS_FTP=m
CONFIG_IP_VS_IPV6=y
CONFIG_IP_VS_LBLC=m
CONFIG_IP_VS_LBLCR=m
CONFIG_IP_VS_LC=m
CONFIG_IP_VS=m
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_IP_VS_MH=m
CONFIG_IP_VS_MH_TAB_INDEX=12
CONFIG_IP_VS_NQ=m
CONFIG_IP_VS_OVF=m
CONFIG_IP_VS_PE_SIP=m
CONFIG_IP_VS_PROTO_AH=y
CONFIG_IP_VS_PROTO_ESP=y
CONFIG_IP_VS_PROTO_SCTP=y
CONFIG_IP_VS_PROTO_TCP=y
CONFIG_IP_VS_PROTO_UDP=y
CONFIG_IP_VS_RR=m
CONFIG_IP_VS_SED=m
CONFIG_IP_VS_SH=m
CONFIG_IP_VS_SH_TAB_BITS=8
CONFIG_IP_VS_TAB_BITS=12
# CONFIG_IP_VS_TWOS is not set
CONFIG_IP_VS_WLC=m
CONFIG_IP_VS_WRR=m
CONFIG_IPVTAP=m
# CONFIG_IPW2100 is not set
# CONFIG_IPW2200 is not set
CONFIG_IRQ_ALL_CPUS=y
CONFIG_IRQ_POLL=y
# CONFIG_IRQSOFF_TRACER is not set
# CONFIG_IRQ_TIME_ACCOUNTING is not set
CONFIG_ISCSI_BOOT_SYSFS=m
CONFIG_ISCSI_TARGET_CXGB4=m
CONFIG_ISCSI_TARGET=m
CONFIG_ISCSI_TCP=m
# CONFIG_ISDN is not set
CONFIG_ISL29003=m
CONFIG_ISL29020=m
# CONFIG_ISL29125 is not set
# CONFIG_ISL29501 is not set
CONFIG_ISO9660_FS=m
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_IT8712F_WDT is not set
# CONFIG_IT87_WDT is not set
CONFIG_ITCO_VENDOR_SUPPORT=y
CONFIG_ITCO_WDT=m
# CONFIG_ITG3200 is not set
# CONFIG_IWL3945 is not set
# CONFIG_IWL4965 is not set
CONFIG_IWLDVM=m
kernel-5.14.0-119.el9 * Fri Jun 24 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-119.el9] - revert bus: Make remove callback return void (Steve Best) [2097921] - [s390] s390/ism: switch from 'pci_' to 'dma_' API (Tobias Huschle) [2044294] - [s390] net/smc: Fix sock leak when release after smc_shutdown() (Tobias Huschle) [2044294] - [s390] net/smc: Fix af_ops of child socket pointing to released memory (Tobias Huschle) [2044294] - [s390] net/smc: Fix NULL pointer dereference in smc_pnet_find_ib() (Tobias Huschle) [2044294] - [s390] net/smc: use memcpy instead of snprintf to avoid out of bounds read (Tobias Huschle) [2044294] - [s390] net/smc: Send out the remaining data in sndbuf before close (Tobias Huschle) [2044294] - [s390] net/smc: fix a memory leak in smc_sysctl_net_exit() (Tobias Huschle) [2044294] - [s390] net/smc: fix -Wmissing-prototypes warning when CONFIG_SYSCTL not set (Tobias Huschle) [2044294] - [s390] net/smc: fix compile warning for smc_sysctl (Tobias Huschle) [2044294] - [s390] Revert "net/smc: don't req_notify until all CQEs drained" (Tobias Huschle) [2044294] - [s390] net/smc: fix unexpected SMC_CLC_DECL_ERR_REGRMB error cause by server (Tobias Huschle) [2044294] - [s390] net/smc: fix unexpected SMC_CLC_DECL_ERR_REGRMB error generated by client (Tobias Huschle) [2044294] - [s390] net: smc: fix different types in min() (Tobias Huschle) [2044294] - [s390] net/smc: don't send in the BH context if sock_owned_by_user (Tobias Huschle) [2044294] - [s390] net/smc: don't req_notify until all CQEs drained (Tobias Huschle) [2044294] - [s390] net/smc: correct settings of RMB window update limit (Tobias Huschle) [2044294] - [s390] net/smc: send directly on setting TCP_NODELAY (Tobias Huschle) [2044294] - [s390] net/smc: add sysctl for autocorking (Tobias Huschle) [2044294] - [s390] net/smc: add autocorking support (Tobias Huschle) [2044294] - [s390] net/smc: add sysctl interface for SMC (Tobias Huschle) [2044294] - [s390] net/smc: Call trace_smc_tx_sendmsg when data corked (Tobias Huschle) [2044294] - [s390] net/smc: Fix cleanup when register ULP fails (Tobias Huschle) [2044294] - [s390] net/smc: fix connection leak (Tobias Huschle) [2044294] - [s390] net/smc: Use a mutex for locking "struct smc_pnettable" (Tobias Huschle) [2044294] - [s390] net/smc: unlock on error paths in __smc_setsockopt() (Tobias Huschle) [2044294] - [s390] net/smc: return ETIMEDOUT when smc_connect_clc() timeout (Tobias Huschle) [2044294] - [s390] net/smc: Add comment for smc_tx_pending (Tobias Huschle) [2044294] - [s390] net/smc: Add global configure for handshake limitation by netlink (Tobias Huschle) [2044294] - [s390] net/smc: Dynamic control handshake limitation by socket options (Tobias Huschle) [2044294] - [s390] net/smc: Limit SMC visits when handshake workqueue congested (Tobias Huschle) [2044294] - [s390] net/smc: Limit backlog connections (Tobias Huschle) [2044294] - [s390] net/smc: Make smc_tcp_listen_work() independent (Tobias Huschle) [2044294] - [s390] net/smc: Avoid overwriting the copies of clcsock callback functions (Tobias Huschle) [2044294] - [s390] Partially revert "net/smc: Add netlink net namespace support" (Tobias Huschle) [2044294] - [s390] net/smc: Cork when sendpage with MSG_SENDPAGE_NOTLAST flag (Tobias Huschle) [2044294] - [s390] net/smc: Remove corked dealyed work (Tobias Huschle) [2044294] - [s390] net/smc: Send directly when TCP_CORK is cleared (Tobias Huschle) [2044294] - [s390] net/smc: Forward wakeup to smc socket waitqueue after fallback (Tobias Huschle) [2044294] - [s390] net/smc: Transitional solution for clcsock race issue (Tobias Huschle) [2044294] - [s390] net/smc: Fix hung_task when removing SMC-R devices (Tobias Huschle) [2044294] - [s390] net/smc: Remove unused function declaration (Tobias Huschle) [2044294] - [s390] net/smc: Resolve the race between SMC-R link access and clear (Tobias Huschle) [2044294] - [s390] net/smc: Introduce a new conn->lgr validity check helper (Tobias Huschle) [2044294] - [s390] net/smc: Resolve the race between link group access and termination (Tobias Huschle) [2044294] - [s390] net/smc: Reset conn->lgr when link group registration fails (Tobias Huschle) [2044294] - [s390] net/smc: add comments for smc_link_{usable|sendable} (Tobias Huschle) [2044294] - [s390] net/smc: remove redundant re-assignment of pointer link (Tobias Huschle) [2044294] - [s390] net/smc: Introduce TCP ULP support (Tobias Huschle) [2044294] - [s390] net/smc: Add net namespace for tracepoints (Tobias Huschle) [2044294] - [s390] net/smc: Print net namespace in log (Tobias Huschle) [2044294] - [s390] net/smc: Add netlink net namespace support (Tobias Huschle) [2044294] - [s390] net/smc: Introduce net namespace support for linkgroup (Tobias Huschle) [2044294] - [s390] net/smc: Use the bitmap API when applicable (Tobias Huschle) [2044294] - [s390] net/smc: fix kernel panic caused by race of smc_sock (Tobias Huschle) [2044294] - [s390] net/smc: don't send CDC/LLC message if link not ready (Tobias Huschle) [2044294] - [s390] net/smc: fix using of uninitialized completions (Tobias Huschle) [2044294] - [s390] net/smc: Prevent smc_release() from long blocking (Tobias Huschle) [2044294] - [s390] net/smc: Clear memory when release and reuse buffer (Tobias Huschle) [2044294] - [s390] net/smc: Keep smc_close_final rc during active close (Tobias Huschle) [2044294] - [s390] net/smc: fix wrong list_del in smc_lgr_cleanup_early (Tobias Huschle) [2044294] - [s390] net/smc: Don't call clcsock shutdown twice when smc shutdown (Tobias Huschle) [2044294] - [s390] net/smc: Fix loop in smc_listen (Tobias Huschle) [2044294] - [s390] net/smc: Fix NULL pointer dereferencing in smc_vlan_by_tcpsk() (Tobias Huschle) [2044294] - [s390] net/smc: Ensure the active closing peer first closes clcsock (Tobias Huschle) [2044294] - [s390] net/smc: Clean up local struct sock variables (Tobias Huschle) [2044294] - [s390] net/smc: Avoid warning of possible recursive locking (Tobias Huschle) [2044294] - [s390] net/smc: Make sure the link_id is unique (Tobias Huschle) [2044294] - [s390] net/smc: Transfer remaining wait queue entries during fallback (Tobias Huschle) [2044294] - [s390] net/smc: fix sk_refcnt underflow on linkdown and fallback (Tobias Huschle) [2044294] - [s390] net/smc: Print function name in smcr_link_down tracepoint (Tobias Huschle) [2044294] - [s390] net/smc: Introduce tracepoint for smcr link down (Tobias Huschle) [2044294] - [s390] net/smc: Introduce tracepoints for tx and rx msg (Tobias Huschle) [2044294] - [s390] net/smc: Introduce tracepoint for fallback (Tobias Huschle) [2044294] - [s390] net/smc: Correct spelling mistake to TCPF_SYN_RECV (Tobias Huschle) [2044294] - [s390] net/smc: Fix smc_link->llc_testlink_time overflow (Tobias Huschle) [2044294] - [s390] net/smc: stop links when their GID is removed (Tobias Huschle) [2044294] - [s390] net/smc: add netlink support for SMC-Rv2 (Tobias Huschle) [2044294] - [s390] net/smc: extend LLC layer for SMC-Rv2 (Tobias Huschle) [2044294] - [s390] net/smc: add v2 support to the work request layer (Tobias Huschle) [2044294] - [s390] net/smc: retrieve v2 gid from IB device (Tobias Huschle) [2044294] - [s390] net/smc: add v2 format of CLC decline message (Tobias Huschle) [2044294] - [s390] net/smc: add listen processing for SMC-Rv2 (Tobias Huschle) [2044294] - [s390] net/smc: add SMC-Rv2 connection establishment (Tobias Huschle) [2044294] - [s390] net/smc: prepare for SMC-Rv2 connection (Tobias Huschle) [2044294] - [s390] net/smc: save stack space and allocate smc_init_info (Tobias Huschle) [2044294] - [s390] net/smc: add generic netlink support for system EID (Tobias Huschle) [2044294] - [s390] net/smc: keep static copy of system EID (Tobias Huschle) [2044294] - [s390] net/smc: add support for user defined EIDs (Tobias Huschle) [2044294] - [s390] net/smc: Allow SMC-D 1MB DMB allocations (Tobias Huschle) [2044294] - revert bus: Make remove callback return void (Steve Best) [2096865] - mt76: mt7921: Fix the error handling path of mt7921_pci_probe() (Íñigo Huguet) [2096777] - redhat/configs: Add CONFIG_S390_UV_UAPI (Claudio Imbrenda) [2001936] - [s390] s390/uv_uapi: depend on CONFIG_S390 (Claudio Imbrenda) [2001936] - [s390] drivers/s390/char: Add Ultravisor io device (Claudio Imbrenda) [2001936] - tg3: Remove redundant assignments (Ken Cox) [2043793] - ethernet: Remove redundant statement (Ken Cox) [2043793] - ethernet: tg3: remove direct netdev->dev_addr writes (Ken Cox) [2043793] - net: tg3: fix redundant check of true expression (Ken Cox) [2043793] - net: tg3: fix obsolete check of !err (Ken Cox) [2043793] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ken Cox) [2043793] - net: broadcom: switch from 'pci_' to 'dma_' API (Ken Cox) [2043793] - powerpc/doc: Fix htmldocs errors (Gustavo Walbon) [1873825] - powerpc/pseries: Add support for FORM2 associativity (Gustavo Walbon) [1873825] - powerpc/pseries: Consolidate different NUMA distance update code paths (Gustavo Walbon) [1873825] - powerpc/pseries: Rename TYPE1_AFFINITY to FORM1_AFFINITY (Gustavo Walbon) [1873825] - powerpc/pseries: rename min_common_depth to primary_domain_index (Gustavo Walbon) [1873825] - powerpc/pseries: Add a helper for form1 cpu distance (Gustavo Walbon) [1873825] - config: wireless: MT7921U=n (Íñigo Huguet) [2059999] - rfkill: uapi: fix RFKILL_IOCTL_MAX_SIZE ioctl request definition (Íñigo Huguet) [2059999] - nl80211: fix locking in nl80211_set_tx_bitrate_mask() (Íñigo Huguet) [2059999] - mac80211_hwsim: call ieee80211_tx_prepare_skb under RCU protection (Íñigo Huguet) [2059999] - mac80211_hwsim: fix RCU protected chanctx access (Íñigo Huguet) [2059999] - mac80211: Reset MBSSID parameters upon connection (Íñigo Huguet) [2059999] - cfg80211: retrieve S1G operating channel number (Íñigo Huguet) [2059999] - nl80211: validate S1G channel width (Íñigo Huguet) [2059999] - mac80211: fix rx reordering with non explicit / psmp ack policy (Íñigo Huguet) [2059999] - ath11k: reduce the wait time of 11d scan and hw scan while add interface (Íñigo Huguet) [2059999] - iwlwifi: iwl-dbg: Use del_timer_sync() before freeing (Íñigo Huguet) [2059999] - bus: mhi: host: pci_generic: Flush recovery worker during freeze (Íñigo Huguet) [2059999] - bus: mhi: host: pci_generic: Add missing poweroff() PM callback (Íñigo Huguet) [2059999] - mac80211: fix ht_capa printout in debugfs (Íñigo Huguet) [2059999] - cfg80211: hold bss_lock while updating nontrans_list (Íñigo Huguet) [2059999] - nl80211: correctly check NL80211_ATTR_REG_ALPHA2 size (Íñigo Huguet) [2059999] - ath9k: Fix usage of driver-private space in tx_info (Íñigo Huguet) [2059999] - brcmfmac: sdio: Fix undefined behavior due to shift overflowing the constant (Íñigo Huguet) [2059999] - mt76: Fix undefined behavior due to shift overflowing the constant (Íñigo Huguet) [2059999] - Revert "ath11k: mesh: add support for 256 bitmap in blockack frames in 11ax" (Íñigo Huguet) [2059999] - ath9k: Properly clear TX status area before reporting to mac80211 (Íñigo Huguet) [2059999] - iwlwifi: mvm: Don't fail if PPAG isn't supported (Íñigo Huguet) [2059999] - ar5523: fix typo "to short" -> "too short" (Íñigo Huguet) [2059999] - bus: mhi: Make mhi_state_str[] array static inline and move to common.h (Íñigo Huguet) [2059999] - bus: mhi: Move common MHI definitions out of host directory (Íñigo Huguet) [2059999] - bus: mhi: host: Rename "struct mhi_tre" to "struct mhi_ring_element" (Íñigo Huguet) [2059999] - bus: mhi: Cleanup the register definitions used in headers (Íñigo Huguet) [2059999] - bus: mhi: Use bitfield operations for handling DWORDs of ring elements (Íñigo Huguet) [2059999] - bus: mhi: Use bitfield operations for register read and write (Íñigo Huguet) [2059999] - bus: mhi: Move host MHI code to "host" directory (Íñigo Huguet) [2059999] - bus: mhi: Fix MHI DMA structure endianness (Íñigo Huguet) [2059999] - bus: mhi: Fix pm_state conversion to string (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add mru_default for Quectel EM1xx series (Íñigo Huguet) [2059999] - rfkill: make new event layout opt-in (Íñigo Huguet) [2059999] - rtw89: implement stop and resume channels transmission v1 (Íñigo Huguet) [2059999] - rtw89: extend mac tx_en bits from 16 to 32 (Íñigo Huguet) [2059999] - rtw89: change value assignment style of rtw89_mac_cfg_gnt() (Íñigo Huguet) [2059999] - rtw89: 8852c: add mac_ctrl_path and mac_cfg_gnt APIs (Íñigo Huguet) [2059999] - rtw89: disable FW and H2C function if CPU disabled (Íñigo Huguet) [2059999] - rtw89: initialize preload window of D-MAC (Íñigo Huguet) [2059999] - rtw89: modify MAC enable functions (Íñigo Huguet) [2059999] - rtw89: add config_rf_reg_v1 to configure RF parameter tables (Íñigo Huguet) [2059999] - rtw89: 8852c: add read/write rf register function (Íñigo Huguet) [2059999] - rtw89: 8852c: add setting of TB UL TX power offset (Íñigo Huguet) [2059999] - rtw89: 8852c: add write/read crystal function in CFO tracking (Íñigo Huguet) [2059999] - rtw89: modify dcfo_comp to share with chips (Íñigo Huguet) [2059999] - rtw89: Fix spelling mistake "Mis-Match" -> "Mismatch" (Íñigo Huguet) [2059999] - brcmfmac: p2p: Fix spelling mistake "Comback" -> "Comeback" (Íñigo Huguet) [2059999] - iwlwifi: mei: fix building iwlmei (Íñigo Huguet) [2059999] - mt76: fix monitor rx FCS error in DFS channel (Íñigo Huguet) [2059999] - mt76: mt7921: don't enable beacon filter when IEEE80211_CONF_CHANGE_MONITOR is set (Íñigo Huguet) [2059999] - mt76: fix wrong HE data rate in sniffer tool (Íñigo Huguet) [2059999] - mt76: mt7915: introduce 802.11ax multi-bss support (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_init_hw in a dedicated work (Íñigo Huguet) [2059999] - mt76: mt7921: add mt7921u driver (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_usb_sdio_tx_status_data in mac common code. (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_usb_sdio_tx_complete_skb in common mac code. (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_usb_sdio_tx_prepare_skb in common mac code (Íñigo Huguet) [2059999] - mt76: mt7921: update mt7921_skb_add_usb_sdio_hdr to support usb (Íñigo Huguet) [2059999] - mt76: mt7921: disable runtime pm for usb (Íñigo Huguet) [2059999] - mt76: usb: introduce __mt76u_init utility routine (Íñigo Huguet) [2059999] - mt76: usb: add req_type to ___mt76u_wr signature (Íñigo Huguet) [2059999] - mt76: usb: add req_type to ___mt76u_rr signature (Íñigo Huguet) [2059999] - mt76: mt7915: fix typos in comments (Íñigo Huguet) [2059999] - mt76: mt7915: fix phy cap in mt7915_set_stream_he_txbf_caps() (Íñigo Huguet) [2059999] - mt76: mt7915: fix beamforming mib stats (Íñigo Huguet) [2059999] - mt76: mt7915: set band1 TGID field in tx descriptor (Íñigo Huguet) [2059999] - mt76: fix invalid rssi report (Íñigo Huguet) [2059999] - mt76: use le32/16_get_bits() whenever possible (Íñigo Huguet) [2059999] - mt76: connac: make read-only array ba_range static const (Íñigo Huguet) [2059999] - mt76: mt7915: check for devm_pinctrl_get() failure (Íñigo Huguet) [2059999] - mt76: mt7921: get rid of mt7921_wait_for_mcu_init declaration (Íñigo Huguet) [2059999] - mt76: mt7915: add txpower init for 6GHz (Íñigo Huguet) [2059999] - mt76: mt7915: fix eeprom fields of txpower init values (Íñigo Huguet) [2059999] - mt76: mt7915: add 6 GHz support (Íñigo Huguet) [2059999] - mt76: connac: add 6 GHz support for wtbl and starec configuration (Íñigo Huguet) [2059999] - mt76: mt7915: allow beaconing on all chains (Íñigo Huguet) [2059999] - mt76: fix monitor mode crash with sdio driver (Íñigo Huguet) [2059999] - mt76: mt7921: fix mt7921_queues_acq implementation (Íñigo Huguet) [2059999] - mt76: mt7921: fix xmit-queue dump for usb and sdio (Íñigo Huguet) [2059999] - mt76: mt7921: make mt7921_init_tx_queues static (Íñigo Huguet) [2059999] - mt76: split single ldpc cap bit into bits (Íñigo Huguet) [2059999] - mt76: mt7915: fix DFS no radar detection event (Íñigo Huguet) [2059999] - mt76: mt7921: use mt76_hw instead of open coding it (Íñigo Huguet) [2059999] - mt76: mt7921: fix up the monitor mode (Íñigo Huguet) [2059999] - mt76: mt7663u: introduce mt7663u_mcu_power_on routine (Íñigo Huguet) [2059999] - mt76: mt7615: honor ret from mt7615_mcu_restart in mt7663u_mcu_init (Íñigo Huguet) [2059999] - rtw89: fix uninitialized variable of rtw89_append_probe_req_ie() (Íñigo Huguet) [2059999] - airo: fix typos in comments (Íñigo Huguet) [2059999] - rtlwifi: rtl8821ae: fix typos in comments (Íñigo Huguet) [2059999] - rtlwifi: rtl8192cu: Add On Networks N150 (Íñigo Huguet) [2059999] - zd1201: use kzalloc (Íñigo Huguet) [2059999] - mwifiex: make read-only array wmm_oui static const (Íñigo Huguet) [2059999] - mac80211: update bssid_indicator in ieee80211_assign_beacon (Íñigo Huguet) [2059999] - mac80211: MBSSID channel switch (Íñigo Huguet) [2059999] - mac80211: MBSSID beacon handling in AP mode (Íñigo Huguet) [2059999] - mac80211: always have ieee80211_sta_restart() (Íñigo Huguet) [2059999] - mac80211: Add support to trigger sta disconnect on hardware restart (Íñigo Huguet) [2059999] - mac80211: fix potential double free on mesh join (Íñigo Huguet) [2059999] - mac80211: correct legacy rates check in ieee80211_calc_rx_airtime (Íñigo Huguet) [2059999] - nl80211: fix typo of NL80211_IF_TYPE_OCB in documentation (Íñigo Huguet) [2059999] - mac80211: Use GFP_KERNEL instead of GFP_ATOMIC when possible (Íñigo Huguet) [2059999] - mac80211: replace DEFINE_SIMPLE_ATTRIBUTE with DEFINE_DEBUGFS_ATTRIBUTE (Íñigo Huguet) [2059999] - rtw89: 8852c: process logic efuse map (Íñigo Huguet) [2059999] - rtw89: 8852c: process efuse of phycap (Íñigo Huguet) [2059999] - rtw89: support DAV efuse reading operation (Íñigo Huguet) [2059999] - rtw89: 8852c: add chip::dle_mem (Íñigo Huguet) [2059999] - rtw89: add page_regs to handle v1 chips (Íñigo Huguet) [2059999] - rtw89: add chip_info::{h2c,c2h}_reg to support more chips (Íñigo Huguet) [2059999] - rtw89: add hci_func_en_addr to support variant generation (Íñigo Huguet) [2059999] - rtw89: add power_{on/off}_func (Íñigo Huguet) [2059999] - rtw89: read chip version depends on chip ID (Íñigo Huguet) [2059999] - rtw89: pci: use a struct to describe all registers address related to DMA channel (Íñigo Huguet) [2059999] - rtw89: pci: add V1 of PCI channel address (Íñigo Huguet) [2059999] - rtw89: pci: add struct rtw89_pci_info (Íñigo Huguet) [2059999] - rtw89: 8852c: add 8852c empty files (Íñigo Huguet) [2059999] - brcmfmac: make the read-only array pktflags static const (Íñigo Huguet) [2059999] - rtlwifi: rtl8192ce: remove duplicated function '_rtl92ce_phy_set_rf_sleep' (Íñigo Huguet) [2059999] - cw1200: use time_is_after_jiffies() instead of open coding it (Íñigo Huguet) [2059999] - carl9170: devres-ing input_allocate_device (Íñigo Huguet) [2059999] - carl9170: devres-ing hwrng_register usage (Íñigo Huguet) [2059999] - carl9170: replace GFP_ATOMIC in ampdu_action, it can sleep (Íñigo Huguet) [2059999] - ath10k: Fix error handling in ath10k_setup_msa_resources (Íñigo Huguet) [2059999] - ath11k: remove unneeded flush_workqueue (Íñigo Huguet) [2059999] - ath6kl: add device ID for WLU5150-D81 (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 72 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: acpi: move ppag code from mvm to fw/acpi (Íñigo Huguet) [2059999] - iwlwifi: dbg: check trigger data before access (Íñigo Huguet) [2059999] - iwlwifi: dbg: in sync mode don't call schedule (Íñigo Huguet) [2059999] - iwlwifi: use 4k queue size for Bz A-step (Íñigo Huguet) [2059999] - iwlwifi: pcie: fix SW error MSI-X mapping (Íñigo Huguet) [2059999] - iwlwifi: yoyo: dump IMR DRAM only for HW and FW error (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for IMR based on platform (Íñigo Huguet) [2059999] - iwlwifi: yoyo: disable IMR DRAM region if IMR is disabled (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove cipher scheme support (Íñigo Huguet) [2059999] - iwlwifi: Configure FW debug preset via module param. (Íñigo Huguet) [2059999] - iwlwifi: mvm: add a flag to reduce power command. (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 71 for AX devices (Íñigo Huguet) [2059999] - ath9k: make array voice_priority static const (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_aplist_event (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_disconnect_event (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_connect_event (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_channel_list_reply (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_start_scan_cmd (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_begin_scan_cmd (Íñigo Huguet) [2059999] - rtw89: declare HE capabilities in 6G band (Íñigo Huguet) [2059999] - rtw89: fix HE PHY bandwidth capability (Íñigo Huguet) [2059999] - rtw89: add tx_wake notify for low ps mode (Íñigo Huguet) [2059999] - rtw89: 8852a: add ieee80211_ops::hw_scan (Íñigo Huguet) [2059999] - Revert "ath: add support for special 0x0 regulatory domain" (Íñigo Huguet) [2059999] - rtw89: get channel parameters of 160MHz bandwidth (Íñigo Huguet) [2059999] - mt76: fix dfs state issue with 160 MHz channels (Íñigo Huguet) [2059999] - mt76: mt7915: simplify conditional (Íñigo Huguet) [2059999] - mt76: mt7921: fix injected MPDU transmission to not use HW A-MSDU (Íñigo Huguet) [2059999] - mt76: mt7915e: Enable thermal management by default (Íñigo Huguet) [2059999] - mt76: mt7915e: Add a hwmon attribute to get the actual throttle state. (Íñigo Huguet) [2059999] - mt76: mt7915e: Fix degraded performance after temporary overheat (Íñigo Huguet) [2059999] - mt76: improve signal strength reporting (Íñigo Huguet) [2059999] - mt76: mt7915: use min_t() to make code cleaner (Íñigo Huguet) [2059999] - mt76: mt7915: fix the muru tlv issue (Íñigo Huguet) [2059999] - mt76: mt7915: check band idx for bcc event (Íñigo Huguet) [2059999] - mt76: mt7615: Fix assigning negative values to unsigned variable (Íñigo Huguet) [2059999] - mt76: connac: adjust wlan_idx size from u8 to u16 (Íñigo Huguet) [2059999] - mt76: mt7915: fix endianness warnings in mt7915_mac_tx_free() (Íñigo Huguet) [2059999] - mt76: mt7915: fix endianness warnings in mt7915_debugfs_rx_fw_monitor (Íñigo Huguet) [2059999] - mt76: mt7615: fix compiler warning on frame size (Íñigo Huguet) [2059999] - mt76: mt7915: initialize smps mode in mt7915_mcu_sta_rate_ctrl_tlv() (Íñigo Huguet) [2059999] - mt76: mt7915: introduce band_idx in mt7915_phy (Íñigo Huguet) [2059999] - mt76: mt7915: add support for MT7986 (Íñigo Huguet) [2059999] - ath10k: fix pointer arithmetic error in trace call (Íñigo Huguet) [2059999] - carl9170: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath11k: add dbring debug support (Íñigo Huguet) [2059999] - ath11k: translate HE status to radiotap format (Íñigo Huguet) [2059999] - ath11k: decode HE status tlv (Íñigo Huguet) [2059999] - ath11k: switch to using ieee80211_tx_status_ext() (Íñigo Huguet) [2059999] - mt76: mt7921s: fix missing fc type/sub-type for 802.11 pkts (Íñigo Huguet) [2059999] - mt76: mt7915: fix potential memory leak of fw monitor packets (Íñigo Huguet) [2059999] - mt76: mt7915: Fix channel state update error issue (Íñigo Huguet) [2059999] - mt76: fix endianness errors in reverse_frag0_hdr_trans (Íñigo Huguet) [2059999] - mt76: mt7615: introduce SAR support (Íñigo Huguet) [2059999] - mt76: mt7915: fix injected MPDU transmission to not use HW A-MSDU (Íñigo Huguet) [2059999] - rtw88: change rtw_info() to proper message level (Íñigo Huguet) [2059999] - rtw89: Limit the CFO boundaries of x'tal value (Íñigo Huguet) [2059999] - rtw89: phy: handle txpwr lmt/lmt_ru of 160M bandwidth (Íñigo Huguet) [2059999] - rtw89: phy: handle txpwr lmt/lmt_ru of 6G band (Íñigo Huguet) [2059999] - ath11k: Fix frames flush failure caused by deadlock (Íñigo Huguet) [2059999] - ath11k: Handle failure in qmi firmware ready (Íñigo Huguet) [2059999] - ath11k: Invalidate cached reo ring entry before accessing it (Íñigo Huguet) [2059999] - ath: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath6kl: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath11k: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath10k: Replace zero-length array with flexible-array member (Íñigo Huguet) [2059999] - ath9k: use hw_random API instead of directly dumping into random.c (Íñigo Huguet) [2059999] - ath11k: configure RDDM size to mhi for recovery by firmware (Íñigo Huguet) [2059999] - ath11k: fix invalid m3 buffer address (Íñigo Huguet) [2059999] - ath11k: add ath11k_qmi_free_resource() for recovery (Íñigo Huguet) [2059999] - rtw89: core.h: Replace zero-length array with flexible-array member (Íñigo Huguet) [2059999] - brcmfmac: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - rtw89: fix RCU usage in rtw89_core_txq_push() (Íñigo Huguet) [2059999] - rtw88: coex: Update rtl8822c COEX version to 22020720 (Íñigo Huguet) [2059999] - rtw88: coex: Add C2H/H2C handshake with BT mailbox for asking HID Info (Íñigo Huguet) [2059999] - rtw88: coex: Add WLAN MIMO power saving for Bluetooth gaming controller (Íñigo Huguet) [2059999] - rtw88: coex: update BT PTA counter regularly (Íñigo Huguet) [2059999] - rtw88: coex: Improve WLAN throughput when HFP COEX (Íñigo Huguet) [2059999] - rtw88: 8822ce: add support for TX/RX 1ss mode (Íñigo Huguet) [2059999] - iwlwifi: dbg_ini: Split memcpy() to avoid multi-field write (Íñigo Huguet) [2059999] - iwlwifi: mvm: Fix an error code in iwl_mvm_up() (Íñigo Huguet) [2059999] - iwlwifi: Fix -EIO error code that is never returned (Íñigo Huguet) [2059999] - iwlwifi: mvm: rfi: use kmemdup() to replace kzalloc + memcpy (Íñigo Huguet) [2059999] - iwlwifi: Fix syntax errors in comments (Íñigo Huguet) [2059999] - iwlwifi: dvm: use struct_size over open coded arithmetic (Íñigo Huguet) [2059999] - iwlwifi/fw: use struct_size over open coded arithmetic (Íñigo Huguet) [2059999] - iwlwifi: Make use of the helper macro LIST_HEAD() (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix off by one in iwl_mvm_stat_iterator_all_macs() (Íñigo Huguet) [2059999] - iwlwifi: yoyo: send hcmd to fw after dump collection completes. (Íñigo Huguet) [2059999] - iwlwifi: mvm: move only to an enabled channel (Íñigo Huguet) [2059999] - iwlwifi: mvm: update BAID allocation command again (Íñigo Huguet) [2059999] - iwlwifi: api: remove ttl field from TX command (Íñigo Huguet) [2059999] - iwlwifi: support new queue allocation command (Íñigo Huguet) [2059999] - iwlwifi: yoyo: support dump policy for the dump size (Íñigo Huguet) [2059999] - iwlwifi: pcie: iwlwifi: fix device id 7F70 struct (Íñigo Huguet) [2059999] - iwlwifi: tlc: Add logs in rs_fw_rate_init func to print TLC configuration (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove iwl_mvm_disable_txq() flags argument (Íñigo Huguet) [2059999] - iwlwifi: remove command ID argument from queue allocation (Íñigo Huguet) [2059999] - iwlwifi: make iwl_txq_dyn_alloc_dma() return the txq (Íñigo Huguet) [2059999] - iwlwifi: fix small doc mistake for iwl_fw_ini_addr_val (Íñigo Huguet) [2059999] - iwlwifi: mvm: add additional info for boot info failures (Íñigo Huguet) [2059999] - iwlwifi: mvm: always remove the session protection after association (Íñigo Huguet) [2059999] - iwlwifi: mvm: make iwl_mvm_reconfig_scd() static (Íñigo Huguet) [2059999] - iwlwifi: mvm: refactor setting PPE thresholds in STA_HE_CTXT_CMD (Íñigo Huguet) [2059999] - iwlwifi: mvm: Disable WiFi bands selectively with BIOS (Íñigo Huguet) [2059999] - iwlwifi: mvm: add additional info for boot info failures (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't send BAID removal to the FW during hw_restart (Íñigo Huguet) [2059999] - iwlwifi: don't dump_stack() when we get an unexpected interrupt (Íñigo Huguet) [2059999] - iwlwifi: mvm: rfi: handle deactivation notification (Íñigo Huguet) [2059999] - iwlwifi: mvm: Consider P2P GO operation during scan (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 70 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: Unify the scan iteration functions (Íñigo Huguet) [2059999] - iwlwifi: mei: use C99 initializer for device IDs (Íñigo Huguet) [2059999] - iwlwifi: debugfs: remove useless double condition (Íñigo Huguet) [2059999] - iwlwifi: remove unused macros (Íñigo Huguet) [2059999] - iwlwifi: eeprom: clean up macros (Íñigo Huguet) [2059999] - iwlwifi: drv: load tlv debug data earlier (Íñigo Huguet) [2059999] - iwlwifi: pcie: Adapt rx queue write pointer for Bz family (Íñigo Huguet) [2059999] - iwlwifi: pcie: adjust to Bz completion descriptor (Íñigo Huguet) [2059999] - iwlwifi: mvm: Passively scan non PSC channels only when requested so (Íñigo Huguet) [2059999] - iwlwifi: scan: Modify return value of a function (Íñigo Huguet) [2059999] - iwlwifi: yoyo: Avoid using dram data if allocation failed (Íñigo Huguet) [2059999] - iwlwifi: mvm: Correctly set fragmented EBS (Íñigo Huguet) [2059999] - iwlwifi: nvm: Correct HE capability (Íñigo Huguet) [2059999] - iwlwifi: mei: avoid -Wpointer-arith and -Wcast-qual warnings (Íñigo Huguet) [2059999] - iwlwifi: make some functions friendly to sparse (Íñigo Huguet) [2059999] - iwlwifi: avoid variable shadowing (Íñigo Huguet) [2059999] - iwlwifi: remove unused DC2DC_CONFIG_CMD definitions (Íñigo Huguet) [2059999] - iwlwifi: dbg-tlv: clean up iwl_dbg_tlv_update_drams() (Íñigo Huguet) [2059999] - iwlwifi: move symbols into a separate namespace (Íñigo Huguet) [2059999] - iwlwifi: fw: make dump_start callback void (Íñigo Huguet) [2059999] - iwlwifi: pcie: make sure iwl_rx_packet_payload_len() will not underflow (Íñigo Huguet) [2059999] - iwlwifi: mvm: use debug print instead of WARN_ON() (Íñigo Huguet) [2059999] - iwlwifi: read and print OTP minor version (Íñigo Huguet) [2059999] - iwlwifi: add support for BZ-U and BZ-L HW (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for CT-KILL notification version 2 (Íñigo Huguet) [2059999] - iwlwifi: yoyo: remove DBGI_SRAM address reset writing (Íñigo Huguet) [2059999] - iwlwifi: mvm: support v3 of station HE context command (Íñigo Huguet) [2059999] - iwlwifi: yoyo: add IMR DRAM dump support (Íñigo Huguet) [2059999] - iwlwifi: yoyo: fix DBGC allocation flow (Íñigo Huguet) [2059999] - iwlwifi: pcie: add support for MS devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: align locking in D3 test debugfs (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't iterate unadded vifs when handling FW SMPS req (Íñigo Huguet) [2059999] - iwlwifi: advertise support for HE - DCM BPSK RX/TX (Íñigo Huguet) [2059999] - iwlwifi: mvm: only enable HE DCM if we also support TX (Íñigo Huguet) [2059999] - iwlwifi: yoyo: fix DBGI_SRAM ini dump header. (Íñigo Huguet) [2059999] - iwlwifi: dbg: add infra for tracking free buffer size (Íñigo Huguet) [2059999] - iwlwifi: mvm: starting from 22000 we have 32 Rx AMPDU sessions (Íñigo Huguet) [2059999] - iwlwifi: mvm: support new BAID allocation command (Íñigo Huguet) [2059999] - iwlwifi: mvm: refactor iwl_mvm_sta_rx_agg() (Íñigo Huguet) [2059999] - iwlwifi: cfg: add support for 1K BA queue (Íñigo Huguet) [2059999] - iwlwifi: avoid void pointer arithmetic (Íñigo Huguet) [2059999] - iwlwifi: fix various more -Wcast-qual warnings (Íñigo Huguet) [2059999] - iwlwifi: propagate (const) type qualifier (Íñigo Huguet) [2059999] - iwlwifi: de-const properly where needed (Íñigo Huguet) [2059999] - iwlwifi: make iwl_fw_lookup_cmd_ver() take a cmd_id (Íñigo Huguet) [2059999] - iwlwifi: mvm: fw: clean up hcmd struct creation (Íñigo Huguet) [2059999] - iwlwifi: prefer WIDE_ID() over iwl_cmd_id() (Íñigo Huguet) [2059999] - iwlwifi: mvm: allow enabling UHB TAS in the USA via ACPI setting (Íñigo Huguet) [2059999] - iwlwifi: mvm: offload channel switch timing to FW (Íñigo Huguet) [2059999] - iwlwifi: mvm: Don't call iwl_mvm_sta_from_mac80211() with NULL sta (Íñigo Huguet) [2059999] - mac80211_hwsim: Advertise support for EHT capabilities (Íñigo Huguet) [2059999] - mac80211: parse AddBA request with extended AddBA element (Íñigo Huguet) [2059999] - mac80211: calculate max RX NSS for EHT mode (Íñigo Huguet) [2059999] - mac80211: Add support for storing station EHT capabilities (Íñigo Huguet) [2059999] - mac80211: Handle station association response with EHT (Íñigo Huguet) [2059999] - mac80211: Add EHT capabilities to association/probe request (Íñigo Huguet) [2059999] - mac80211: Add initial support for EHT and 320 MHz channels (Íñigo Huguet) [2059999] - mac80211: Support parsing EHT elements (Íñigo Huguet) [2059999] - cfg80211: Support configuration of station EHT capabilities (Íñigo Huguet) [2059999] - cfg80211: add NO-EHT flag to regulatory (Íñigo Huguet) [2059999] - nl80211: add support for 320MHz channel limitation (Íñigo Huguet) [2059999] - nl80211: add EHT MCS support (Íñigo Huguet) [2059999] - cfg80211: Add support for EHT 320 MHz channel width (Íñigo Huguet) [2059999] - cfg80211: Add data structures to capture EHT capabilities (Íñigo Huguet) [2059999] - ieee80211: add EHT 1K aggregation definitions (Íñigo Huguet) [2059999] - ieee80211: Add EHT (802.11be) definitions (Íñigo Huguet) [2059999] - mac80211_hwsim: Add custom regulatory for 6GHz (Íñigo Huguet) [2059999] - mac80211_hwsim: don't shadow a global variable (Íñigo Huguet) [2059999] - mac80211_hwsim: check TX and STA bandwidth (Íñigo Huguet) [2059999] - nl80211: accept only HE capability elements with valid size (Íñigo Huguet) [2059999] - mac80211: parse only HE capability elements with valid size (Íñigo Huguet) [2059999] - ieee80211: add helper to check HE capability element size (Íñigo Huguet) [2059999] - nl80211: use RCU to read regdom in reg get/dump (Íñigo Huguet) [2059999] - mac80211_hwsim: Add debugfs to control rx status RSSI (Íñigo Huguet) [2059999] - ieee80211: use tab to indent struct ieee80211_neighbor_ap_info (Íñigo Huguet) [2059999] - rtw89: handle TX/RX 160M bandwidth (Íñigo Huguet) [2059999] - rtw89: declare if chip support 160M bandwidth (Íñigo Huguet) [2059999] - rtw89: add 6G support to rate adaptive mechanism (Íñigo Huguet) [2059999] - rtw89: extend subband for 6G band (Íñigo Huguet) [2059999] - rtw89: refine naming of rfk helpers with prefix (Íñigo Huguet) [2059999] - rtw89: make rfk helpers common across chips (Íñigo Huguet) [2059999] - brcmfmac: Add BCM43454/6 support (Íñigo Huguet) [2059999] - wcn36xx: use struct_size over open coded arithmetic (Íñigo Huguet) [2059999] - ath11k: fix destination monitor ring out of sync (Íñigo Huguet) [2059999] - ath11k: fix radar detection in 160 Mhz (Íñigo Huguet) [2059999] - ath11k: fix WARN_ON during ath11k_mac_update_vif_chan (Íñigo Huguet) [2059999] - ath11k: fix uninitialized rate_idx in ath11k_dp_tx_update_txcompl() (Íñigo Huguet) [2059999] - brcmfmac: of: remove redundant variable len (Íñigo Huguet) [2059999] - brcmfmac: p2p: Replace one-element arrays with flexible-array members (Íñigo Huguet) [2059999] - rtw89: coex: set EN bit to PLT register (Íñigo Huguet) [2059999] - rtw89: recover rates of rate adaptive mechanism (Íñigo Huguet) [2059999] - rtw88: recover rates of rate adaptive mechanism (Íñigo Huguet) [2059999] - rtw89: declare AP mode support (Íñigo Huguet) [2059999] - rtw89: debug: add stations entry to show ID assignment (Íñigo Huguet) [2059999] - rtw89: implement ieee80211_ops::start_ap and stop_ap (Íñigo Huguet) [2059999] - rtw89: maintain assoc/disassoc STA states of firmware and hardware (Íñigo Huguet) [2059999] - rtw89: only STA mode change vif_type mapping dynamically (Íñigo Huguet) [2059999] - rtw89: add addr_cam field to sta to support AP mode (Íñigo Huguet) [2059999] - rtw89: extend role_maintain to support AP mode (Íñigo Huguet) [2059999] - rtw88: fix use after free in rtw_hw_scan_update_probe_req() (Íñigo Huguet) [2059999] - mac80211: Remove redundent assignment channel_type (Íñigo Huguet) [2059999] - mac80211: remove useless ieee80211_vif_is_mesh() check (Íñigo Huguet) [2059999] - mac80211: fix struct ieee80211_tx_info size (Íñigo Huguet) [2059999] - mac80211: mlme: validate peer HE supported rates (Íñigo Huguet) [2059999] - mac80211: remove unused macros (Íñigo Huguet) [2059999] - cfg80211: pmsr: remove useless ifdef guards (Íñigo Huguet) [2059999] - mac80211: airtime: avoid variable shadowing (Íñigo Huguet) [2059999] - mac80211: mlme: add documentation from spec to code (Íñigo Huguet) [2059999] - mac80211: vht: use HE macros for parsing HE capabilities (Íñigo Huguet) [2059999] - ieee80211: radiotap: fix -Wcast-qual warnings (Íñigo Huguet) [2059999] - cfg80211: fix -Wcast-qual warnings (Íñigo Huguet) [2059999] - ieee80211: fix -Wcast-qual warnings (Íñigo Huguet) [2059999] - cfg80211: don't add non transmitted BSS to 6GHz scanned channels (Íñigo Huguet) [2059999] - cfg80211/mac80211: assume CHECKSUM_COMPLETE includes SNAP (Íñigo Huguet) [2059999] - mac80211: consider RX NSS in UHB connection (Íñigo Huguet) [2059999] - mac80211: limit bandwidth in HE capabilities (Íñigo Huguet) [2059999] - mt76: redefine mt76_for_each_q_rx to adapt mt7986 changes (Íñigo Huguet) [2059999] - mt76: dma: initialize skip_unmap in mt76_dma_rx_fill (Íñigo Huguet) [2059999] - mt76: do not always copy ethhdr in reverse_frag0_hdr_trans (Íñigo Huguet) [2059999] - mt76: mt76x02: use mt76_phy_dfs_state to determine radar detector state (Íñigo Huguet) [2059999] - mt76: mt7615: fix/rewrite the dfs state handling logic (Íñigo Huguet) [2059999] - mt76: mt7915: fix/rewrite the dfs state handling logic (Íñigo Huguet) [2059999] - mt76: mt76x02: improve tx hang detection (Íñigo Huguet) [2059999] - mt76x02: improve mac error check/reset reliability (Íñigo Huguet) [2059999] - mt76: mt7921s: run sleep mode by default (Íñigo Huguet) [2059999] - mt76: sdio: honor the largest Tx buffer the hardware can support (Íñigo Huguet) [2059999] - mt76: mt7915: fix the nss setting in bitrates (Íñigo Huguet) [2059999] - mt76: mt7915: update max_mpdu_size in mt7915_mcu_sta_amsdu_tlv() (Íñigo Huguet) [2059999] - mt76: mt7915: fix mcs_map in mt7915_mcu_set_sta_he_mcs() (Íñigo Huguet) [2059999] - mt76: mt7921s: fix a possible memory leak in mt7921_load_patch (Íñigo Huguet) [2059999] - mt76: mt7915: fix possible memory leak in mt7915_mcu_add_sta (Íñigo Huguet) [2059999] - mt76: stop the radar detector after leaving dfs channel (Íñigo Huguet) [2059999] - mt76: mt7615: check sta_rates pointer in mt7615_sta_rate_tbl_update (Íñigo Huguet) [2059999] - mt76: mt7603: check sta_rates pointer in mt7603_sta_rate_tbl_update (Íñigo Huguet) [2059999] - mt76: mt7663s: flush runtime-pm queue after waking up the device (Íñigo Huguet) [2059999] - mt76: mt7615: add support for LG LGSBWAC02 (MT7663BUN) (Íñigo Huguet) [2059999] - mt76: mt7921: remove duplicated code in mt7921_mac_decode_he_radiotap (Íñigo Huguet) [2059999] - mt76: mt7915: add missing DATA4_TB_SPTL_REUSE1 to mt7915_mac_decode_he_radiotap (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_lmac_mapping in mt76-connac module (Íñigo Huguet) [2059999] - mt76: mt7915: enable radar background detection (Íñigo Huguet) [2059999] - mt76: mt7915: report radar pattern if detected by rdd2 (Íñigo Huguet) [2059999] - mt76: mt7915: introduce rdd_monitor debugfs node (Íñigo Huguet) [2059999] - mt76: mt7915: enable radar trigger on rdd2 (Íñigo Huguet) [2059999] - mt76: mt7915: introduce mt7915_set_radar_background routine (Íñigo Huguet) [2059999] - mt76: mt7915: set bssinfo/starec command when adding interface (Íñigo Huguet) [2059999] - mt76: mt7921: toggle runtime-pm adding a monitor vif (Íñigo Huguet) [2059999] - mt76: mt7921: fix endianness issues in mt7921_mcu_set_tx() (Íñigo Huguet) [2059999] - mt76: sdio: disable interrupt in mt76s_sdio_irq (Íñigo Huguet) [2059999] - mt76: mt7921: fix crash when startup fails. (Íñigo Huguet) [2059999] - mt76: mt7921s: fix mt7921s_mcu_[fw|drv]_pmctrl (Íñigo Huguet) [2059999] - mt76: mt7921: fix ht mcs in mt7921_mac_add_txs_skb() (Íñigo Huguet) [2059999] - mt76: mt7915: fix ht mcs in mt7915_mac_add_txs_skb() (Íñigo Huguet) [2059999] - mt76: mt7615: fix a leftover race in runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7921: fix a leftover race in runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7921: do not always disable fw runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7915: add support for passing chip/firmware debug data to user space (Íñigo Huguet) [2059999] - mt76: mt7615e: process txfree and txstatus without allocating skbs (Íñigo Huguet) [2059999] - mt76: mt7915: update bss_info with cipher after setting the group key (Íñigo Huguet) [2059999] - mt76: mt7615: update bss_info with cipher after setting the group key (Íñigo Huguet) [2059999] - mt76: connac: add support for passing the cipher field in bss_info (Íñigo Huguet) [2059999] - mt76: mt7921e: process txfree and txstatus without allocating skbs (Íñigo Huguet) [2059999] - mt76: mt7615: fix a possible race enabling/disabling runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7921: set EDCA parameters with the MCU CE command (Íñigo Huguet) [2059999] - mt76: mt76_connac: fix MCU_CE_CMD_SET_ROC definition error (Íñigo Huguet) [2059999] - mt76: mt7921: forbid the doze mode when coredump is in progress (Íñigo Huguet) [2059999] - mt76: mt7921e: make dev->fw_assert usage consistent (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_rdd_cmd in mt76-connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_set_rts_thresh (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_gen_dl_mode in mt76-connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_init_download (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_patch_sem_ctrl/mt76_connac_mcu_start_patch (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_restart in common module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_start_firmware (Íñigo Huguet) [2059999] - mt76: mt7921: get rid of mt7921_mcu_get_eeprom (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_set_pm in connac module (Íñigo Huguet) [2059999] - mt76: connac: introduce is_connac_v1 utility routine (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_wtbl_update_hdr_trans in connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_hdr_trans_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_ht_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_smps_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_uapsd (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_basic_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_generic_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_ba (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_ba_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_ba_tlv (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_bss_basic_tlv in connac module (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_bss_ext_tlv in connac module (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_bss_omac_tlv in connac module (Íñigo Huguet) [2059999] - mt76: mt7915: remove duplicated defs in mcu.h (Íñigo Huguet) [2059999] - mt76: mt7915: move pci specific code back to pci.c (Íñigo Huguet) [2059999] - mt76: mt7921s: update mt7921s_wfsys_reset sequence (Íñigo Huguet) [2059999] - mt76: mt7921s: clear MT76_STATE_MCU_RUNNING immediately after reset (Íñigo Huguet) [2059999] - mt76: sdio: lock sdio when it is needed (Íñigo Huguet) [2059999] - mt76: mt7915: use proper aid value in mt7915_mcu_sta_basic_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: use proper aid value in mt7915_mcu_wtbl_generic_tlv in sta mode (Íñigo Huguet) [2059999] - mt76: make mt76_sar_capa static (Íñigo Huguet) [2059999] - mt76: mt7915: add device id for mt7916 (Íñigo Huguet) [2059999] - mt76: set wlan_idx_hi on mt7916 (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_add_key in connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_get_phy utilities (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_chan_bw in common code (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_get_cipher in common code (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_add_tlv routine (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_alloc_wtbl_req (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_alloc_sta_req (Íñigo Huguet) [2059999] - mt76: connac: fix sta_rec_wtbl tag len (Íñigo Huguet) [2059999] - mt76: mt7915: add mt7916 calibrated data support (Íñigo Huguet) [2059999] - mt76: mt7915: update mt7915_chan_mib_offs for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: update rx rate reporting for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: add txfree event v3 (Íñigo Huguet) [2059999] - mt76: mt7915: enlarge wcid size to 544 (Íñigo Huguet) [2059999] - mt76: mt7915: rework eeprom.c to adapt mt7916 changes (Íñigo Huguet) [2059999] - mt76: mt7915: add firmware support for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: rework dma.c to adapt mt7916 changes (Íñigo Huguet) [2059999] - mt76: add MT_RXQ_MAIN_WA for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: refine register definition (Íñigo Huguet) [2059999] - mt76: mt7915: add mt7915_mmio_probe() as a common probing function (Íñigo Huguet) [2059999] - mt76: mt7915: fix polling firmware-own status (Íñigo Huguet) [2059999] - brcmfmac: pcie: Read the console on init and shutdown (Íñigo Huguet) [2059999] - brcmfmac: fwil: Constify iovar name arguments (Íñigo Huguet) [2059999] - brcmfmac: of: Use devm_kstrdup for board_type & check for errors (Íñigo Huguet) [2059999] - brcmfmac: pcie: Fix crashes due to early IRQs (Íñigo Huguet) [2059999] - brcmfmac: pcie: Replace brcmf_pcie_copy_mem_todev with memcpy_toio (Íñigo Huguet) [2059999] - brcmfmac: pcie: Declare missing firmware files in pcie.c (Íñigo Huguet) [2059999] - brcmfmac: firmware: Allocate space for default boardrev in nvram (Íñigo Huguet) [2059999] - brcmfmac: pcie: Release firmwares in the brcmf_pcie_setup error path (Íñigo Huguet) [2059999] - rtlwifi: remove redundant initialization of variable ul_encalgo (Íñigo Huguet) [2059999] - ray_cs: Check ioremap return value (Íñigo Huguet) [2059999] - ath11k: add debugfs for TWT debug calls (Íñigo Huguet) [2059999] - ath11k: add WMI calls to manually add/del/pause/resume TWT dialogs (Íñigo Huguet) [2059999] - ath11k: Add debugfs interface to configure firmware debug log level (Íñigo Huguet) [2059999] - cw1200: wsm: make array queue_id_to_wmm_aci static const (Íñigo Huguet) [2059999] - wilc1000: use min_t() to make code cleaner (Íñigo Huguet) [2059999] - rtw88: rtw8821c: enable rfe 6 devices (Íñigo Huguet) [2059999] - rtw88: fix memory overrun and memory leak during hw_scan (Íñigo Huguet) [2059999] - rtw88: fix idle mode flow for hw scan (Íñigo Huguet) [2059999] - rtw88: check for validity before using a pointer (Íñigo Huguet) [2059999] - carl9170: fix missing bit-wise or operator for tx_params (Íñigo Huguet) [2059999] - wcn36xx: Differentiate wcn3660 from wcn3620 (Íñigo Huguet) [2059999] - ath11k: mhi: use mhi_sync_power_up() (Íñigo Huguet) [2059999] - ath11k: pci: fix crash on suspend if board file is not found (Íñigo Huguet) [2059999] - ath11k: Fix missing rx_desc_get_ldpc_support in wcn6855_ops (Íñigo Huguet) [2059999] - ath11k: Fix uninitialized symbol 'rx_buf_sz' (Íñigo Huguet) [2059999] - ath11k: fix kernel panic during unload/load ath11k modules (Íñigo Huguet) [2059999] - ath11k: Rename ath11k_ahb_ext_irq_config (Íñigo Huguet) [2059999] - brcmfmac: add CYW43570 PCIE device (Íñigo Huguet) [2059999] - brcmfmac: use ISO3166 country code and 0 rev as fallback on some devices (Íñigo Huguet) [2059999] - rtw89: use pci_read/write_config instead of dbi read/write (Íñigo Huguet) [2059999] - rtw89: refine DIG feature to support 160M and CCK PD (Íñigo Huguet) [2059999] - rtw89: fix maybe uninitialized `qempty` variable (Íñigo Huguet) [2059999] - rtw89: include subband type in channel params (Íñigo Huguet) [2059999] - rtw89: handle 6G band if supported by a chipset (Íñigo Huguet) [2059999] - rtw89: separate {init,deinit}_addr_cam functions (Íñigo Huguet) [2059999] - rtw89: set mac_id and port ID to TXWD (Íñigo Huguet) [2059999] - rtw89: send broadcast/multicast packets via HIQ if STAs are in sleep mode (Íñigo Huguet) [2059999] - rtw89: configure mac port HIQ registers (Íñigo Huguet) [2059999] - rtw89: rename vif_maintain to role_maintain (Íñigo Huguet) [2059999] - rtw89: extend firmware commands on states of sta_assoc and sta_disconnect (Íñigo Huguet) [2059999] - rtw89: allocate mac_id for each station in AP mode (Íñigo Huguet) [2059999] - rtw89: implement mac80211_ops::set_tim to indicate STA to receive packets (Íñigo Huguet) [2059999] - rtw89: add C2H handle of BCN_CNT (Íñigo Huguet) [2059999] - rtw89: download beacon content to firmware (Íñigo Huguet) [2059999] - rtw89: use hardware SSN to TX management frame (Íñigo Huguet) [2059999] - rtw89: configure rx_filter according to FIF_PROBE_REQ (Íñigo Huguet) [2059999] - rtw89: correct use of BA CAM (Íñigo Huguet) [2059999] - rtw89: encapsulate RX handlers to single function (Íñigo Huguet) [2059999] - rtw89: Add RX counters of VHT MCS-10/11 to debugfs (Íñigo Huguet) [2059999] - rtw89: remove duplicate definition of hardware port number (Íñigo Huguet) [2059999] - rtw89: extract modules by chipset (Íñigo Huguet) [2059999] - ath11k: avoid firmware crash when reg set for QCA6390/WCN6855 (Íñigo Huguet) [2059999] - ath11k: set WMI_PEER_40MHZ while peer assoc for 6 GHz (Íñigo Huguet) [2059999] - ath9k_htc: fix uninit value bugs (Íñigo Huguet) [2059999] - wcn36xx: Implement get_snr() (Íñigo Huguet) [2059999] - ath10k: abstract htt_rx_desc structure (Íñigo Huguet) [2059999] - wcn36xx: Use platform_get_irq_byname() to get the interrupt (Íñigo Huguet) [2059999] - ath9k: remove redundant status variable (Íñigo Huguet) [2059999] - ath11k: Reconfigure hardware rate for WCN6855 after vdev is started (Íñigo Huguet) [2059999] - ath: dfs_pattern_detector: Avoid open coded arithmetic in memory allocation (Íñigo Huguet) [2059999] - ath10k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2059999] - ath5k: fix ah_txq_isr_txok_all setting (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_txurn member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_qcborn member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_qcburn member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_qtrig member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath11k: fix error code in ath11k_qmi_assign_target_mem_chunk() (Íñigo Huguet) [2059999] - ath11k: move function ath11k_dp_rx_process_mon_status (Íñigo Huguet) [2059999] - ath11k: enable RX PPDU stats in monitor co-exist mode (Íñigo Huguet) [2059999] - ath5k: fix OOB in ath5k_eeprom_read_pcal_info_5111 (Íñigo Huguet) [2059999] - ath11k: free peer for station when disconnect from AP for QCA6390/WCN6855 (Íñigo Huguet) [2059999] - ath11k: add LDPC FEC type in 802.11 radiotap header (Íñigo Huguet) [2059999] - ath11k: Refactor the fallback routine when peer create fails (Íñigo Huguet) [2059999] - ath11k: fix workqueue not getting destroyed after rmmod (Íñigo Huguet) [2059999] - ath10k: fix memory overwrite of the WoWLAN wakeup packet pattern (Íñigo Huguet) [2059999] - ath11k: add missing of_node_put() to avoid leak (Íñigo Huguet) [2059999] - Revert "ath10k: drop beacon and probe response which leak from other channel" (Íñigo Huguet) [2059999] - iwlwifi: mvm: return value for request_ownership (Íñigo Huguet) [2059999] - nl80211: Update bss channel on channel switch for P2P_CLIENT (Íñigo Huguet) [2059999] - config: wireless: IWLMEI=n (Íñigo Huguet) [2059999] - iwlwifi: fix build error for IWLMEI (Íñigo Huguet) [2059999] - mac80211: treat some SAE auth steps as final (Íñigo Huguet) [2059999] - nl80211: Handle nla_memdup failures in handle_nan_filter (Íñigo Huguet) [2059999] - iwlwifi: mvm: check debugfs_dir ptr before use (Íñigo Huguet) [2059999] - iwlwifi: don't advertise TWT support (Íñigo Huguet) [2059999] - rfkill: define rfill_soft_blocked() if !RFKILL (Íñigo Huguet) [2059999] - mac80211: fix forwarded mesh frames AC & queue selection (Íñigo Huguet) [2059999] - mac80211: refuse aggregations sessions before authorized (Íñigo Huguet) [2059999] - mac80211: fix EAPoL rekey fail in 802.3 rx path (Íñigo Huguet) [2059999] - iwlwifi: fix use-after-free (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add mru_default for Cinterion MV31-W (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add mru_default for Foxconn SDX55 (Íñigo Huguet) [2059999] - cfg80211: fix race in netlink owner interface destruction (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't send SAR GEO command for 3160 devices (Íñigo Huguet) [2059999] - iwlwifi: remove deprecated broadcast filtering feature (Íñigo Huguet) [2059999] - iwlwifi: mei: report RFKILL upon register when needed (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't feed the hardware RFKILL into iwlmei (Íñigo Huguet) [2059999] - iwlwifi: mei: retry mapping the shared area (Íñigo Huguet) [2059999] - iwlwifi: mei: fix the pskb_may_pull check in ipv4 (Íñigo Huguet) [2059999] - iwlwifi: pcie: gen2: fix locking when "HW not ready" (Íñigo Huguet) [2059999] - iwlwifi: pcie: fix locking when "HW not ready" (Íñigo Huguet) [2059999] - iwlwifi: fix iwl_legacy_rate_to_fw_idx (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix condition which checks the version of rate_n_flags (Íñigo Huguet) [2059999] - mac80211_hwsim: initialize ieee80211_tx_info at hw_scan_work (Íñigo Huguet) [2059999] - mac80211_hwsim: report NOACK frames in tx_status (Íñigo Huguet) [2059999] - mac80211: mlme: check for null after calling kmemdup (Íñigo Huguet) [2059999] - brcmfmac: firmware: Fix crash in brcm_alt_fw_path (Íñigo Huguet) [2059999] - mac80211: use ieee80211_bss_get_elem() (Íñigo Huguet) [2059999] - nl80211: clarify comment for mesh PLINK_BLOCKED state (Íñigo Huguet) [2059999] - mac80211: Add stations iterator where the iterator function may sleep (Íñigo Huguet) [2059999] - mac80211: allow non-standard VHT MCS-10/11 (Íñigo Huguet) [2059999] - codel: remove unnecessary pkt_sched.h include (Íñigo Huguet) [2059999] - codel: remove unnecessary sock.h include (Íñigo Huguet) [2059999] - wilc1000: Add reset/enable GPIO support to SPI driver (Íñigo Huguet) [2059999] - wilc1000: Convert static "chipid" variable to device-local variable (Íñigo Huguet) [2059999] - rtw88: don't consider deep PS mode when transmitting packet (Íñigo Huguet) [2059999] - ath11k: add support of firmware logging for WCN6855 (Íñigo Huguet) [2059999] - ath10k: replace strlcpy with strscpy (Íñigo Huguet) [2059999] - rtw88: support SAR via kernel common API (Íñigo Huguet) [2059999] - rtw88: 8822c: add ieee80211_ops::hw_scan (Íñigo Huguet) [2059999] - iwlwifi: mei: wait before mapping the shared area (Íñigo Huguet) [2059999] - iwlwifi: mei: clear the ownership when the driver goes down (Íñigo Huguet) [2059999] - iwlwifi: fw: fix some scan kernel-doc (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove card state notification code (Íñigo Huguet) [2059999] - iwlwifi: mvm: drop too short packets silently (Íñigo Huguet) [2059999] - iwlwifi: return op_mode only in case the failure is from MEI (Íñigo Huguet) [2059999] - iwlwifi: mvm: support Bz TX checksum offload (Íñigo Huguet) [2059999] - iwlwifi: mvm: add US/CA to TAS block list if OEM isn't allowed (Íñigo Huguet) [2059999] - iwlwifi: mvm: correctly set schedule scan profiles (Íñigo Huguet) [2059999] - iwlwifi: mvm: correctly set channel flags (Íñigo Huguet) [2059999] - iwlwifi: mvm: always store the PPAG table as the latest version. (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 69 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: yoyo: support TLV-based firmware reset (Íñigo Huguet) [2059999] - iwlwifi: mvm: change old-SN drop threshold (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't trust hardware queue number (Íñigo Huguet) [2059999] - iwlwifi: mvm: handle RX checksum on Bz devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: use a define for checksum flags mask (Íñigo Huguet) [2059999] - iwlwifi: remove module loading failure message (Íñigo Huguet) [2059999] - iwlwifi: mvm: isolate offload assist (checksum) calculation (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for OCE scan (Íñigo Huguet) [2059999] - iwlwifi: dump RCM error tables (Íñigo Huguet) [2059999] - iwlwifi: dump both TCM error tables if present (Íñigo Huguet) [2059999] - iwlwifi: dump CSR scratch from outer function (Íñigo Huguet) [2059999] - iwlwifi: parse error tables from debug TLVs (Íñigo Huguet) [2059999] - iwlwifi: recognize missing PNVM data and then log filename (Íñigo Huguet) [2059999] - iwlwifi: rs: add support for TLC config command ver 4 (Íñigo Huguet) [2059999] - iwlwifi: mvm: rfi: update rfi table (Íñigo Huguet) [2059999] - iwlwifi: mvm: Add list of OEMs allowed to use TAS (Íñigo Huguet) [2059999] - iwlwifi: mvm: support revision 1 of WTAS table (Íñigo Huguet) [2059999] - iwlwifi: fw: remove dead error log code (Íñigo Huguet) [2059999] - iwlwifi: do not use __unused as variable name (Íñigo Huguet) [2059999] - iwlwifi: iwl-eeprom-parse: mostly dvm only (Íñigo Huguet) [2059999] - iwlwifi: mvm: clean up indenting in iwl_mvm_tlc_update_notif() (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix a stray tab (Íñigo Huguet) [2059999] - ath11k: add regdb.bin download for regdb offload (Íñigo Huguet) [2059999] - rtl8xxxu: Improve the A-MPDU retransmission rate with RTS/CTS protection (Íñigo Huguet) [2059999] - rtw88: don't check CRC of VHT-SIG-B in 802.11ac signal (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix imbalanced locking in iwl_mvm_start_get_nvm() (Íñigo Huguet) [2059999] - iwlwifi: mvm: add dbg_time_point to debugfs (Íñigo Huguet) [2059999] - iwlwifi: mvm: add missing min_size to kernel-doc (Íñigo Huguet) [2059999] - iwlwifi: mei: fix W=1 warnings (Íñigo Huguet) [2059999] - ath11k: add support for hardware rfkill for QCA6390 (Íñigo Huguet) [2059999] - ath11k: report tx bitrate for iw wlan station dump (Íñigo Huguet) [2059999] - ath11k: fix warning of RCU usage for ath11k_mac_get_arvif_by_vdev_id() (Íñigo Huguet) [2059999] - ath11k: add signal report to mac80211 for QCA6390 and WCN6855 (Íñigo Huguet) [2059999] - ath11k: report rssi of each chain to mac80211 for QCA6390/WCN6855 (Íñigo Huguet) [2059999] - cfg80211: Enable regulatory enforcement checks for drivers supporting mesh iface (Íñigo Huguet) [2059999] - rfkill: allow to get the software rfkill state (Íñigo Huguet) [2059999] - cfg80211: refactor cfg80211_get_ies_channel_number() (Íñigo Huguet) [2059999] - nl82011: clarify interface combinations wrt. channels (Íñigo Huguet) [2059999] - nl80211: Add support to offload SA Query procedures for AP SME device (Íñigo Huguet) [2059999] - nl80211: Add support to set AP settings flags with single attribute (Íñigo Huguet) [2059999] - mac80211: add more HT/VHT/HE state logging (Íñigo Huguet) [2059999] - cfg80211: Use the HE operation IE to determine a 6GHz BSS channel (Íñigo Huguet) [2059999] - cfg80211: rename offchannel_chain structs to background_chain to avoid confusion with ETSI standard (Íñigo Huguet) [2059999] - mac80211: Notify cfg80211 about association comeback (Íñigo Huguet) [2059999] - cfg80211: Add support for notifying association comeback (Íñigo Huguet) [2059999] - mac80211: introduce channel switch disconnect function (Íñigo Huguet) [2059999] - cfg80211: Fix order of enum nl80211_band_iftype_attr documentation (Íñigo Huguet) [2059999] - cfg80211: simplify cfg80211_chandef_valid() (Íñigo Huguet) [2059999] - mac80211: Remove a couple of obsolete TODO (Íñigo Huguet) [2059999] - mac80211: use coarse boottime for airtime fairness code (Íñigo Huguet) [2059999] - mt76: mt7921s: fix cmd timeout in throughput test (Íñigo Huguet) [2059999] - mt76: mt7921s: fix suspend error with enlarging mcu timeout value (Íñigo Huguet) [2059999] - mt76: mt7921s: make pm->suspended usage consistent (Íñigo Huguet) [2059999] - mt76: mt7921: clear pm->suspended in mt7921_mac_reset_work (Íñigo Huguet) [2059999] - mt76: connac: rely on le16_add_cpu in mt76_connac_mcu_add_nested_tlv (Íñigo Huguet) [2059999] - mt76: mt7921: remove dead definitions (Íñigo Huguet) [2059999] - mt76: mt7915: add mu-mimo and ofdma debugfs knobs (Íñigo Huguet) [2059999] - mt76: mt7915: introduce mt76_vif in mt7915_vif (Íñigo Huguet) [2059999] - mt76: mt7921: reduce log severity levels for informative messages (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac definitions (Íñigo Huguet) [2059999] - mt76: connac: rely on MCU_CMD macro (Íñigo Huguet) [2059999] - mt76: connac: introduce MCU_CE_CMD macro (Íñigo Huguet) [2059999] - mt76: connac: introduce MCU_UNI_CMD macro (Íñigo Huguet) [2059999] - mt76: connac: remove MCU_FW_PREFIX bit (Íñigo Huguet) [2059999] - mt76: connac: align MCU_EXT definitions with 7915 driver (Íñigo Huguet) [2059999] - mt76: connac: introduce MCU_EXT macros (Íñigo Huguet) [2059999] - mt76: mt7615: in debugfs queue stats, skip wmm index 3 on mt7663 (Íñigo Huguet) [2059999] - mt76: mt7915: process txfree and txstatus without allocating skbs (Íñigo Huguet) [2059999] - mt76: allow drivers to drop rx packets early (Íñigo Huguet) [2059999] - mt76: mt7663: disable 4addr capability (Íñigo Huguet) [2059999] - mt76: only access ieee80211_hdr after mt76_insert_ccmp_hdr (Íñigo Huguet) [2059999] - mt76: move sar_capa configuration in common code (Íñigo Huguet) [2059999] - mt76: mt7921s: fix possible kernel crash due to invalid Rx count (Íñigo Huguet) [2059999] - mt76: mt7921s: fix bus hang with wrong privilege (Íñigo Huguet) [2059999] - mt76: eeprom: tolerate corrected bit-flips (Íñigo Huguet) [2059999] - mt76: mt7603: improve reliability of tx powersave filtering (Íñigo Huguet) [2059999] - mt76: clear sta powersave flag after notifying driver (Íñigo Huguet) [2059999] - mt76: mt7915: introduce SAR support (Íñigo Huguet) [2059999] - mt76: mt7603: introduce SAR support (Íñigo Huguet) [2059999] - mt76: mt7915: improve wmm index allocation (Íñigo Huguet) [2059999] - mt76: mt7615: improve wmm index allocation (Íñigo Huguet) [2059999] - mt76: mt7921s: fix the device cannot sleep deeply in suspend (Íñigo Huguet) [2059999] - mt76: mt7615: fix decap offload corner case with 4-addr VLAN frames (Íñigo Huguet) [2059999] - mt76: mt7915: fix decap offload corner case with 4-addr VLAN frames (Íñigo Huguet) [2059999] - mt76: mt76x02: introduce SAR support (Íñigo Huguet) [2059999] - mt76: move sar utilities to mt76-core module (Íñigo Huguet) [2059999] - mt76: mt7921: honor mt76_connac_mcu_set_rate_txpower return value in mt7921_config (Íñigo Huguet) [2059999] - mt76: do not pass the received frame with decryption error (Íñigo Huguet) [2059999] - mt76: connac: remove PHY_MODE_AX_6G configuration in mt76_connac_get_phy_mode (Íñigo Huguet) [2059999] - mt76: mt7615: remove dead code in get_omac_idx (Íñigo Huguet) [2059999] - mt76: mt7921: introduce 160 MHz channel bandwidth support (Íñigo Huguet) [2059999] - mt76: mt7921: add support for PCIe ID 0x0608/0x0616 (Íñigo Huguet) [2059999] - mt76: testmode: add support to set MAC (Íñigo Huguet) [2059999] - mt76: mt7915: add default calibrated data support (Íñigo Huguet) [2059999] - mt76: only set rx radiotap flag from within decoder functions (Íñigo Huguet) [2059999] - mt76: reverse the first fragmented frame to 802.11 (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Introduce Sierra EM919X support (Íñigo Huguet) [2059999] - bus: mhi: core: Add an API for auto queueing buffers for DL channel (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Simplify code and axe the use of a deprecated API (Íñigo Huguet) [2059999] - bus: mhi: core: Use macros for execution environment features (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add new device ID support for T99W175 (Íñigo Huguet) [2059999] - ath11k: Use reserved host DDR addresses from DT for PCI devices (Íñigo Huguet) [2059999] - wilc1000: Improve WILC TX performance when power_save is off (Íñigo Huguet) [2059999] - wilc1000: Rename workqueue from "WILC_wq" to "NETDEV-wq" (Íñigo Huguet) [2059999] - wilc1000: Rename tx task from "K_TXQ_TASK" to NETDEV-tx (Íñigo Huguet) [2059999] - wilc1000: Rename irq handler from "WILC_IRQ" to netdev name (Íñigo Huguet) [2059999] - wilc1000: Rename SPI driver from "WILC_SPI" to "wilc1000_spi" (Íñigo Huguet) [2059999] - wilc1000: Remove misleading USE_SPI_DMA macro (Íñigo Huguet) [2059999] - ath11k: Change qcn9074 fw to operate in mode-2 (Íñigo Huguet) [2059999] - ath11k: add ab to TARGET_NUM_VDEVS & co (Íñigo Huguet) [2059999] - brcmfmac: Fix incorrect type assignments for keep-alive (Íñigo Huguet) [2059999] - ath11k: Avoid false DEADLOCK warning reported by lockdep (Íñigo Huguet) [2059999] - ath11k: set DTIM policy to stick mode for station interface (Íñigo Huguet) [2059999] - ath11k: support MAC address randomization in scan (Íñigo Huguet) [2059999] - ath10k: wmi: remove array of flexible structures (Íñigo Huguet) [2059999] - ath10k: htt: remove array of flexible structures (Íñigo Huguet) [2059999] - ath10k: drop beacon and probe response which leak from other channel (Íñigo Huguet) [2059999] - ath11k: Process full monitor mode rx support (Íñigo Huguet) [2059999] - ath11k: add software monitor ring descriptor for full monitor (Íñigo Huguet) [2059999] - ath11k: Add htt cmd to enable full monitor mode (Íñigo Huguet) [2059999] - ath11k: enable IEEE80211_HW_SINGLE_SCAN_ON_ALL_BANDS for WCN6855 (Íñigo Huguet) [2059999] - wilc1000: Add id_table to spi_driver (Íñigo Huguet) [2059999] - rtw88: refine tx_pwr_tbl debugfs to show channel and bandwidth (Íñigo Huguet) [2059999] - rtw88: add debugfs to fix tx rate (Íñigo Huguet) [2059999] - ath: regdom: extend South Korea regulatory domain support (Íñigo Huguet) [2059999] - ath11k: add wait operation for tx management packets for flush from mac80211 (Íñigo Huguet) [2059999] - ath11k: add 11d scan offload support (Íñigo Huguet) [2059999] - ath11k: add configure country code for QCA6390 and WCN6855 (Íñigo Huguet) [2059999] - ath11k: avoid deadlock by change ieee80211_queue_work for regd_update_work (Íñigo Huguet) [2059999] - iwlwifi: work around reverse dependency on MEI (Íñigo Huguet) [2059999] - iwlwifi: mvm: optionally suppress assert log (Íñigo Huguet) [2059999] - iwlwifi: fw: correctly detect HW-SMEM region subtype (Íñigo Huguet) [2059999] - iwlwifi: implement reset flow for Bz devices (Íñigo Huguet) [2059999] - iwlwifi: dbg: disable ini debug in 8000 family and below (Íñigo Huguet) [2059999] - iwlwifi: support SAR GEO Offset Mapping override via BIOS (Íñigo Huguet) [2059999] - iwlwifi: pcie: retake ownership after reset (Íñigo Huguet) [2059999] - iwlwifi: mvm: always use 4K RB size by default (Íñigo Huguet) [2059999] - iwlwifi: mvm/api: define system control command (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 68 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: add some missing command strings (Íñigo Huguet) [2059999] - iwlwifi: fw: add support for splitting region type bits (Íñigo Huguet) [2059999] - iwlwifi: swap 1650i and 1650s killer struct names (Íñigo Huguet) [2059999] - iwlwifi: yoyo: support for DBGC4 for dram (Íñigo Huguet) [2059999] - iwlwifi: mvm: update rate scale in moving back to assoc state (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for statistics update version 15 (Íñigo Huguet) [2059999] - iwlwifi: mvm: Add support for a new version of scan request command (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove session protection upon station removal (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for PHY context command v4 (Íñigo Huguet) [2059999] - iwlwifi: fw: api: add link to PHY context command struct v1 (Íñigo Huguet) [2059999] - iwlwifi: mvm: support RLC configuration command (Íñigo Huguet) [2059999] - iwlwifi: mvm: d3: support v12 wowlan status (Íñigo Huguet) [2059999] - iwlwifi: mvm: parse firmware alive message version 6 (Íñigo Huguet) [2059999] - iwlwifi: pcie: support Bz suspend/resume trigger (Íñigo Huguet) [2059999] - iwlwifi: mvm: d3: move GTK rekeys condition (Íñigo Huguet) [2059999] - ath11k: change to use dynamic memory for channel list of scan (Íñigo Huguet) [2059999] - ath11k: add support for WCN6855 hw2.1 (Íñigo Huguet) [2059999] - ath9k: switch to rate table based lookup (Íñigo Huguet) [2059999] - iwlwifi: mvm: demote non-compliant kernel-doc header (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix a possible NULL pointer deference (Íñigo Huguet) [2059999] - iwlwifi: mei: Fix spelling mistake "req_ownserhip" -> "req_ownership" (Íñigo Huguet) [2059999] - iwlwifi: mei: don't rely on the size from the shared area (Íñigo Huguet) [2059999] - iwlwifi: mei: fix linking when tracing is not enabled (Íñigo Huguet) [2059999] - ath11k: Avoid NULL ptr access during mgmt tx cleanup (Íñigo Huguet) [2059999] - rtlwifi: rtl8192de: Style clean-ups (Íñigo Huguet) [2059999] - brcmfmac: Configure keep-alive packet on suspend (Íñigo Huguet) [2059999] - ieee80211: change HE nominal packet padding value defines (Íñigo Huguet) [2059999] - cfg80211: use ieee80211_bss_get_elem() instead of _get_ie() (Íñigo Huguet) [2059999] - wilc1000: remove '-Wunused-but-set-variable' warning in chip_wakeup() (Íñigo Huguet) [2059999] - iwlwifi: mvm: read the rfkill state and feed it to iwlmei (Íñigo Huguet) [2059999] - iwlwifi: mvm: add vendor commands needed for iwlmei (Íñigo Huguet) [2059999] - iwlwifi: integrate with iwlmei (Íñigo Huguet) [2059999] - iwlwifi: mei: add debugfs hooks (Íñigo Huguet) [2059999] - iwlwifi: mei: add the driver to allow cooperation with CSME (Íñigo Huguet) [2059999] - mwifiex: Ensure the version string from the firmware is 0-terminated (Íñigo Huguet) [2059999] - mwifiex: Add quirk to disable deep sleep with certain hardware revision (Íñigo Huguet) [2059999] - mwifiex: Use a define for firmware version string length (Íñigo Huguet) [2059999] - rtw88: add debugfs to force lowest basic rate (Íñigo Huguet) [2059999] - rtw88: follow the AP basic rates for tx mgmt frame (Íñigo Huguet) [2059999] - mac80211: Use memset_after() to clear tx status (Íñigo Huguet) [2059999] - mac80211: notify non-transmitting BSS of color changes (Íñigo Huguet) [2059999] - mac80211: minstrel_ht: remove unused SAMPLE_SWITCH_THR define (Íñigo Huguet) [2059999] - cfg80211: allow continuous radar monitoring on offchannel chain (Íñigo Huguet) [2059999] - cfg80211: schedule offchan_cac_abort_wk in cfg80211_radar_event (Íñigo Huguet) [2059999] - cfg80211: delete redundant free code (Íñigo Huguet) [2059999] - mac80211: add support for .ndo_fill_forward_path (Íñigo Huguet) [2059999] - mac80211: Remove unused assignment statements (Íñigo Huguet) [2059999] - cfg80211: fix possible NULL pointer dereference in cfg80211_stop_offchan_radar_detection (Íñigo Huguet) [2059999] - ath11k: Use memset_startat() for clearing queue descriptors (Íñigo Huguet) [2059999] - ath11k: Fix spelling mistake "detetction" -> "detection" (Íñigo Huguet) [2059999] - Revert "ath11k: add read variant from SMBIOS for download board data" (Íñigo Huguet) [2059999] - ath11k: add read variant from SMBIOS for download board data (Íñigo Huguet) [2059999] - ath11k: calculate the correct NSS of peer for HE capabilities (Íñigo Huguet) [2059999] - ath11k: change to treat alpha code na as world wide regdomain (Íñigo Huguet) [2059999] - wilc1000: copy address before calling wilc_set_mac_address (Íñigo Huguet) [2059999] - cfg80211: move offchan_cac_event to a dedicated work (Íñigo Huguet) [2059999] - mac80211_hwsim: Fix spelling mistake "Droping" -> "Dropping" (Íñigo Huguet) [2059999] - mac80211: introduce set_radar_offchan callback (Íñigo Huguet) [2059999] - cfg80211: implement APIs for dedicated radar detection HW (Íñigo Huguet) [2059999] - ath11k: add support for BSS color change (Íñigo Huguet) [2059999] - ath11k: add trace log support (Íñigo Huguet) [2059999] - ath11k: Add missing qmi_txn_cancel() (Íñigo Huguet) [2059999] - ath11k: Disabling credit flow for WMI path (Íñigo Huguet) [2059999] - ath11k: Increment pending_mgmt_tx count before tx send invoke (Íñigo Huguet) [2059999] - ath11k: send proper txpower and maxregpower values to firmware (Íñigo Huguet) [2059999] - ath11k: move peer delete after vdev stop of station for QCA6390 and WCN6855 (Íñigo Huguet) [2059999] - ath11k: remove return for empty tx bitrate in mac_op_sta_statistics (Íñigo Huguet) [2059999] - ath11k: enable IEEE80211_VHT_EXT_NSS_BW_CAPABLE if NSS ratio enabled (Íñigo Huguet) [2059999] - ath11k: avoid unnecessary lock contention in tx_completion path (Íñigo Huguet) [2059999] - ath11k: add branch predictors in dp_tx path (Íñigo Huguet) [2059999] - ath11k: avoid while loop in ring selection of tx completion interrupt (Íñigo Huguet) [2059999] - ath11k: remove mod operator in dst ring processing (Íñigo Huguet) [2059999] - ath11k: allocate HAL_WBM2SW_RELEASE ring from cacheable memory (Íñigo Huguet) [2059999] - ath11k: add branch predictors in process_rx (Íñigo Huguet) [2059999] - ath11k: remove usage quota while processing rx packets (Íñigo Huguet) [2059999] - ath11k: avoid active pdev check for each msdu (Íñigo Huguet) [2059999] - ath11k: avoid additional access to ath11k_hal_srng_dst_num_free (Íñigo Huguet) [2059999] - ath11k: modify dp_rx desc access wrapper calls inline (Íñigo Huguet) [2059999] - ath11k: allocate dst ring descriptors from cacheable memory (Íñigo Huguet) [2059999] - ath11k: disable unused CE8 interrupts for ipq8074 (Íñigo Huguet) [2059999] - ath11k: avoid unnecessary BH disable lock in STA kickout event (Íñigo Huguet) [2059999] - ath11k: enable 802.11 power save mode in station mode (Íñigo Huguet) [2059999] - ath11k: convert ath11k_wmi_pdev_set_ps_mode() to use enum wmi_sta_ps_mode (Íñigo Huguet) [2059999] - ath9k: use swap() to make code cleaner (Íñigo Huguet) [2059999] - ath10k: fetch (pre-)calibration data via nvmem subsystem (Íñigo Huguet) [2059999] - Revert "ath11k: add support for WCN6855 hw2.1" (Íñigo Huguet) [2059999] Resolves: rhbz#2097921, rhbz#2044294, rhbz#2096865, rhbz#2096777, rhbz#2001936, rhbz#2043793, rhbz#1873825, rhbz#2059999 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-24 07:11:43 +00:00
# CONFIG_IWLMEI is not set
CONFIG_IWLMVM=m
# CONFIG_IWLWIFI_BCAST_FILTERING is not set
CONFIG_IWLWIFI_DEBUGFS=y
CONFIG_IWLWIFI_DEBUG=y
CONFIG_IWLWIFI_DEVICE_TRACING=y
CONFIG_IWLWIFI=m
CONFIG_IXGBE_DCA=y
CONFIG_IXGBE_DCB=y
CONFIG_IXGBE_HWMON=y
CONFIG_IXGBE_IPSEC=y
CONFIG_IXGBE=m
CONFIG_IXGBEVF_IPSEC=y
CONFIG_IXGBEVF=m
# CONFIG_IXGB is not set
# CONFIG_JAILHOUSE_GUEST is not set
# CONFIG_JBD2_DEBUG is not set
CONFIG_JBD2=m
# CONFIG_JFFS2_FS is not set
# CONFIG_JFS_FS is not set
# CONFIG_JME is not set
CONFIG_JOLIET=y
# CONFIG_JSA1212 is not set
# CONFIG_JUMP_LABEL_FEATURE_CHECK_DEBUG is not set
CONFIG_JUMP_LABEL_FEATURE_CHECKS=y
CONFIG_JUMP_LABEL=y
# CONFIG_K3_DMA is not set
CONFIG_KALLSYMS_ALL=y
CONFIG_KALLSYMS_BASE_RELATIVE=y
CONFIG_KALLSYMS=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_KARMA_PARTITION is not set
kernel-5.14.0-80.el9 * Thu Apr 21 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-80.el9] - redhat: disable uncommon media device infrastructure (Jarod Wilson) [2074598] - netfilter: nf_tables: unregister flowtable hooks on netns exit (Florian Westphal) [2056869] - netfilter: nf_tables_offload: incorrect flow offload action array size (Florian Westphal) [2056869] {CVE-2022-25636} - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065350] {CVE-2022-1015} - scsi: qedi: Fix failed disconnect handling (Chris Leech) [2071524] - scsi: iscsi: Fix unbound endpoint error handling (Chris Leech) [2071524] - scsi: iscsi: Fix conn cleanup and stop race during iscsid restart (Chris Leech) [2071524] - scsi: iscsi: Fix endpoint reuse regression (Chris Leech) [2071524] - scsi: iscsi: Release endpoint ID when its freed (Chris Leech) [2071524] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2071524] - Revert "scsi: iscsi: Fix offload conn cleanup when iscsid restarts" (Chris Leech) [2071524] - scsi: iscsi: Speed up session unblocking and removal (Chris Leech) [2071524] - scsi: iscsi: Fix recovery and unblocking race (Chris Leech) [2071524] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Chris Leech) [2071524] - scsi: iscsi: Unblock session then wake up error handler (Chris Leech) [2071524] - scsi: iscsi: Fix set_param() handling (Chris Leech) [2071524] - scsi: iscsi: Fix iscsi_task use after free (Chris Leech) [2071524] - scsi: iscsi: Adjust iface sysfs attr detection (Chris Leech) [2071524] - scsi: qedi: Add support for fastpath doorbell recovery (Chris Leech) [2071524] - redhat: Enable KASAN on all ELN debug kernels (Nico Pache) [1995251] - sched/topology: Remove redundant variable and fix incorrect type in build_sched_domains (Phil Auld) [2065198] - sched/fair: Adjust the allowed NUMA imbalance when SD_NUMA spans multiple LLCs (Phil Auld) [2065198] - sched/fair: Improve consistency of allowed NUMA balance calculations (Phil Auld) [2065198] - redhat/configs: Enable CONFIG_RCU_SCALE_TEST & CONFIG_RCU_REF_SCALE_TEST (Waiman Long) [2070740] - thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL (David Arcari) [2039995] - thermal: int340x: Use struct_group() for memcpy() region (David Arcari) [2039995] - thermal: int340x: Limit Kconfig to 64-bit (David Arcari) [2039995] - thermal: int340x: fix build on 32-bit targets (David Arcari) [2039995 2040066] - thermal/drivers/int340x: processor_thermal: Suppot 64 bit RFIM responses (David Arcari) [2039995 2040066] - thermal/drivers/int340x: Improve the tcc offset saving for suspend/resume (David Arcari) [2039995] - thermal: int340x: delete bogus length check (David Arcari) [2039995] - thermal/drivers/int340x: Do not set a wrong tcc offset on resume (David Arcari) [2039995] - thermal/drivers/int340x: Use IMOK independently (David Arcari) [2039995] - stddef: Introduce struct_group() helper macro (David Arcari) [2039995] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2031240] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2031240] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2031240] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2031240] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2031240] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2031240] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2031240] Resolves: rhbz#2040066, rhbz#1995251, rhbz#2070740, rhbz#2031240, rhbz#2039995, rhbz#2065198 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-21 08:30:49 +00:00
CONFIG_KASAN_GENERIC=y
CONFIG_KASAN_INLINE=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_KASAN_KUNIT_TEST=m
# CONFIG_KASAN_MODULE_TEST is not set
# CONFIG_KASAN_OUTLINE is not set
CONFIG_KASAN_VMALLOC=y
kernel-5.14.0-80.el9 * Thu Apr 21 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-80.el9] - redhat: disable uncommon media device infrastructure (Jarod Wilson) [2074598] - netfilter: nf_tables: unregister flowtable hooks on netns exit (Florian Westphal) [2056869] - netfilter: nf_tables_offload: incorrect flow offload action array size (Florian Westphal) [2056869] {CVE-2022-25636} - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065350] {CVE-2022-1015} - scsi: qedi: Fix failed disconnect handling (Chris Leech) [2071524] - scsi: iscsi: Fix unbound endpoint error handling (Chris Leech) [2071524] - scsi: iscsi: Fix conn cleanup and stop race during iscsid restart (Chris Leech) [2071524] - scsi: iscsi: Fix endpoint reuse regression (Chris Leech) [2071524] - scsi: iscsi: Release endpoint ID when its freed (Chris Leech) [2071524] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2071524] - Revert "scsi: iscsi: Fix offload conn cleanup when iscsid restarts" (Chris Leech) [2071524] - scsi: iscsi: Speed up session unblocking and removal (Chris Leech) [2071524] - scsi: iscsi: Fix recovery and unblocking race (Chris Leech) [2071524] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Chris Leech) [2071524] - scsi: iscsi: Unblock session then wake up error handler (Chris Leech) [2071524] - scsi: iscsi: Fix set_param() handling (Chris Leech) [2071524] - scsi: iscsi: Fix iscsi_task use after free (Chris Leech) [2071524] - scsi: iscsi: Adjust iface sysfs attr detection (Chris Leech) [2071524] - scsi: qedi: Add support for fastpath doorbell recovery (Chris Leech) [2071524] - redhat: Enable KASAN on all ELN debug kernels (Nico Pache) [1995251] - sched/topology: Remove redundant variable and fix incorrect type in build_sched_domains (Phil Auld) [2065198] - sched/fair: Adjust the allowed NUMA imbalance when SD_NUMA spans multiple LLCs (Phil Auld) [2065198] - sched/fair: Improve consistency of allowed NUMA balance calculations (Phil Auld) [2065198] - redhat/configs: Enable CONFIG_RCU_SCALE_TEST & CONFIG_RCU_REF_SCALE_TEST (Waiman Long) [2070740] - thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL (David Arcari) [2039995] - thermal: int340x: Use struct_group() for memcpy() region (David Arcari) [2039995] - thermal: int340x: Limit Kconfig to 64-bit (David Arcari) [2039995] - thermal: int340x: fix build on 32-bit targets (David Arcari) [2039995 2040066] - thermal/drivers/int340x: processor_thermal: Suppot 64 bit RFIM responses (David Arcari) [2039995 2040066] - thermal/drivers/int340x: Improve the tcc offset saving for suspend/resume (David Arcari) [2039995] - thermal: int340x: delete bogus length check (David Arcari) [2039995] - thermal/drivers/int340x: Do not set a wrong tcc offset on resume (David Arcari) [2039995] - thermal/drivers/int340x: Use IMOK independently (David Arcari) [2039995] - stddef: Introduce struct_group() helper macro (David Arcari) [2039995] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2031240] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2031240] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2031240] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2031240] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2031240] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2031240] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2031240] Resolves: rhbz#2040066, rhbz#1995251, rhbz#2070740, rhbz#2031240, rhbz#2039995, rhbz#2065198 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-21 08:30:49 +00:00
CONFIG_KASAN=y
# CONFIG_KCOV is not set
CONFIG_KDB_CONTINUE_CATASTROPHIC=0
CONFIG_KDB_DEFAULT_ENABLE=0x0
CONFIG_KDB_KEYBOARD=y
# CONFIG_KERNEL_BZIP2 is not set
CONFIG_KERNEL_GZIP=y
# CONFIG_KERNEL_LZ4 is not set
# CONFIG_KERNEL_LZMA is not set
# CONFIG_KERNEL_LZO is not set
# CONFIG_KERNEL_XZ is not set
# CONFIG_KERNEL_ZSTD is not set
CONFIG_KEXEC_FILE=y
kernel-5.14.0-21.el9 * Thu Nov 25 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-21.el9] - clocksource: Increase WATCHDOG_MAX_SKEW (Waiman Long) [2017164] - x86/hpet: Use another crystalball to evaluate HPET usability (Waiman Long) [2017164] - scsi: target: Fix the pgr/alua_support_store functions (Maurizio Lombardi) [2023439] - redhat: fix typo and make the output more silent for dist-git sync (Herton R. Krzesinski) - x86: ACPI: cstate: Optimize C3 entry on AMD CPUs (David Arcari) [1998526] - scsi: lpfc: Update lpfc version to 14.0.0.3 (Dick Kennedy) [2021327] - scsi: lpfc: Allow fabric node recovery if recovery is in progress before devloss (Dick Kennedy) [2021327] - scsi: lpfc: Fix link down processing to address NULL pointer dereference (Dick Kennedy) [2021327] - scsi: lpfc: Allow PLOGI retry if previous PLOGI was aborted (Dick Kennedy) [2021327] - scsi: lpfc: Fix use-after-free in lpfc_unreg_rpi() routine (Dick Kennedy) [2021327] - scsi: lpfc: Correct sysfs reporting of loop support after SFP status change (Dick Kennedy) [2021327] - scsi: lpfc: Wait for successful restart of SLI3 adapter during host sg_reset (Dick Kennedy) [2021327] - scsi: lpfc: Revert LOG_TRACE_EVENT back to LOG_INIT prior to driver_resource_setup() (Dick Kennedy) [2021327] - x86/Kconfig: Do not enable AMD_MEM_ENCRYPT_ACTIVE_BY_DEFAULT automatically (Prarit Bhargava) [2021200] - ucounts: Move get_ucounts from cred_alloc_blank to key_change_session_keyring (Alexey Gladkov) [2018142] - ucounts: Proper error handling in set_cred_ucounts (Alexey Gladkov) [2018142] - ucounts: Pair inc_rlimit_ucounts with dec_rlimit_ucoutns in commit_creds (Alexey Gladkov) [2018142] - ucounts: Fix signal ucount refcounting (Alexey Gladkov) [2018142] - x86/cpu: Fix migration safety with X86_BUG_NULL_SEL (Vitaly Kuznetsov) [2016959] - ip6_gre: Revert "ip6_gre: add validation for csum_start" (Guillaume Nault) [2014993] - ip_gre: validate csum_start only on pull (Guillaume Nault) [2014993] - redhat/configs: enable KEXEC_IMAGE_VERIFY_SIG for RHEL (Coiby Xu) [1994858] - redhat/configs: enable KEXEC_SIG for aarch64 RHEL (Coiby Xu) [1994858] - kernel.spec: add bpf_testmod.ko to kselftests/bpf (Viktor Malik) [2006318 2006319] - netfilter: Add deprecation notices for xtables (Phil Sutter) [1945179] - redhat: Add mark_driver_deprecated() (Phil Sutter) [1945179] Resolves: rhbz#1945179, rhbz#1994858, rhbz#1998526, rhbz#2006318, rhbz#2006319, rhbz#2014993, rhbz#2016959, rhbz#2017164, rhbz#2018142, rhbz#2021200, rhbz#2021327, rhbz#2023439 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-25 23:02:06 +00:00
CONFIG_KEXEC_IMAGE_VERIFY_SIG=y
CONFIG_KEXEC_SIG=y
CONFIG_KEXEC=y
# CONFIG_KEYBOARD_ADC is not set
# CONFIG_KEYBOARD_ADP5588 is not set
# CONFIG_KEYBOARD_ADP5589 is not set
# CONFIG_KEYBOARD_APPLESPI is not set
# CONFIG_KEYBOARD_ATKBD is not set
# CONFIG_KEYBOARD_BCM is not set
# CONFIG_KEYBOARD_CAP11XX is not set
# CONFIG_KEYBOARD_DLINK_DIR685 is not set
# CONFIG_KEYBOARD_GPIO is not set
# CONFIG_KEYBOARD_GPIO_POLLED is not set
# CONFIG_KEYBOARD_LKKBD is not set
# CONFIG_KEYBOARD_LM8323 is not set
# CONFIG_KEYBOARD_LM8333 is not set
# CONFIG_KEYBOARD_MATRIX is not set
# CONFIG_KEYBOARD_MAX7359 is not set
# CONFIG_KEYBOARD_MCS is not set
# CONFIG_KEYBOARD_MPR121 is not set
# CONFIG_KEYBOARD_NEWTON is not set
# CONFIG_KEYBOARD_OMAP4 is not set
# CONFIG_KEYBOARD_OPENCORES is not set
# CONFIG_KEYBOARD_QT1050 is not set
# CONFIG_KEYBOARD_QT1070 is not set
# CONFIG_KEYBOARD_QT2160 is not set
# CONFIG_KEYBOARD_SAMSUNG is not set
# CONFIG_KEYBOARD_STOWAWAY is not set
# CONFIG_KEYBOARD_SUNKBD is not set
# CONFIG_KEYBOARD_TCA6416 is not set
# CONFIG_KEYBOARD_TCA8418 is not set
# CONFIG_KEYBOARD_TM2_TOUCHKEY is not set
# CONFIG_KEYBOARD_XTKBD is not set
CONFIG_KEY_DH_OPERATIONS=y
CONFIG_KEY_NOTIFICATIONS=y
# CONFIG_KEYS_REQUEST_CACHE is not set
CONFIG_KEYS=y
kernel-5.14.0-179.el9 * Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9] - dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028] - dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028] - dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028] - MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028] - dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028] - dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028] - dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028] - dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028] - dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028] - dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028] - dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028] - dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028] - dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028] - dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028] - dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028] - MAINTAINERS: update my email address (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028] - dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028] - dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028] - dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028] - dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028] - dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028] - dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028] - dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028] - dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028] - dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028] - Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028] - pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693] - gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693] - pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693] - ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693] - pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693] - nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526] - Enabling configs for AUTH support. (Gopal Tiwari) [2124526] - nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526] - nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526] - nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526] - nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526] - nvmet: fix a use-after-free (Gopal Tiwari) [2124526] - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526] - nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526] - nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526] - nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526] - nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526] - nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526] - nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526] - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526] - nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526] - nvme: refactor namespace probing (Gopal Tiwari) [2124526] - nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526] - nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526] - nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526] - nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526] - nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526] - nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526] - nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526] - nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526] - nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: don't always build constants.o (Gopal Tiwari) [2124526] - nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526] - nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526] - nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526] - nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526] - nvme-auth: fix off by one checks (Gopal Tiwari) [2124526] - nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526] - nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526] - nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526] - nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526] - nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526] - nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526] - nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526] - nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526] - nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526] - nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526] - nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_shash() (Gopal Tiwari) [2124526] - lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526] - nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526] - nvme: implement In-Band authentication (Gopal Tiwari) [2124526] - nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526] - nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526] - nvme: remove a double word in a comment (Gopal Tiwari) [2124526] - nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526] - nvme: remove unused timeout parameter (Gopal Tiwari) [2124526] - nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526] - nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526] - nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: fix block device naming collision (Gopal Tiwari) [2124526] - nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526] - nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526] - nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526] - nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526] - nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526] - nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526] - nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526] - block: simplify disk shutdown (Gopal Tiwari) [2124526] - nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526] - nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526] - nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526] - nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526] - nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526] - nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526] - nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526] - nvmet: fix typo in comment (Gopal Tiwari) [2124526] - nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526] - nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526] - nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526] - nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526] - nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526] - nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526] - nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526] - nvme: set dma alignment to dword (Gopal Tiwari) [2124526] - nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526] - nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526] - nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526] - nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526] - nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526] - nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526] - nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526] - nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526] - nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526] - nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526] - nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526] - nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526] - nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526] - nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526] - nvmet: don't fold lines (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526] - nvme-tcp: don't fold the line (Gopal Tiwari) [2124526] - nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526] - nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526] - nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526] - nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526] - nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526] - nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526] - nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526] - nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526] - nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526] - nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526] - nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526] - nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526] - nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526] - nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882} - watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882} - watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882} - cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632] - Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161] - net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161] - docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161] - net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161] - tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161] - net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161] - net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161] - net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161] - tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161] - net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161] - net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161] - net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161] - net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161] - tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161] - tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161] - tcp: get rid of rst_seq_match (Antoine Tenart) [2059161] - tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161] - tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161] - ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161] - net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161] - net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161] - net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161] - skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161] - net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161] - net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161] - net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161] - net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161] - net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161] - skb: make drop reason booleanable (Antoine Tenart) [2059161] - net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161] - net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161] - net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161] - net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161] - net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161] - net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161] - net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161] - net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161] - ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161] - net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161] - net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161] - net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161] - arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054] - irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140] - add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352] - mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352] - mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352] - mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352] - mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352] - mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352] - powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352] - powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352] - s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352] - arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352] - mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352] - mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352] - mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352] - mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352] - mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352] - mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352] - mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352] - mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352] - mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352] - mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352] - s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352] - compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352] - config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352] - config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352] - config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352] - config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352] - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352] - mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352] - mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352] - userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352] - mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352] - mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352] - kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352] - mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352] - mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352] - include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352] - video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352] - ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352] - mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352] - mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352] - mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352] - mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352] - mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352] - mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352] - mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352] - fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352] - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352] - fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352] - mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352] - mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352] - mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352] - mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352] - kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352] - no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352] - kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352] - page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352] - mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352] - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352] - memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352] - mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352] - vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352] - mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352] - mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352] - mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352] - mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352] - mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352] - kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352] - mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352] - mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352] - s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352] - mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352] - mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352] - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352] - highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352] - mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352] - mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352] - fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352] - mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352] - mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352] - Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352] - mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352] - mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352] - mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352] - mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352] - mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352] - Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352] - ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352] - fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352] - readahead: Update comments (Chris von Recklinghausen) [2120352] - mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352] - mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352] - fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352] - readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352] - mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352] - mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352] - s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352] - mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352] - mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352] - mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352] - mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352] - mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352] - mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352] - kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352] - kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352] - kasan: reorder reporting functions (Chris von Recklinghausen) [2120352] - kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352] - kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352] - kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352] - kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352] - kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352] - kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352] - kasan: restructure kasan_report (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352] - kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352] - kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352] - kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352] - kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352] - kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352] - kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: more line breaks in reports (Chris von Recklinghausen) [2120352] - kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352] - kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352] - kasan: update function name in comments (Chris von Recklinghausen) [2120352] - mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352] - kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352] - kasan: documentation updates (Chris von Recklinghausen) [2120352] - arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352] - kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352] - kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352] - kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352] - kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352] - kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352] - kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352] - kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352] - kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352] - kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352] - mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352] - kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352] - kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352] - kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352] - mm: unexport page_init_poison (Chris von Recklinghausen) [2120352] - kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352] - mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352] - Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352] - selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352] - mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352] - mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352] - mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352] - Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352] - Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352] - Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352] - mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352] - mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352] - mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352] - mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352] - mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352] - mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352] - mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352] - kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352] - mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352] - mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352] - mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352] - mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352] - mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352] - mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352] - mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352] - mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352] - mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352] - mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352] - mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352] - memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352] - NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352] - NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352] - mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352] - mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352] - mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352] - mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352] - mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352] - mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352] - mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352] - mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352] - userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352] - mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352] - mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352] - mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352] - mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352] - mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352] - mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352] - mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352] - mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352] - mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352] - mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352] - mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352] - mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352] - mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352] - mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352] - mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352] - mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352] - mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352] - mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352] - mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352] - mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352] - mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352] - mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352] - memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352] - memcg: unify force charging conditions (Chris von Recklinghausen) [2120352] - memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352] - mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352] - memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352] - mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - tmpfs: support for file creation time (Chris von Recklinghausen) [2120352] - mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352] - mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352] - mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352] - mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352] - filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352] - mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352] - remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352] - remove inode_congested() (Chris von Recklinghausen) [2120352] - mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352] - mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352] - mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352] - mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352] - mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352] - mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352] - fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352] - fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352] - afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352] - fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352] - fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352] - fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352] - resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352] - resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352] - signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352] - task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352] - task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352] - task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352] - task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352] - ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352] - ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352] - ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352] - ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352] - mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352] - s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352] - mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352] - mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352] - mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352] - mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352] - memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352] - mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352] - mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352] - mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352] - mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352] - mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352] - mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352] - fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352] - mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352] - mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352] - mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352] - s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352] - s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352] - s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352] - s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352] - mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352] - usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352] - Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352] - uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352] - uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352] - arm64: simplify access_ok() (Chris von Recklinghausen) [2120352] - uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352] - x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352] - x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352] - mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352] - mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352] - tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352] - memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352] - memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352] - mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352] - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352] - ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352] - mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352] - mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352] - Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352] - mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352] - memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352] - fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352] - fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352] - selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352] - mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352] - frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352] - mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352] - mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352] - frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352] - mm: remove cleancache (Chris von Recklinghausen) [2120352] - lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352] - sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352] - delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352] - delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352] - mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352] - mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352] - bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352] - mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352] - find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352] - include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352] - lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352] - arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352] - include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352] - bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352] - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352] - mm: fix some comment errors (Chris von Recklinghausen) [2120352] - zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352] - mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352] - mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352] - mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352] - mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352] - mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352] - mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352] - mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352] - mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352] - mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352] - mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352] - mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352] - mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352] - mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352] - mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352] - vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352] - mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352] - mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352] - mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352] - mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352] - mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352] - mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352] - mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352] - mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352] - mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352] - mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352] - mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352] - mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352] - mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352] - mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352] - mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352] - mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352] - shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352] - mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352] - mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352] - gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352] - mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352] - mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352] - mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352] - mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352] - mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352] - kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352] - mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352] - virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - fscache: Rewrite documentation (Chris von Recklinghausen) [2120352] - ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352] - ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352] - taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352] - exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352] - exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352] - exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352] - exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352] - exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352] - signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352] - signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352] - signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352] - coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352] - signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352] - signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352] - signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352] - signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352] - exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352] - h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352] - kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352] - mm: Remove slab from struct page (Chris von Recklinghausen) [2120352] - mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352] - bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352] - mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352] - mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352] - mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352] - mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352] - mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352] - mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352] - mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352] - mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352] - mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352] - mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352] - mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352] - mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352] - mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352] - kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352] - kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352] - fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352] - fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352] - iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352] - objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352] - exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352] - kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352] - exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352] - exit: Implement kthread_exit (Chris von Recklinghausen) [2120352] - exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352] - exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352] - exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352] - exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352] - exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352] - mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352] - selftests/damon: split test cases (Chris von Recklinghausen) [2120352] - selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352] - selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352] - mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352] - percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352] - memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352] - powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352] - s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352] - hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352] - kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352] - hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352] - mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352] - mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352] - mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352] - signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352] - signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352] - signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352] - signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352] - mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352] - mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352] - mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352] - mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352] - vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352] - selftests/damon: support watermarks (Chris von Recklinghausen) [2120352] - tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352] - mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352] - selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352] - include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352] - include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352] - mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352] - mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352] - mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352] - mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352] - mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352] - mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352] - mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352] - mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352] - mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352] - mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352] - mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352] - mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352] - mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352] - mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352] - mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352] - mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352] - hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352] - mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352] - mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352] - mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352] - mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352] - mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352] - mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352] - mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352] - mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352] - mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352] - mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352] - mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352] - mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352] - memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352] - mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352] - mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352] - mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352] - mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352] - mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352] - fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352] - mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352] - mm: export bdi_unregister (Chris von Recklinghausen) [2120352] - mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352] - mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352] - mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352] - mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352] - mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352] - signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352] - signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352] - signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352] - exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352] - signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352] - signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352] - ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352] - MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352] - signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352] - signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352] - docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352] - mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352] - mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352] - pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352] - mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352] - s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352] - coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352] - kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352] - kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352] - coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352] - exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352] - exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352] - ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352] - signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352] - scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352] - entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352] - mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352] - s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352] - io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352] - io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352] - io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352] - io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352] - io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352] - io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352] - io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352] - io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352] - io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352] - signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352] - s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352] - mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352] - io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352] - io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352] - io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352] - io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352] - fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352] - fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352] - kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352] - asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352] - asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352] - memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352] - Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352] - x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352] - mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352] - arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352] - powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352] - powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352] - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352] - powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352] - s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352] - s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352] - mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352] - x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352] - powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352] - powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352] - powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352] - powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352] - powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352] - arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352] - arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352] - mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352] - mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352] - x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352] - powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352] - powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352] - powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352] - powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352] - powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352] - powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352] - mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352] - mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352] - mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352] - arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352] - arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352] - x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352] - mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352] - hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352] - hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352] - x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352] - arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352] - hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352] - x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352] - x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352] - Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352] - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352] - x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352] - x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352] - arm64: fix typos in comments (Chris von Recklinghausen) [2120352] - powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352] - mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352] - mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352] - hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352] - mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352] - mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352] - mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352] - arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352] - mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352] - x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352] - x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352] - powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352] - s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352] - s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352] - s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352] - s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352] - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352] - powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352] - hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352] - x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352] - powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352] - powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352] - powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352] - powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352] - s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352] - s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352] - s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352] - mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352] - mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352] - powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352] - ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352] - docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352] - powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352] - mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352] - x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352] - mm: page table check (Chris von Recklinghausen) [2120352] - mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352] - mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352] - mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352] - powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352] - x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352] - s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352] - powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352] - s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352] - hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352] - s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352] - s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352] - s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352] - s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352] - s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352] - powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352] - powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352] - powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352] - powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352] - powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352] - powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352] - powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352] - powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352] - powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352] - powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352] - powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352] - powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352] - powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352] - x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352] - x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352] - powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352] - powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352] - powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352] - powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352] - powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352] - powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352] - powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352] - powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352] - powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352] - powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352] - powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352] - powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352] - Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352] - powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352] - s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352] - powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352] - powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352] - kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352] - arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352] - hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352] - memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352] - hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352] - hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352] - hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352] - mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352] - hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352] - s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352] - mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352] - powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352] - powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352] - powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352] - s390: make command line configurable (Chris von Recklinghausen) [2120352] - s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352] - s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352] - s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352] - s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352] - s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352] - s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352] - s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352] - s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352] - s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352] - powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352] - reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352] - exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352] - exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352] - powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352] - s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352] - powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352] - s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352] - s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352] - x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352] - x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352] - x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352] - x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352] - powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352] - powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352] - powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352] - powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352] - powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352] - powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352] - powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352] - powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352] - s390: fix typo in linker script (Chris von Recklinghausen) [2120352] - s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352] - s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352] - powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352] - powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352] - s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352] - s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352] - powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352] - powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352] - s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352] - s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352] - s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352] - s390: add support for KFENCE (Chris von Recklinghausen) [2120352] - s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352] - s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352] - s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352] - s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352] - s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352] - s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352] - s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352] - s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352] - s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352] - s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352] - s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352] - s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352] - s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352] - s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352] - s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352] - s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352] - s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352] - s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352] - powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352] - Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352] - Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352] - Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352] - Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352] - Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352] - Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352] - Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352] - selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352] - selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352] - selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352] - mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352] - mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352] - mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352] Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 19:28:31 +00:00
# CONFIG_KFENCE_DEFERRABLE is not set
# CONFIG_KFENCE is not set
kernel-5.14.0-87.el9 * Mon May 09 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-87.el9] - perf tools: Add external commands to list-cmds (Michael Petlan) [2065179] - perf docs: Add perf-iostat link to manpages (Michael Petlan) [2065179] - net: make use of helper netif_is_bridge_master() (Ivan Vecera) [2081260] - xfrm: enforce validity of offload input flags (Sabrina Dubroca) [2080384] - xfrm: Don't accidentally set RTO_ONLINK in decode_session4() (Sabrina Dubroca) [2080384] - net/xfrm: IPsec tunnel mode fix inner_ipproto setting in sec_path (Sabrina Dubroca) [2080384] - xfrm: fix a small bug in xfrm_sa_len() (Sabrina Dubroca) [2080384] - xfrm: fix policy lookup for ipv6 gre packets (Sabrina Dubroca) [2080384] - net/tls: fix slab-out-of-bounds bug in decrypt_internal (Sabrina Dubroca) [2080356] - tls: cap the output scatter list to something reasonable (Sabrina Dubroca) [2080356] - net/tls: Fix authentication failure in CCM mode (Sabrina Dubroca) [2080356] - tls: fix replacing proto_ops (Sabrina Dubroca) [2080356] - tls: splice_read: fix accessing pre-processed records (Sabrina Dubroca) [2080356] - tls: splice_read: fix record type check (Sabrina Dubroca) [2080356] - net: macsec: Verify that send_sci is on when setting Tx sci explicitly (Sabrina Dubroca) [2080352] - net: macsec: Fix offload support for NETDEV_UNREGISTER event (Sabrina Dubroca) [2080352] - sctp: check asoc strreset_chunk in sctp_generate_reconf_event (Xin Long) [2069957] - udf: Restore i_lenAlloc when inode expansion fails (Carlos Maiolino) [2054658] {CVE-2022-0617} - udf: Fix NULL ptr deref when converting from inline format (Carlos Maiolino) [2054658] {CVE-2022-0617} - cifs: destage any unwritten data to the server before calling copychunk_write (Ronnie Sahlberg) [1997373] - i40e: xsk: Move tmp desc array from driver to pool (Ivan Vecera) [2078894] - printk: use atomic updates for klogd work (Prarit Bhargava) [2078897] - genirq: Provide generic_handle_irq_safe() (Prarit Bhargava) [2078897] - printk: Remove printk.h inclusion in percpu.h (Prarit Bhargava) [2078897] - efi: Allow efi=runtime (Prarit Bhargava) [2078897] - efi: Disable runtime services on RT (Prarit Bhargava) [2078897] - x86/softirq: Disable softirq stacks on PREEMPT_RT (Prarit Bhargava) [2078897] - genirq: Disable irqfixup/poll on PREEMPT_RT. (Prarit Bhargava) [2078897] - genirq: Move prio assignment into the newly created thread (Prarit Bhargava) [2078897] - cpufreq: intel_pstate: Use firmware default EPP (Steve Best) [2072886] - cpufreq: intel_pstate: Update cpuinfo.max_freq on HWP_CAP changes (Steve Best) [2072886] - cpufreq: intel_pstate: Update EPP for AlderLake mobile (Steve Best) [2072886] - cpufreq: intel_pstate: Drop redundant intel_pstate_get_hwp_cap() call (Steve Best) [2072886] - cpufreq: intel_pstate: ITMT support for overclocked system (Steve Best) [2072886] - cpufreq: intel_pstate: Fix active mode offline/online EPP handling (Steve Best) [2072886] - cpufreq: intel_pstate: Add Ice Lake server to out-of-band IDs (Steve Best) [2072886] - drivers/base/memory: clarify adding and removing of memory blocks (David Hildenbrand) [2077436] - drivers/base/memory: determine and store zone for single-zone memory blocks (David Hildenbrand) [2077436] - drivers/base/node: rename link_mem_sections() to register_memory_block_under_node() (David Hildenbrand) [2077436] - drivers/base/node: consolidate node device subsystem initialization in node_dev_init() (David Hildenbrand) [2077436] - powerpc/kernel: Add __init attribute to eligible functions (David Hildenbrand) [2077436] - drivers/base/memory: add memory block to memory group after registration succeeded (David Hildenbrand) [2077436] - af_key: add __GFP_ZERO flag for compose_sadb_supported in function pfkey_register (Xin Long) [2075183] - proc/vmcore: fix vmcore_alloc_buf() kernel-doc comment (Baoquan He) [2051908] - proc/vmcore: fix possible deadlock on concurrent mmap and read (Baoquan He) [2051908] - proc/vmcore: don't fake reading zeroes on surprise vmcore_cb unregistration (Baoquan He) [2051908] - enable DAMON configs (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for schemes statistics (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/reclaim: document statistics parameters (Chris von Recklinghausen) [2004230] - mm/damon: hide kernel pointer from tracepoint event (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: hide kernel pointer from damon_va_three_regions() failure log (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: use pr_debug() for damon_va_three_regions() failure logging (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary variable (Chris von Recklinghausen) [2004230] - mm/damon: move the implementation of damon_insert_region to damon.h (Chris von Recklinghausen) [2004230] - mm/damon: add access checking for hugetlb pages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support all DAMOS stats (Chris von Recklinghausen) [2004230] - mm/damon/reclaim: provide reclamation statistics (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account how many times quota limit has exceeded (Chris von Recklinghausen) [2004230] - mm/damon/schemes: account scheme actions that successfully applied (Chris von Recklinghausen) [2004230] - mm/damon: remove a mistakenly added comment for a future feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for kdamond_pid and (mk|rm)_contexts (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: mention tracepoint at the beginning (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: remove redundant information (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/usage: update for scheme quotas and watermarks (Chris von Recklinghausen) [2004230] - mm/damon: convert macro functions to static inline functions (Chris von Recklinghausen) [2004230] - mm/damon: modify damon_rand() macro to static inline function (Chris von Recklinghausen) [2004230] - mm/damon: move damon_rand() definition into damon.h (Chris von Recklinghausen) [2004230] - mm/damon/schemes: add the validity judgment of thresholds (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove swap_ranges() and replace it with swap() (Chris von Recklinghausen) [2004230] - mm/damon: remove some unneeded function definitions in damon.h (Chris von Recklinghausen) [2004230] - mm/damon/core: use abs() instead of diff_of() (Chris von Recklinghausen) [2004230] - mm/damon: add 'age' of region tracepoint support (Chris von Recklinghausen) [2004230] - mm/damon: unified access_check function naming rules (Chris von Recklinghausen) [2004230] - docs/vm: add vmalloced-kernel-stacks document (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix 'struct pid' leaks in 'dbgfs_target_ids_write()' (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: protect targets destructions with kdamond_lock (Chris von Recklinghausen) [2004230] - selftests/damon: test debugfs file reads/writes with huge count (Chris von Recklinghausen) [2004230] - selftests/damon: test DAMON enabling with empty target_ids case (Chris von Recklinghausen) [2004230] - mm/damon/vaddr-test: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: remove an unnecessary warning message (Chris von Recklinghausen) [2004230] - mm/damon/core: remove unnecessary error messages (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove an unnecessary error message (Chris von Recklinghausen) [2004230] - mm/damon/core: use better timer mechanisms selection threshold (Chris von Recklinghausen) [2004230] - mm/damon/core: fix fake load reports due to uninterruptible sleeps (Chris von Recklinghausen) [2004230] - timers: implement usleep_idle_range() (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: fix missed use of damon_dbgfs_lock (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: use '__GFP_NOWARN' for user-specified size buffer allocation (Chris von Recklinghausen) [2004230] - mm/damon: remove return value from before_terminate callback (Chris von Recklinghausen) [2004230] - mm/damon: fix a few spelling mistakes in comments and a pr_debug message (Chris von Recklinghausen) [2004230] - mm/damon: simplify stop mechanism (Chris von Recklinghausen) [2004230] - include/linux/delay.h: replace kernel.h with the necessary inclusions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/pagemap: wordsmith page flags descriptions (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: simplify the content (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix a wrong link (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon/start: fix wrong example commands (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: add adaptive_targets list check before enable monitor_on (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary variable initialization (Chris von Recklinghausen) [2004230] - Documentation/admin-guide/mm/damon: add a document for DAMON_RECLAIM (Chris von Recklinghausen) [2004230] - mm/damon: introduce DAMON-based Reclamation (DAMON_RECLAIM) (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support watermarks (Chris von Recklinghausen) [2004230] - mm/damon/schemes: activate schemes based on a watermarks mechanism (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support prioritization weights (Chris von Recklinghausen) [2004230] - mm/damon/vaddr,paddr: support pageout prioritization (Chris von Recklinghausen) [2004230] - mm/damon/schemes: prioritize regions within the quotas (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support quotas of schemes (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement time quota (Chris von Recklinghausen) [2004230] - mm/damon/schemes: skip already charged targets and regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement size quota for schemes application speed control (Chris von Recklinghausen) [2004230] - mm/damon/paddr: support the pageout scheme (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: remove unnecessary variables (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: constify static mm_walk_ops (Chris von Recklinghausen) [2004230] - Docs/DAMON: document physical memory monitoring support (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support physical memory monitoring (Chris von Recklinghausen) [2004230] - mm/damon: implement primitives for physical address space monitoring (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: separate commonly usable functions (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs-test: add a unit test case for 'init_regions' (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document 'init_regions' feature (Chris von Recklinghausen) [2004230] - Docs/admin-guide/mm/damon: document DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - docs/vm/damon: remove broken reference (Chris von Recklinghausen) [2004230] - Documentation/vm: move user guides to admin-guide/mm/ (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: allow users to set initial monitoring target regions (Chris von Recklinghausen) [2004230] - mm/damon/schemes: implement statistics feature (Chris von Recklinghausen) [2004230] - mm/damon/dbgfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/vaddr: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2004230] - mm/damon/core: implement DAMON-based Operation Schemes (DAMOS) (Chris von Recklinghausen) [2004230] - mm/damon/core: account age of target regions (Chris von Recklinghausen) [2004230] - mm/damon/core: nullify pointer ctx->kdamond with a NULL (Chris von Recklinghausen) [2004230] - mm/damon: needn't hold kdamond_lock to print pid of kdamond (Chris von Recklinghausen) [2004230] - mm/damon: remove unnecessary do_exit() from kdamond (Chris von Recklinghausen) [2004230] - mm/damon/core: print kdamond start log in debug mode only (Chris von Recklinghausen) [2004230] - mm/damon: grammar s/works/work/ (Chris von Recklinghausen) [2004230] - drm/msm: Fix missing include files in msm_gem_shrinker.c (Chris von Recklinghausen) [2004230] - NFSv4.2: Fix missing removal of SLAB_ACCOUNT on kmem_cache allocation (Waiman Long) [2013413] - slab: remove __alloc_size attribute from __kmalloc_track_caller (Waiman Long) [2013413] - mm: memcontrol: rename memcg_cache_id to memcg_kmem_id (Waiman Long) [2013413] - mm: list_lru: rename list_lru_per_memcg to list_lru_memcg (Waiman Long) [2013413] - mm: memcontrol: fix cannot alloc the maximum memcg ID (Waiman Long) [2013413] - mm: memcontrol: reuse memory cgroup ID for kmem ID (Waiman Long) [2013413] - mm: list_lru: replace linear array with xarray (Waiman Long) [2013413] - mm: list_lru: rename memcg_drain_all_list_lrus to memcg_reparent_list_lrus (Waiman Long) [2013413] - mm: list_lru: allocate list_lru_one only when needed (Waiman Long) [2013413] - mm: memcontrol: move memcg_online_kmem() to mem_cgroup_css_online() (Waiman Long) [2013413] - xarray: use kmem_cache_alloc_lru to allocate xa_node (Waiman Long) [2013413] - mm: dcache: use kmem_cache_alloc_lru() to allocate dentry (Waiman Long) [2013413] - fs: allocate inode by using alloc_inode_sb() (Waiman Long) [2013413] - fs: introduce alloc_inode_sb() to allocate filesystems specific inode (Waiman Long) [2013413] - mm: introduce kmem_cache_alloc_lru (Waiman Long) [2013413] - mm: list_lru: transpose the array of per-node per-memcg lru lists (Waiman Long) [2013413] - memcg: add per-memcg total kernel memory stat (Waiman Long) [2013413] - memcg: add per-memcg vmalloc stat (Waiman Long) [2013413] - mm: list_lru: only add memcg-aware lrus to the global lru list (Waiman Long) [2013413] - mm: list_lru: fix the return value of list_lru_count_one() (Waiman Long) [2013413] - mm: list_lru: remove holding lru lock (Waiman Long) [2013413] - memcg, kmem: further deprecate kmem.limit_in_bytes (Waiman Long) [2013413] - mm/list_lru.c: prefer struct_size over open coded arithmetic (Waiman Long) [2013413] - slab: add __alloc_size attributes for better bounds checking (Waiman Long) [2013413] - slab: clean up function prototypes (Waiman Long) [2013413] - Compiler Attributes: add __alloc_size() for better bounds checking (Waiman Long) [2013413] - Redhat: enable Kfence on production servers (Nico Pache) [2072662] - kfence, x86: only define helpers if !MODULE (Nico Pache) [2072662] - kfence: default to dynamic branch instead of static keys mode (Nico Pache) [2072662] - kfence: test: try to avoid test_gfpzero trigger rcu_stall (Nico Pache) [2072662] - kunit: fix UAF when run kfence test case test_gfpzero (Nico Pache) [2072662] - kfence: alloc kfence_pool after system startup (Nico Pache) [2072662] - kfence: allow re-enabling KFENCE after system startup (Nico Pache) [2072662] - mm/kfence: remove unnecessary CONFIG_KFENCE option (Nico Pache) [2072662] - kfence: make test case compatible with run time set sample interval (Nico Pache) [2072662] - kfence: always use static branches to guard kfence_alloc() (Nico Pache) [2072662] - kfence: shorten critical sections of alloc/free (Nico Pache) [2072662] - kfence: test: use kunit_skip() to skip tests (Nico Pache) [2072662] - kfence: limit currently covered allocations when pool nearly full (Nico Pache) [2072662] - kfence: move saving stack trace of allocations into __kfence_alloc() (Nico Pache) [2072662] - kfence: count unexpectedly skipped allocations (Nico Pache) [2072662] - kfence: add function to mask address bits (Nico Pache) [2072662] - cifs: verify that tcon is valid before dereference in cifs_kill_sb (Ronnie Sahlberg) [2048823] - cifs: release cached dentries only if mount is complete (Ronnie Sahlberg) [2048823] - cifs: we do not need a spinlock around the tree access during umount (Ronnie Sahlberg) [2048823] - cifs: fix handlecache and multiuser (Ronnie Sahlberg) [2048823] - cifs: fix workstation_name for multiuser mounts (Ronnie Sahlberg) [2048823] - cifs: free ntlmsspblob allocated in negotiate (Ronnie Sahlberg) [2048823] - cifs: fix ntlmssp auth when there is no key exchange (Ronnie Sahlberg) [2048823] - cifs: send workstation name during ntlmssp session setup (Ronnie Sahlberg) [2048823] - cifs: Fix crash on unload of cifs_arc4.ko (Ronnie Sahlberg) [2048823] - Documentation, arch: Remove leftovers from CIFS_WEAK_PW_HASH (Ronnie Sahlberg) [2048823] - cifs: fix the cifs_reconnect path for DFS (Ronnie Sahlberg) [2048823] - cifs: sanitize multiple delimiters in prepath (Ronnie Sahlberg) [2048823] - cifs: ignore resource_id while getting fscache super cookie (Ronnie Sahlberg) [2048823] - cifs: avoid use of dstaddr as key for fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: add server conn_id to fscache client cookie (Ronnie Sahlberg) [2048823] - cifs: wait for tcon resource_id before getting fscache super (Ronnie Sahlberg) [2048823] - cifs: fix missed refcounting of ipc tcon (Ronnie Sahlberg) [2048823] - cifs: update internal version number (Ronnie Sahlberg) [2048823] - smb2: clarify rc initialization in smb2_reconnect (Ronnie Sahlberg) [2048823] - cifs: populate server_hostname for extra channels (Ronnie Sahlberg) [2048823] - cifs: nosharesock should be set on new server (Ronnie Sahlberg) [2048823] - cifs: introduce cifs_ses_mark_for_reconnect() helper (Ronnie Sahlberg) [2048823] - cifs: protect srv_count with cifs_tcp_ses_lock (Ronnie Sahlberg) [2048823] - cifs: move debug print out of spinlock (Ronnie Sahlberg) [2048823] - cifs: do not duplicate fscache cookie for secondary channels (Ronnie Sahlberg) [2048823] - cifs: connect individual channel servers to primary channel server (Ronnie Sahlberg) [2048823] - cifs: protect session channel fields with chan_lock (Ronnie Sahlberg) [2048823] - cifs: do not negotiate session if session already exists (Ronnie Sahlberg) [2048823] - smb3: do not setup the fscache_super_cookie until fsinfo initialized (Ronnie Sahlberg) [2048823] - cifs: fix potential use-after-free bugs (Ronnie Sahlberg) [2048823] - cifs: fix memory leak of smb3_fs_context_dup::server_hostname (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB311_posix_mkdir (Ronnie Sahlberg) [2048823] - cifs: release lock earlier in dequeue_mid error case (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_tcon (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_open (Ronnie Sahlberg) [2048823] - smb3: add additional null check in SMB2_ioctl (Ronnie Sahlberg) [2048823] - smb3: remove trivial dfs compile warning (Ronnie Sahlberg) [2048823] - cifs: support nested dfs links over reconnect (Ronnie Sahlberg) [2048823] - smb3: do not error on fsync when readonly (Ronnie Sahlberg) [2048823] - cifs: for compound requests, use open handle if possible (Ronnie Sahlberg) [2048823] - cifs: set a minimum of 120s for next dns resolution (Ronnie Sahlberg) [2048823] - cifs: split out dfs code from cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: convert list_for_each to entry variant (Ronnie Sahlberg) [2048823] - cifs: introduce new helper for cifs_reconnect() (Ronnie Sahlberg) [2048823] - cifs: fix print of hdr_flags in dfscache_proc_show() (Ronnie Sahlberg) [2048823] - cifs: nosharesock should not share socket with future sessions (Ronnie Sahlberg) [2048823] - smb3: add dynamic trace points for socket connection (Ronnie Sahlberg) [2048823] - cifs: Move SMB2_Create definitions to the shared area (Ronnie Sahlberg) [2048823] - cifs: Move more definitions into the shared area (Ronnie Sahlberg) [2048823] - cifs: move NEGOTIATE_PROTOCOL definitions out into the common area (Ronnie Sahlberg) [2048823] - cifs: Create a new shared file holding smb2 pdu definitions (Ronnie Sahlberg) [2048823] - cifs: add mount parameter tcpnodelay (Ronnie Sahlberg) [2048823] - cifs: To match file servers, make sure the server hostname matches (Ronnie Sahlberg) [2048823] - cifs: fix incorrect check for null pointer in header_assemble (Ronnie Sahlberg) [2048823] - smb3: correct server pointer dereferencing check to be more consistent (Ronnie Sahlberg) [2048823] - smb3: correct smb3 ACL security descriptor (Ronnie Sahlberg) [2048823] - cifs: Clear modified attribute bit from inode flags (Ronnie Sahlberg) [2048823] - cifs: Deal with some warnings from W=1 (Ronnie Sahlberg) [2048823] - cifs: fix a sign extension bug (Ronnie Sahlberg) [2048823] - cifs: Not to defer close on file when lock is set (Ronnie Sahlberg) [2048823] - cifs: Fix soft lockup during fsstress (Ronnie Sahlberg) [2048823] - cifs: Deferred close performance improvements (Ronnie Sahlberg) [2048823] - cifs: fix incorrect kernel doc comments (Ronnie Sahlberg) [2048823] - cifs: remove pathname for file from SPDX header (Ronnie Sahlberg) [2048823] - cifs: properly invalidate cached root handle when closing it (Ronnie Sahlberg) [2048823] - cifs: move SMB FSCTL definitions to common code (Ronnie Sahlberg) [2048823] - cifs: rename cifs_common to smbfs_common (Ronnie Sahlberg) [2048823] - cifs: cifs_md4 convert to SPDX identifier (Ronnie Sahlberg) [2048823] - cifs: create a MD4 module and switch cifs.ko to use it (Ronnie Sahlberg) [2048823] - cifs: fork arc4 and create a separate module for it for cifs and other users (Ronnie Sahlberg) [2048823] - cifs: remove support for NTLM and weaker authentication algorithms (Ronnie Sahlberg) [2048823] - cifs: update FSCTL definitions (Ronnie Sahlberg) [2048823] - cifs: Do not leak EDEADLK to dgetents64 for STATUS_USER_SESSION_DELETED (Ronnie Sahlberg) [2048823] - cifs: enable fscache usage even for files opened as rw (Ronnie Sahlberg) [2048823] - smb3: fix posix extensions mount option (Ronnie Sahlberg) [2048823] - cifs: fix wrong release in sess_alloc_buffer() failed path (Ronnie Sahlberg) [2048823] - CIFS: Fix a potencially linear read overflow (Ronnie Sahlberg) [2048823] Resolves: rhbz#2065179, rhbz#2081260, rhbz#2080384, rhbz#2080356, rhbz#2080352, rhbz#2069957, rhbz#2054658, rhbz#1997373, rhbz#2078894, rhbz#2078897, rhbz#2072886, rhbz#2077436, rhbz#2075183, rhbz#2051908, rhbz#2004230, rhbz#2013413, rhbz#2072662, rhbz#2048823 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-09 08:00:06 +00:00
# CONFIG_KFENCE_KUNIT_TEST is not set
CONFIG_KFENCE_NUM_OBJECTS=255
CONFIG_KFENCE_SAMPLE_INTERVAL=100
# CONFIG_KFENCE_STATIC_KEYS is not set
CONFIG_KGDB_HONOUR_BLOCKLIST=y
CONFIG_KGDB_KDB=y
CONFIG_KGDB_LOW_LEVEL_TRAP=y
CONFIG_KGDB_SERIAL_CONSOLE=y
# CONFIG_KGDB_TESTS_ON_BOOT is not set
CONFIG_KGDB_TESTS=y
CONFIG_KGDB=y
# CONFIG_KMX61 is not set
CONFIG_KPROBE_EVENT_GEN_TEST=m
# CONFIG_KPROBE_EVENTS_ON_NOTRACE is not set
CONFIG_KPROBE_EVENTS=y
CONFIG_KPROBES_ON_FTRACE=y
# CONFIG_KPROBES_SANITY_TEST is not set
CONFIG_KPROBES=y
CONFIG_KSM=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_KUNIT_ALL_TESTS=m
CONFIG_KUNIT_DEBUGFS=y
CONFIG_KUNIT_EXAMPLE_TEST=m
CONFIG_KUNIT=m
CONFIG_KUNIT_TEST=m
CONFIG_KVM_AMD_SEV=y
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_KVM_BOOK3S_64 is not set
CONFIG_KVM_GUEST=y
kernel-5.14.0-334.el9 * Fri Jun 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-334.el9] - nfsd: move init of percpu reply_cache_stats counters back to nfsd_init_net (Jeffrey Layton) [2215429] - ACPI: processor idle: avoid call to raw_local_irq_disable() from acpi_safe_halt() (David Arcari) [2217308] - docs: admin-guide: Add information about intel_pstate active mode (David Arcari) [2216955] - KVM: x86/pmu: Fix a typo in kvm_pmu_request_counter_reprogam() (Maxim Levitsky) [2177720] - docs: kvm: x86: Fix broken field list (Maxim Levitsky) [2177720] - KVM: nVMX: Do not report error code when synthesizing VM-Exit from Real Mode (Maxim Levitsky) [2177720] - KVM: x86: Clear "has_error_code", not "error_code", for RM exception injection (Maxim Levitsky) [2177720] - KVM: x86: Suppress pending MMIO write exits if emulator detects exception (Maxim Levitsky) [2177720] - KVM: x86/ioapic: Resample the pending state of an IRQ when unmasking (Maxim Levitsky) [2177720] - KVM: irqfd: Make resampler_list an RCU list (Maxim Levitsky) [2177720] - KVM: SVM: Flush Hyper-V TLB when required (Maxim Levitsky) [2177720] - KVM: selftests: Sync KVM exit reasons in selftests (Maxim Levitsky) [2177720] - KVM: selftests: Add macro to generate KVM exit reason strings (Maxim Levitsky) [2177720] - KVM: selftests: Print expected and actual exit reason in KVM exit reason assert (Maxim Levitsky) [2177720] - KVM: selftests: Make vCPU exit reason test assertion common (Maxim Levitsky) [2177720] - KVM: selftests: Add EVTCHNOP_send slow path test to xen_shinfo_test (Maxim Levitsky) [2177720] - KVM: selftests: Use enum for test numbers in xen_shinfo_test (Maxim Levitsky) [2177720] - KVM: selftests: Add helpers to make Xen-style VMCALL/VMMCALL hypercalls (Maxim Levitsky) [2177720] - KVM: selftests: Move the guts of kvm_hypercall() to a separate macro (Maxim Levitsky) [2177720] - KVM: SVM: WARN if GATag generation drops VM or vCPU ID information (Maxim Levitsky) [2177720] - KVM: SVM: Modify AVIC GATag to support max number of 512 vCPUs (Maxim Levitsky) [2177720] - KVM: SVM: Fix a benign off-by-one bug in AVIC physical table mask (Maxim Levitsky) [2177720] - selftests: KVM: skip hugetlb tests if huge pages are not available (Maxim Levitsky) [2177720] - KVM: VMX: Use tabs instead of spaces for indentation (Maxim Levitsky) [2177720] - KVM: VMX: Fix indentation coding style issue (Maxim Levitsky) [2177720] - KVM: nVMX: remove unnecessary #ifdef (Maxim Levitsky) [2177720] - KVM: nVMX: add missing consistency checks for CR0 and CR4 (Maxim Levitsky) [2177720] - KVM: SVM: hyper-v: placate modpost section mismatch error (Maxim Levitsky) [2177720] - KVM: x86/mmu: Make tdp_mmu_allowed static (Maxim Levitsky) [2177720] - KVM: selftests: Remove duplicate macro definition (Maxim Levitsky) [2177720] - KVM: selftests: Clean up misnomers in xen_shinfo_test (Maxim Levitsky) [2177720] - selftests: KVM: Replace optarg with arg in guest_modes_cmdline (Maxim Levitsky) [2177720] - KVM: update code comment in struct kvm_vcpu (Maxim Levitsky) [2177720] - KVM: selftests: Assign guest page size in sync area early in memslot_perf_test (Maxim Levitsky) [2177720] - KVM: SVM: Fix potential overflow in SEV's send|receive_update_data() (Maxim Levitsky) [2177720] - KVM: nVMX: Simplify the setting of SECONDARY_EXEC_ENABLE_VMFUNC for nested. (Maxim Levitsky) [2177720] - KVM: VMX: Do not trap VMFUNC instructions for L1 guests. (Maxim Levitsky) [2177720] - KVM: selftests: Enable USERFAULTFD (Maxim Levitsky) [2177720] - KVM: x86: Simplify msr_io() (Maxim Levitsky) [2177720] - KVM: x86: Remove unnecessary initialization in kvm_vm_ioctl_set_msr_filter() (Maxim Levitsky) [2177720] - KVM: x86: Explicitly state lockdep condition of msr_filter update (Maxim Levitsky) [2177720] - KVM: x86: Simplify msr_filter update (Maxim Levitsky) [2177720] - KVM: x86: Optimize kvm->lock and SRCU interaction (KVM_X86_SET_MSR_FILTER) (Maxim Levitsky) [2177720] - KVM: x86: Optimize kvm->lock and SRCU interaction (KVM_SET_PMU_EVENT_FILTER) (Maxim Levitsky) [2177720] - KVM: x86/emulator: Fix comment in __load_segment_descriptor() (Maxim Levitsky) [2177720] - KVM: x86/emulator: Fix segment load privilege level validation (Maxim Levitsky) [2177720] - KVM: selftests: Remove redundant setbuf() (Maxim Levitsky) [2177720] - scripts/spelling.txt: add `permitted' (Maxim Levitsky) [2177720] - Documentation: KVM: Update AMD memory encryption link (Maxim Levitsky) [2177720] - KVM: x86/pmu: Add PRIR++ and PDist support for SPR and later models (Maxim Levitsky) [2177720] - KVM: selftests: Verify APIC_ID is set when forcing x2APIC=>xAPIC transition (Maxim Levitsky) [2177720] - KVM: x86: Reinitialize xAPIC ID when userspace forces x2APIC => xAPIC (Maxim Levitsky) [2177720] - KVM: selftests: Test Hyper-V extended hypercall exit to userspace (Maxim Levitsky) [2177720] - KVM: selftests: Replace hardcoded Linux OS id with HYPERV_LINUX_OS_ID (Maxim Levitsky) [2177720] - KVM: selftests: Test Hyper-V extended hypercall enablement (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Add extended hypercall support in Hyper-v (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Use common code for hypercall userspace exit (Maxim Levitsky) [2177720] - KVM: Destroy target device if coalesced MMIO unregistration fails (Maxim Levitsky) [2177720] - KVM: x86: Use emulator callbacks instead of duplicating "host flags" (Maxim Levitsky) [2177720] - KVM: x86: Move HF_NMI_MASK and HF_IRET_MASK into "struct vcpu_svm" (Maxim Levitsky) [2177720] - KVM: x86: Move HF_GIF_MASK into "struct vcpu_svm" as "guest_gif" (Maxim Levitsky) [2177720] - KVM: nSVM: Don't sync tlb_ctl back to vmcb12 on nested VM-Exit (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Test read-only PT memory regions (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Fix check of dirty log PT write (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Do not default to dirty PTE pages on all S1PTWs (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Relax userfaultfd read vs. write checks (Maxim Levitsky) [2177720] - KVM: x86/pmu: Provide "error" semantics for unsupported-but-known PMU MSRs (Maxim Levitsky) [2177720] - KVM: x86/pmu: Don't tell userspace to save MSRs for non-existent fixed PMCs (Maxim Levitsky) [2177720] - KVM: x86/pmu: Don't tell userspace to save PMU MSRs if PMU is disabled (Maxim Levitsky) [2177720] - KVM: x86/pmu: Use separate array for defining "PMU MSRs to save" (Maxim Levitsky) [2177720] - KVM: x86/pmu: Gate all "unimplemented MSR" prints on report_ignored_msrs (Maxim Levitsky) [2177720] - KVM: x86/pmu: Cap kvm_pmu_cap.num_counters_gp at KVM's internal max (Maxim Levitsky) [2177720] - KVM: x86/pmu: Drop event_type and rename "struct kvm_event_hw_type_mapping" (Maxim Levitsky) [2177720] - Documentation: KVM: fix typos in running-nested-guests.rst (Maxim Levitsky) [2177720] - KVM: x86: Replace IS_ERR() with IS_ERR_VALUE() (Maxim Levitsky) [2177720] - KVM: VMX: Handle NMI VM-Exits in noinstr region (Maxim Levitsky) [2177720] - KVM: VMX: Provide separate subroutines for invoking NMI vs. IRQ handlers (Maxim Levitsky) [2177720] - x86/entry: KVM: Use dedicated VMX NMI entry for 32-bit kernels too (Maxim Levitsky) [2177720] - KVM: VMX: Always inline to_vmx() and to_kvm_vmx() (Maxim Levitsky) [2177720] - KVM: VMX: Always inline eVMCS read/write helpers (Maxim Levitsky) [2177720] - KVM: VMX: Allow VM-Fail path of VMREAD helper to be instrumented (Maxim Levitsky) [2177720] - KVM: x86: Make vmx_get_exit_qual() and vmx_get_intr_info() noinstr-friendly (Maxim Levitsky) [2177720] - KVM: VMX: don't use "unsigned long" in vmx_vcpu_enter_exit() (Maxim Levitsky) [2177720] - KVM: VMX: Access @flags as a 32-bit value in __vmx_vcpu_run() (Maxim Levitsky) [2177720] - KVM: SVM: Account scratch allocations used to decrypt SEV guest memory (Maxim Levitsky) [2177720] - KVM: svm/avic: Drop "struct kvm_x86_ops" for avic_hardware_setup() (Maxim Levitsky) [2177720] - KVM: SVM: remove redundant ret variable (Maxim Levitsky) [2177720] - KVM: selftests: Stop assuming stats are contiguous in kvm_binary_stats_test (Maxim Levitsky) [2177720] - KVM: x86/xen: Remove unneeded semicolon (Maxim Levitsky) [2177720] - KVM: selftests: x86: Use host's native hypercall instruction in kvm_hypercall() (Maxim Levitsky) [2177720] - KVM: selftests: x86: Cache host CPU vendor (AMD vs. Intel) (Maxim Levitsky) [2177720] - KVM: selftests: x86: Use "this_cpu" prefix for cpu vendor queries (Maxim Levitsky) [2177720] - KVM: selftests: Fix a typo in the vcpu_msrs_set assert (Maxim Levitsky) [2177720] - KVM: selftests: kvm_vm_elf_load() and elfhdr_get() should close fd (Maxim Levitsky) [2177720] - KVM: selftests: Test masked events in PMU filter (Maxim Levitsky) [2177720] - KVM: selftests: Add testing for KVM_SET_PMU_EVENT_FILTER (Maxim Levitsky) [2177720] - KVM: selftests: Add flags when creating a pmu event filter (Maxim Levitsky) [2177720] - KVM: x86/pmu: Introduce masked events to the pmu event filter (Maxim Levitsky) [2177720] - KVM: x86/pmu: prepare the pmu event filter for masked events (Maxim Levitsky) [2177720] - KVM: x86/pmu: Remove impossible events from the pmu event filter (Maxim Levitsky) [2177720] - KVM: x86/pmu: Correct the mask used in a pmu event filter lookup (Maxim Levitsky) [2177720] - KVM: x86/mmu: Use kstrtobool() instead of strtobool() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Cleanup range-based flushing for given page (Maxim Levitsky) [2177720] - KVM: x86/mmu: Fix wrong gfn range of tlb flushing in validate_direct_spte() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Fix wrong start gfn of tlb flushing with range (Maxim Levitsky) [2177720] - KVM: x86/mmu: Reduce gfn range of tlb flushing in tdp_mmu_map_handle_target_level() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Fix wrong gfn range of tlb flushing in kvm_set_pte_rmapp() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Move round_gfn_for_level() helper into mmu_internal.h (Maxim Levitsky) [2177720] - KVM: x86/mmu: fix an incorrect comment in kvm_mmu_new_pgd() (Maxim Levitsky) [2177720] - kvm: x86/mmu: Don't clear write flooding for direct SP (Maxim Levitsky) [2177720] - kvm: x86/mmu: Rename SPTE_TDP_AD_ENABLED_MASK to SPTE_TDP_AD_ENABLED (Maxim Levitsky) [2177720] - x86/reboot: Disable SVM, not just VMX, when stopping CPUs (Maxim Levitsky) [2177720] - x86/reboot: Disable virtualization in an emergency if SVM is supported (Maxim Levitsky) [2177720] - x86/virt: Force GIF=1 prior to disabling SVM (for reboot flows) (Maxim Levitsky) [2177720] - x86/crash: Disable virt in core NMI crash handler to avoid double shootdown (Maxim Levitsky) [2177720] - KVM: x86/xen: update Xen CPUID Leaf 4 (tsc info) sub-leaves, if present (Maxim Levitsky) [2177720] - KVM: x86/cpuid: generalize kvm_update_kvm_cpuid_base() and also capture limit (Maxim Levitsky) [2177720] - KVM: x86: Replace cpu_dirty_logging_count with nr_memslots_dirty_logging (Maxim Levitsky) [2177720] - KVM: x86: Replace 0-length arrays with flexible arrays (Maxim Levitsky) [2177720] - KVM: x86: Advertise fast REP string features inherent to the CPU (Maxim Levitsky) [2177720] - kvm_host.h: fix spelling typo in function declaration (Maxim Levitsky) [2177720] - KVM: account allocation in generic version of kvm_arch_alloc_vm() (Maxim Levitsky) [2177720] - KVM: x86: fire timer when it is migrated and expired, and in oneshot mode (Maxim Levitsky) [2177720] - KVM: VMX: Intercept reads to invalid and write-only x2APIC registers (Maxim Levitsky) [2177720] - KVM: VMX: Always intercept accesses to unsupported "extended" x2APIC regs (Maxim Levitsky) [2177720] - KVM: x86: Split out logic to generate "readable" APIC regs mask to helper (Maxim Levitsky) [2177720] - KVM: x86: Mark x2APIC DFR reg as non-existent for x2APIC (Maxim Levitsky) [2177720] - KVM: x86: Inject #GP on x2APIC WRMSR that sets reserved bits 63:32 (Maxim Levitsky) [2177720] - KVM: x86: Inject #GP if WRMSR sets reserved bits in APIC Self-IPI (Maxim Levitsky) [2177720] - KVM: x86: remove redundant ret variable (Maxim Levitsky) [2177720] - KVM: MMU: Make the definition of 'INVALID_GPA' common (Maxim Levitsky) [2177720] - KVM: x86: Add helpers to recalc physical vs. logical optimized APIC maps (Maxim Levitsky) [2177720] - KVM: x86: Allow APICv APIC ID inhibit to be cleared (Maxim Levitsky) [2177720] - KVM: x86: Track required APICv inhibits with variable, not callback (Maxim Levitsky) [2177720] - Revert "KVM: SVM: Do not throw warning when calling avic_vcpu_load on a running vcpu" (Maxim Levitsky) [2177720] - KVM: SVM: Ignore writes to Remote Read Data on AVIC write traps (Maxim Levitsky) [2177720] - KVM: SVM: Handle multiple logical targets in AVIC kick fastpath (Maxim Levitsky) [2177720] - KVM: SVM: Require logical ID to be power-of-2 for AVIC entry (Maxim Levitsky) [2177720] - KVM: SVM: Update svm->ldr_reg cache even if LDR is "bad" (Maxim Levitsky) [2177720] - KVM: SVM: Always update local APIC on writes to logical dest register (Maxim Levitsky) [2177720] - KVM: SVM: Inhibit AVIC if vCPUs are aliased in logical mode (Maxim Levitsky) [2177720] - KVM: x86: Inhibit APICv/AVIC if the optimized physical map is disabled (Maxim Levitsky) [2177720] - KVM: x86: Honor architectural behavior for aliased 8-bit APIC IDs (Maxim Levitsky) [2177720] - KVM: x86: Disable APIC logical map if vCPUs are aliased in logical mode (Maxim Levitsky) [2177720] - KVM: x86: Disable APIC logical map if logical ID covers multiple MDAs (Maxim Levitsky) [2177720] - KVM: x86: Skip redundant x2APIC logical mode optimized cluster setup (Maxim Levitsky) [2177720] - KVM: x86: Explicitly track all possibilities for APIC map's logical modes (Maxim Levitsky) [2177720] - KVM: x86: Explicitly skip optimized logical map setup if vCPU's LDR==0 (Maxim Levitsky) [2177720] - KVM: SVM: Add helper to perform final AVIC "kick" of single vCPU (Maxim Levitsky) [2177720] - KVM: SVM: Document that vCPU ID == APIC ID in AVIC kick fastpatch (Maxim Levitsky) [2177720] - Revert "KVM: SVM: Use target APIC ID to complete x2AVIC IRQs when possible" (Maxim Levitsky) [2177720] - KVM: SVM: Fix x2APIC Logical ID calculation for avic_kick_target_vcpus_fast (Maxim Levitsky) [2177720] - KVM: SVM: Compute dest based on sender's x2APIC status for AVIC kick (Maxim Levitsky) [2177720] - KVM: SVM: Replace "avic_mode" enum with "x2avic_enabled" boolean (Maxim Levitsky) [2177720] - KVM: x86: Inhibit APIC memslot if x2APIC and AVIC are enabled (Maxim Levitsky) [2177720] - KVM: x86: Move APIC access page helper to common x86 code (Maxim Levitsky) [2177720] - KVM: x86: Handle APICv updates for APIC "mode" changes via request (Maxim Levitsky) [2177720] - KVM: SVM: Don't put/load AVIC when setting virtual APIC mode (Maxim Levitsky) [2177720] - KVM: x86: Don't inhibit APICv/AVIC if xAPIC ID mismatch is due to 32-bit ID (Maxim Levitsky) [2177720] - KVM: x86: Don't inhibit APICv/AVIC on xAPIC ID "change" if APIC is disabled (Maxim Levitsky) [2177720] - KVM: SVM: Process ICR on AVIC IPI delivery failure due to invalid target (Maxim Levitsky) [2177720] - KVM: SVM: Flush the "current" TLB when activating AVIC (Maxim Levitsky) [2177720] - KVM: x86: Purge "highest ISR" cache when updating APICv state (Maxim Levitsky) [2177720] - KVM: x86: Blindly get current x2APIC reg value on "nodecode write" traps (Maxim Levitsky) [2177720] - KVM: Clean up error labels in kvm_init() (Maxim Levitsky) [2177720] - KVM: Opt out of generic hardware enabling on s390 and PPC (Maxim Levitsky) [2177720] - KVM: Register syscore (suspend/resume) ops early in kvm_init() (Maxim Levitsky) [2177720] - KVM: Make hardware_enable_failed a local variable in the "enable all" path (Maxim Levitsky) [2177720] - KVM: Use a per-CPU variable to track which CPUs have enabled virtualization (Maxim Levitsky) [2177720] - KVM: Remove on_each_cpu(hardware_disable_nolock) in kvm_exit() (Maxim Levitsky) [2177720] - KVM: Drop kvm_count_lock and instead protect kvm_usage_count with kvm_lock (Maxim Levitsky) [2177720] - KVM: Ensure CPU is stable during low level hardware enable/disable (Maxim Levitsky) [2177720] - KVM: Disable CPU hotplug during hardware enabling/disabling (Maxim Levitsky) [2177720] - KVM: Rename and move CPUHP_AP_KVM_STARTING to ONLINE section (Maxim Levitsky) [2177720] - KVM: x86: Do compatibility checks when onlining CPU (Maxim Levitsky) [2177720] - KVM: x86: Move CPU compat checks hook to kvm_x86_ops (from kvm_x86_init_ops) (Maxim Levitsky) [2177720] - KVM: SVM: Check for SVM support in CPU compatibility checks (Maxim Levitsky) [2177720] - KVM: VMX: Shuffle support checks and hardware enabling code around (Maxim Levitsky) [2177720] - KVM: x86: Do VMX/SVM support checks directly in vendor code (Maxim Levitsky) [2177720] - KVM: VMX: Use current CPU's info to perform "disabled by BIOS?" checks (Maxim Levitsky) [2177720] - KVM: x86: Unify pr_fmt to use module name for all KVM modules (Maxim Levitsky) [2177720] - KVM: x86: Use KBUILD_MODNAME to specify vendor module name (Maxim Levitsky) [2177720] - KVM: Drop kvm_arch_check_processor_compat() hook (Maxim Levitsky) [2177720] - KVM: x86: Do CPU compatibility checks in x86 code (Maxim Levitsky) [2177720] - KVM: VMX: Make VMCS configuration/capabilities structs read-only after init (Maxim Levitsky) [2177720] - KVM: Drop kvm_arch_{init,exit}() hooks (Maxim Levitsky) [2177720] - KVM: s390: Mark __kvm_s390_init() and its descendants as __init (Maxim Levitsky) [2177720] - KVM: s390: Do s390 specific init without bouncing through kvm_init() (Maxim Levitsky) [2177720] - KVM: PPC: Move processor compatibility check to module init (Maxim Levitsky) [2177720] - KVM: MIPS: Register die notifier prior to kvm_init() (Maxim Levitsky) [2177720] - KVM: MIPS: Setup VZ emulation? directly from kvm_mips_init() (Maxim Levitsky) [2177720] - KVM: MIPS: Hardcode callbacks to hardware virtualization extensions (Maxim Levitsky) [2177720] - KVM: arm64: Mark kvm_arm_init() and its unique descendants as __init (Maxim Levitsky) [2177720] - KVM: arm64: Do arm/arch initialization without bouncing through kvm_init() (Maxim Levitsky) [2177720] - KVM: arm64: Unregister perf callbacks if hypervisor finalization fails (Maxim Levitsky) [2177720] - KVM: arm64: Free hypervisor allocations if vector slot init fails (Maxim Levitsky) [2177720] - KVM: arm64: Simplify the CPUHP logic (Maxim Levitsky) [2177720] - KVM: x86: Serialize vendor module initialization (hardware setup) (Maxim Levitsky) [2177720] - KVM: VMX: Do _all_ initialization before exposing /dev/kvm to userspace (Maxim Levitsky) [2177720] - KVM: x86: Move guts of kvm_arch_init() to standalone helper (Maxim Levitsky) [2177720] - KVM: VMX: Move Hyper-V eVMCS initialization to helper (Maxim Levitsky) [2177720] - KVM: VMX: Don't bother disabling eVMCS static key on module exit (Maxim Levitsky) [2177720] - KVM: VMX: Reset eVMCS controls in VP assist page during hardware disabling (Maxim Levitsky) [2177720] - KVM: Drop arch hardware (un)setup hooks (Maxim Levitsky) [2177720] - KVM: x86: Move hardware setup/unsetup to init/exit (Maxim Levitsky) [2177720] - KVM: x86: Do timer initialization after XCR0 configuration (Maxim Levitsky) [2177720] - KVM: s390: Move hardware setup/unsetup to init/exit (Maxim Levitsky) [2177720] - KVM: s390: Unwind kvm_arch_init() piece-by-piece() if a step fails (Maxim Levitsky) [2177720] - KVM: Teardown VFIO ops earlier in kvm_exit() (Maxim Levitsky) [2177720] - KVM: Allocate cpus_hardware_enabled after arch hardware setup (Maxim Levitsky) [2177720] - KVM: Initialize IRQ FD after arch hardware setup (Maxim Levitsky) [2177720] - KVM: Register /dev/kvm as the _very_ last thing during initialization (Maxim Levitsky) [2177720] - KVM: selftests: Test Hyper-V invariant TSC control (Maxim Levitsky) [2177720] - KVM: selftests: Test that values written to Hyper-V MSRs are preserved (Maxim Levitsky) [2177720] - KVM: selftests: Convert hyperv_features test to using KVM_X86_CPU_FEATURE() (Maxim Levitsky) [2177720] - KVM: selftests: Rename 'msr->available' to 'msr->fault_exepected' in hyperv_features test (Maxim Levitsky) [2177720] - KVM: x86: Hyper-V invariant TSC control (Maxim Levitsky) [2177720] - KVM: x86: Add a KVM-only leaf for CPUID_8000_0007_EDX (Maxim Levitsky) [2177720] - KVM: x86/mmu: Pivot on "TDP MMU enabled" when handling direct page faults (Maxim Levitsky) [2177720] - KVM: x86/mmu: Pivot on "TDP MMU enabled" to check if active MMU is TDP MMU (Maxim Levitsky) [2177720] - KVM: x86/mmu: Replace open coded usage of tdp_mmu_page with is_tdp_mmu_page() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Rename __direct_map() to direct_map() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Fix race condition in direct_page_fault (Maxim Levitsky) [2177720] - KVM: x86/mmu: Stop needlessly making MMU pages available for TDP MMU faults (Maxim Levitsky) [2177720] - KVM: x86/mmu: Split out TDP MMU page fault handling (Maxim Levitsky) [2177720] - KVM: x86/mmu: Initialize fault.{gfn,slot} earlier for direct MMUs (Maxim Levitsky) [2177720] - KVM: x86/mmu: Handle no-slot faults in kvm_faultin_pfn() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Avoid memslot lookup during KVM_PFN_ERR_HWPOISON handling (Maxim Levitsky) [2177720] - KVM: x86/mmu: Handle error PFNs in kvm_faultin_pfn() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Grab mmu_invalidate_seq in kvm_faultin_pfn() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Move TDP MMU VM init/uninit behind tdp_mmu_enabled (Maxim Levitsky) [2177720] - KVM: x86/mmu: Change tdp_mmu to a read-only parameter (Maxim Levitsky) [2177720] - KVM: selftests: x86: Use TAP interface in the tsc_msrs_test (Maxim Levitsky) [2177720] - KVM: selftests: Use TAP interface in the kvm_binary_stats_test (Maxim Levitsky) [2177720] - kvm: x86/mmu: Warn on linking when sp->unsync_children (Maxim Levitsky) [2177720] - KVM: VMX: Resurrect vmcs_conf sanitization for KVM-on-Hyper-V (Maxim Levitsky) [2177720] - KVM: nVMX: Prepare to sanitize tertiary execution controls with eVMCS (Maxim Levitsky) [2177720] - KVM: nVMX: Invert 'unsupported by eVMCSv1' check (Maxim Levitsky) [2177720] - KVM: nVMX: Sanitize primary processor-based VM-execution controls with eVMCS too (Maxim Levitsky) [2177720] - kvm: initialize all of the kvm_debugregs structure before sending it to userspace (Maxim Levitsky) [2177720] - Documentation/hw-vuln: Fix rST warning (Maxim Levitsky) [2177720] - Documentation/hw-vuln: Add documentation for Cross-Thread Return Predictions (Maxim Levitsky) [2177720] - KVM: x86: Mitigate the cross-thread return address predictions bug (Maxim Levitsky) [2177720] - x86/speculation: Identify processors vulnerable to SMT RSB predictions (Maxim Levitsky) [2177720] - KVM: selftests: Make reclaim_period_ms input always be positive (Maxim Levitsky) [2177720] - KVM: x86/vmx: Do not skip segment attributes if unusable bit is set (Maxim Levitsky) [2177720] - selftests: kvm: move declaration at the beginning of main() (Maxim Levitsky) [2177720] - KVM: x86/xen: Avoid deadlock by adding kvm->arch.xen.xen_lock leaf node lock (Maxim Levitsky) [2177720] - KVM: x86/xen: Fix potential deadlock in kvm_xen_update_runstate_guest() (Maxim Levitsky) [2177720] - KVM: x86/xen: Fix lockdep warning on "recursive" gpc locking (Maxim Levitsky) [2177720] - Documentation: kvm: fix SRCU locking order docs (Maxim Levitsky) [2177720] - KVM: x86: Do not return host topology information from KVM_GET_SUPPORTED_CPUID (Maxim Levitsky) [2177720] - KVM: nSVM: clarify recalc_intercepts() wrt CR8 (Maxim Levitsky) [2177720] - KVM: arm64: Document the behaviour of S1PTW faults on RO memslots (Maxim Levitsky) [2177720] - KVM: selftests: restore special vmmcall code layout needed by the harness (Maxim Levitsky) [2177720] - Documentation: kvm: clarify SRCU locking order (Maxim Levitsky) [2177720] - KVM: x86: fix deadlock for KVM_XEN_EVTCHN_RESET (Maxim Levitsky) [2177720] - KVM: x86/xen: Documentation updates and clarifications (Maxim Levitsky) [2177720] - KVM: x86/xen: Add KVM_XEN_INVALID_GPA and KVM_XEN_INVALID_GFN to uapi (Maxim Levitsky) [2177720] - KVM: x86/xen: Simplify eventfd IOCTLs (Maxim Levitsky) [2177720] - KVM: x86/xen: Fix SRCU/RCU usage in readers of evtchn_ports (Maxim Levitsky) [2177720] - KVM: x86/xen: Use kvm_read_guest_virt() instead of open-coding it badly (Maxim Levitsky) [2177720] - KVM: x86/xen: Fix memory leak in kvm_xen_write_hypercall_page() (Maxim Levitsky) [2177720] - KVM: Delete extra block of "};" in the KVM API documentation (Maxim Levitsky) [2177720] - kvm: x86/mmu: Remove duplicated "be split" in spte.h (Maxim Levitsky) [2177720] - kvm: Remove the unused macro KVM_MMU_READ_{,UN}LOCK() (Maxim Levitsky) [2177720] - KVM: selftests: Mark correct page as mapped in virt_map() (Maxim Levitsky) [2177720] - KVM: arm64: selftests: Don't identity map the ucall MMIO hole (Maxim Levitsky) [2177720] - KVM: selftests: document the default implementation of vm_vaddr_populate_bitmap (Maxim Levitsky) [2177720] - KVM: selftests: Use magic value to signal ucall_alloc() failure (Maxim Levitsky) [2177720] - KVM: selftests: Disable "gnu-variable-sized-type-not-at-end" warning (Maxim Levitsky) [2177720] - KVM: selftests: Include lib.mk before consuming $(CC) (Maxim Levitsky) [2177720] - KVM: selftests: Explicitly disable builtins for mem*() overrides (Maxim Levitsky) [2177720] - KVM: selftests: Probe -no-pie with actual CFLAGS used to compile (Maxim Levitsky) [2177720] - KVM: selftests: Use proper function prototypes in probing code (Maxim Levitsky) [2177720] - KVM: selftests: Rename UNAME_M to ARCH_DIR, fill explicitly for x86 (Maxim Levitsky) [2177720] - KVM: selftests: Fix a typo in x86-64's kvm_get_cpu_address_width() (Maxim Levitsky) [2177720] - KVM: selftests: Use pattern matching in .gitignore (Maxim Levitsky) [2177720] - KVM: selftests: Delete dead code in x86_64/vmx_tsc_adjust_test.c (Maxim Levitsky) [2177720] - KVM: selftests: Define literal to asm constraint in aarch64 as unsigned long (Maxim Levitsky) [2177720] - KVM: x86/mmu: Don't install TDP MMU SPTE if SP has unexpected level (Maxim Levitsky) [2177720] - KVM: x86/mmu: Re-check under lock that TDP MMU SP hugepage is disallowed (Maxim Levitsky) [2177720] - KVM: x86/mmu: Map TDP MMU leaf SPTE iff target level is reached (Maxim Levitsky) [2177720] - KVM: x86/mmu: Don't attempt to map leaf if target TDP MMU SPTE is frozen (Maxim Levitsky) [2177720] - KVM: nVMX: Don't stuff secondary execution control if it's not supported (Maxim Levitsky) [2177720] - KVM: nVMX: Properly expose ENABLE_USR_WAIT_PAUSE control to L1 (Maxim Levitsky) [2177720] - KVM: nVMX: Document that ignoring memory failures for VMCLEAR is deliberate (Maxim Levitsky) [2177720] - KVM: selftests: Zero out valid_bank_mask for "all" case in Hyper-V IPI test (Maxim Levitsky) [2177720] - KVM: x86: Sanity check inputs to kvm_handle_memory_failure() (Maxim Levitsky) [2177720] - KVM: x86: Simplify kvm_apic_hw_enabled (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Fix 'using uninitialized value' Coverity warning (Maxim Levitsky) [2177720] - KVM: x86: ioapic: Fix level-triggered EOI and userspace I/OAPIC reconfigure race (Maxim Levitsky) [2177720] - KVM: x86/pmu: Prevent zero period event from being repeatedly released (Maxim Levitsky) [2177720] - KVM: x86: Add proper ReST tables for userspace MSR exits/flags (Maxim Levitsky) [2177720] - KVM: selftests: Allocate ucall pool from MEM_REGION_DATA (Maxim Levitsky) [2177720] - KVM: arm64: selftests: Align VA space allocator with TTBR0 (Maxim Levitsky) [2177720] - KVM: x86: remove unnecessary exports (Maxim Levitsky) [2177720] - KVM: selftests: Fix spelling mistake "probabalistic" -> "probabilistic" (Maxim Levitsky) [2177720] - tools: KVM: selftests: Convert clear/set_bit() to actual atomics (Maxim Levitsky) [2177720] - tools: Drop "atomic_" prefix from atomic test_and_set_bit() (Maxim Levitsky) [2177720] - tools: Drop conflicting non-atomic test_and_{clear,set}_bit() helpers (Maxim Levitsky) [2177720] - KVM: selftests: Use non-atomic clear/set bit helpers in KVM tests (Maxim Levitsky) [2177720] - KVM: arm64: selftests: Enable single-step without a "full" ucall() (Maxim Levitsky) [2177720] - KVM: x86: fix APICv/x2AVIC disabled when vm reboot by itself (Maxim Levitsky) [2177720] - KVM: Remove stale comment about KVM_REQ_UNHALT (Maxim Levitsky) [2177720] - KVM: Add missing arch for KVM_CREATE_DEVICE and KVM_{SET,GET}_DEVICE_ATTR (Maxim Levitsky) [2177720] - KVM: Delete all references to removed KVM_SET_MEMORY_ALIAS ioctl (Maxim Levitsky) [2177720] - KVM: Delete all references to removed KVM_SET_MEMORY_REGION ioctl (Maxim Levitsky) [2177720] - KVM: selftests: Define and use a custom static assert in lib headers (Maxim Levitsky) [2177720] - KVM: selftests: Do kvm_cpu_has() checks before creating VM+vCPU (Maxim Levitsky) [2177720] - KVM: selftests: Disallow "get supported CPUID" before REQ_XCOMP_GUEST_PERM (Maxim Levitsky) [2177720] - KVM: selftests: Move __vm_xsave_require_permission() below CPUID helpers (Maxim Levitsky) [2177720] - KVM: selftests: Move XFD CPUID checking out of __vm_xsave_require_permission() (Maxim Levitsky) [2177720] - KVM: selftests: Restore assert for non-nested VMs in access tracking test (Maxim Levitsky) [2177720] - KVM: selftests: Fix inverted "warning" in access tracking perf test (Maxim Levitsky) [2177720] - KVM: x86: Use current rather than snapshotted TSC frequency if it is constant (Maxim Levitsky) [2177720] - KVM: selftests: Verify userspace can stuff IA32_FEATURE_CONTROL at will (Maxim Levitsky) [2177720] - KVM: VMX: Move MSR_IA32_FEAT_CTL.LOCKED check into "is valid" helper (Maxim Levitsky) [2177720] - KVM: VMX: Allow userspace to set all supported FEATURE_CONTROL bits (Maxim Levitsky) [2177720] - KVM: VMX: Make vmread_error_trampoline() uncallable from C code (Maxim Levitsky) [2177720] - KVM: nVMX: Reword comments about generating nested CR0/4 read shadows (Maxim Levitsky) [2177720] - KVM: x86: Clean up KVM_CAP_X86_USER_SPACE_MSR documentation (Maxim Levitsky) [2177720] - KVM: x86: Reword MSR filtering docs to more precisely define behavior (Maxim Levitsky) [2177720] - KVM: x86: Delete documentation for READ|WRITE in KVM_X86_SET_MSR_FILTER (Maxim Levitsky) [2177720] - KVM: VMX: Guest usage of IA32_SPEC_CTRL is likely (Maxim Levitsky) [2177720] - KVM: SVM: Replace kmap_atomic() with kmap_local_page() (Maxim Levitsky) [2177720] - KVM: SVM: Skip WRMSR fastpath on VM-Exit if next RIP isn't valid (Maxim Levitsky) [2177720] - KVM: x86: Fail emulation during EMULTYPE_SKIP on any exception (Maxim Levitsky) [2177720] - KVM: x86: Keep the lock order consistent between SRCU and gpc spinlock (Maxim Levitsky) [2177720] - KVM: VMX: Resume guest immediately when injecting #GP on ECREATE (Maxim Levitsky) [2177720] - KVM: Skip unnecessary "unmap" if gpc is already valid during refresh (Maxim Levitsky) [2177720] - KVM: Drop @gpa from exported gfn=>pfn cache check() and refresh() helpers (Maxim Levitsky) [2177720] - KVM: Do not partially reinitialize gfn=>pfn cache during activation (Maxim Levitsky) [2177720] - KVM: Drop KVM's API to allow temporarily unmapping gfn=>pfn cache (Maxim Levitsky) [2177720] - KVM: Use gfn_to_pfn_cache's immutable "kvm" in kvm_gpc_refresh() (Maxim Levitsky) [2177720] - KVM: Clean up hva_to_pfn_retry() (Maxim Levitsky) [2177720] - KVM: Use gfn_to_pfn_cache's immutable "kvm" in kvm_gpc_check() (Maxim Levitsky) [2177720] - KVM: Store immutable gfn_to_pfn_cache properties (Maxim Levitsky) [2177720] - KVM: x86/xen: add support for 32-bit guests in SCHEDOP_poll (Maxim Levitsky) [2177720] - KVM: x86: Remove unused argument in gpc_unmap_khva() (Maxim Levitsky) [2177720] - KVM: Shorten gfn_to_pfn_cache function names (Maxim Levitsky) [2177720] - KVM: x86/xen: Add runstate tests for 32-bit mode and crossing page boundary (Maxim Levitsky) [2177720] - KVM: x86/xen: Allow XEN_RUNSTATE_UPDATE flag behaviour to be configured (Maxim Levitsky) [2177720] - KVM: x86/xen: Compatibility fixes for shared runstate area (Maxim Levitsky) [2177720] - KVM: selftests: Have perf_test_util signal when to stop vCPUs (Maxim Levitsky) [2177720] - KVM: x86: Advertise PREFETCHIT0/1 CPUID to user space (Maxim Levitsky) [2177720] - KVM: x86: Advertise AVX-NE-CONVERT CPUID to user space (Maxim Levitsky) [2177720] - x86: KVM: Advertise AVX-IFMA CPUID to user space (Maxim Levitsky) [2177720] - x86: KVM: Advertise AMX-FP16 CPUID to user space (Maxim Levitsky) [2177720] - x86: KVM: Advertise CMPccXADD CPUID to user space (Maxim Levitsky) [2177720] - KVM: x86: Add BUILD_BUG_ON() to detect bad usage of "scattered" flags (Maxim Levitsky) [2177720] - KVM: x86/xen: Add CPL to Xen hypercall tracepoint (Maxim Levitsky) [2177720] - KVM: always declare prototype for kvm_arch_irqchip_in_kernel (Maxim Levitsky) [2177720] - KVM: selftests: Rename 'evmcs_test' to 'hyperv_evmcs' (Maxim Levitsky) [2177720] - KVM: selftests: hyperv_svm_test: Introduce L2 TLB flush test (Maxim Levitsky) [2177720] - KVM: selftests: evmcs_test: Introduce L2 TLB flush test (Maxim Levitsky) [2177720] - KVM: selftests: Introduce rdmsr_from_l2() and use it for MSR-Bitmap tests (Maxim Levitsky) [2177720] - KVM: selftests: Stuff RAX/RCX with 'safe' values in vmmcall()/vmcall() (Maxim Levitsky) [2177720] - KVM: selftests: Allocate Hyper-V partition assist page (Maxim Levitsky) [2177720] - KVM: selftests: Create a vendor independent helper to allocate Hyper-V specific test pages (Maxim Levitsky) [2177720] - KVM: selftests: Split off load_evmcs() from load_vmcs() (Maxim Levitsky) [2177720] - KVM: selftests: Move Hyper-V VP assist page enablement out of evmcs.h (Maxim Levitsky) [2177720] - KVM: selftests: Sync 'struct hv_vp_assist_page' definition with hyperv-tlfs.h (Maxim Levitsky) [2177720] - KVM: selftests: Sync 'struct hv_enlightened_vmcs' definition with hyperv-tlfs.h (Maxim Levitsky) [2177720] - KVM: selftests: Hyper-V PV TLB flush selftest (Maxim Levitsky) [2177720] - KVM: selftests: Export vm_vaddr_unused_gap() to make it possible to request unmapped ranges (Maxim Levitsky) [2177720] - KVM: selftests: Fill in vm->vpages_mapped bitmap in virt_map() too (Maxim Levitsky) [2177720] - KVM: selftests: Hyper-V PV IPI selftest (Maxim Levitsky) [2177720] - KVM: selftests: Move the function doing Hyper-V hypercall to a common header (Maxim Levitsky) [2177720] - KVM: selftests: Move HYPERV_LINUX_OS_ID definition to a common header (Maxim Levitsky) [2177720] - KVM: selftests: Better XMM read/write helpers (Maxim Levitsky) [2177720] - KVM: x86: Expose Hyper-V L2 TLB flush feature (Maxim Levitsky) [2177720] - KVM: nSVM: hyper-v: Enable L2 TLB flush (Maxim Levitsky) [2177720] - KVM: x86: Make kvm_hv_get_assist_page() return 0/-errno (Maxim Levitsky) [2177720] - KVM: nVMX: hyper-v: Enable L2 TLB flush (Maxim Levitsky) [2177720] - KVM: nVMX: hyper-v: Cache VP assist page in 'struct kvm_vcpu_hv' (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Introduce fast guest_hv_cpuid_has_l2_tlb_flush() check (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: L2 TLB flush (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Introduce kvm_hv_is_tlb_flush_hcall() (Maxim Levitsky) [2177720] - KVM: x86: Introduce .hv_inject_synthetic_vmexit_post_tlb_flush() nested hook (Maxim Levitsky) [2177720] - KVM: nSVM: Keep track of Hyper-V hv_vm_id/hv_vp_id (Maxim Levitsky) [2177720] - KVM: nVMX: Keep track of hv_vm_id/hv_vp_id when eVMCS is in use (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Use preallocated buffer in 'struct kvm_vcpu_hv' instead of on-stack 'sparse_banks' (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Create a separate fifo for L2 TLB flush (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Don't use sparse_set_to_vcpu_mask() in kvm_hv_send_ipi() (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Use HV_MAX_SPARSE_VCPU_BANKS/HV_VCPUS_PER_SPARSE_BANK instead of raw '64' (Maxim Levitsky) [2177720] - KVM: x86: Prepare kvm_hv_flush_tlb() to handle L2's GPAs (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Handle HVCALL_FLUSH_VIRTUAL_ADDRESS_LIST{,EX} calls gently (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Add helper to read hypercall data for array (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Introduce TLB flush fifo (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Resurrect dedicated KVM_REQ_HV_TLB_FLUSH flag (Maxim Levitsky) [2177720] - KVM: x86: Move clearing of TLB_FLUSH_CURRENT to kvm_vcpu_flush_tlb_all() (Maxim Levitsky) [2177720] - KVM: VMX: Rename "vmx/evmcs.{ch}" to "vmx/hyperv.{ch}" (Maxim Levitsky) [2177720] - KVM: x86: Rename 'enable_direct_tlbflush' to 'enable_l2_tlb_flush' (Maxim Levitsky) [2177720] - x86/hyperv: KVM: Rename "hv_enlightenments" to "hv_vmcb_enlightenments" (Maxim Levitsky) [2177720] - KVM: SVM: Add a proper field for Hyper-V VMCB enlightenments (Maxim Levitsky) [2177720] - KVM: selftests: Move "struct hv_enlightenments" to x86_64/svm.h (Maxim Levitsky) [2177720] - KVM: x86: avoid memslot check in NX hugepage recovery if it cannot succeed (Maxim Levitsky) [2177720] - KVM: x86/mmu: Do not recover dirty-tracked NX Huge Pages (Maxim Levitsky) [2177720] - KVM: x86/mmu: simplify kvm_tdp_mmu_map flow when guest has to retry (Maxim Levitsky) [2177720] - KVM: x86/mmu: Split huge pages mapped by the TDP MMU on fault (Maxim Levitsky) [2177720] - KVM: selftests: Assert in prepare_eptp() that nEPT is supported (Maxim Levitsky) [2177720] - KVM: selftests: Check for KVM nEPT support using "feature" MSRs (Maxim Levitsky) [2177720] - KVM: selftests: Drop helpers for getting specific KVM supported CPUID entry (Maxim Levitsky) [2177720] - KVM: selftests: Add and use KVM helpers for x86 Family and Model (Maxim Levitsky) [2177720] - KVM: selftests: Add dedicated helpers for getting x86 Family and Model (Maxim Levitsky) [2177720] - KVM: selftests: Add PMU feature framework, use in PMU event filter test (Maxim Levitsky) [2177720] - KVM: selftests: Convert vmx_pmu_caps_test to use X86_PROPERTY_* (Maxim Levitsky) [2177720] - KVM: selftests: Assert that XTILE is XSAVE-enabled (Maxim Levitsky) [2177720] - KVM: selftests: Convert AMX test to use X86_PROPRETY_XXX (Maxim Levitsky) [2177720] - KVM: selftests: Add kvm_cpu_*() support for X86_PROPERTY_* (Maxim Levitsky) [2177720] - KVM: selftests: Refactor kvm_cpuid_has() to prep for X86_PROPERTY_* support (Maxim Levitsky) [2177720] - KVM: selftests: Use X86_PROPERTY_MAX_KVM_LEAF in CPUID test (Maxim Levitsky) [2177720] - KVM: selftests: Add X86_PROPERTY_* framework to retrieve CPUID values (Maxim Levitsky) [2177720] - KVM: selftests: Refactor X86_FEATURE_* framework to prep for X86_PROPERTY_* (Maxim Levitsky) [2177720] - KVM: selftests: Add X86_FEATURE_PAE and use it calc "fallback" MAXPHYADDR (Maxim Levitsky) [2177720] - KVM: selftests: Add a test for KVM_CAP_EXIT_ON_EMULATION_FAILURE (Maxim Levitsky) [2177720] - KVM: selftests: Expect #PF(RSVD) when TDP is disabled (Maxim Levitsky) [2177720] - KVM: selftests: Provide error code as a KVM_ASM_SAFE() output (Maxim Levitsky) [2177720] - KVM: selftests: Avoid JMP in non-faulting path of KVM_ASM_SAFE() (Maxim Levitsky) [2177720] - KVM: selftests: Copy KVM PFERR masks into selftests (Maxim Levitsky) [2177720] - KVM: x86/mmu: Use BIT{,_ULL}() for PFERR masks (Maxim Levitsky) [2177720] - KVM: selftests: Move flds instruction emulation failure handling to header (Maxim Levitsky) [2177720] - KVM: selftests: Delete dead ucall code (Maxim Levitsky) [2177720] - KVM: selftests: Explicitly require instructions bytes (Maxim Levitsky) [2177720] - KVM: selftests: Rename emulator_error_test to smaller_maxphyaddr_emulation_test (Maxim Levitsky) [2177720] - KVM: selftests: Don't assume vcpu->id is '0' in xAPIC state test (Maxim Levitsky) [2177720] - KVM: selftests: Add arch specific post vm creation hook (Maxim Levitsky) [2177720] - KVM: selftests: Add arch specific initialization (Maxim Levitsky) [2177720] - KVM: selftests: Play nice with huge pages when getting PTEs/GPAs (Maxim Levitsky) [2177720] - KVM: selftests: Use vm_get_page_table_entry() in addr_arch_gva2gpa() (Maxim Levitsky) [2177720] - KVM: selftests: Use virt_get_pte() when getting PTE pointer (Maxim Levitsky) [2177720] - KVM: selftests: Verify parent PTE is PRESENT when getting child PTE (Maxim Levitsky) [2177720] - KVM: selftests: Remove useless shifts when creating guest page tables (Maxim Levitsky) [2177720] - KVM: selftests: Drop reserved bit checks from PTE accessor (Maxim Levitsky) [2177720] - KVM: selftests: Drop helpers to read/write page table entries (Maxim Levitsky) [2177720] - KVM: selftests: Fix spelling mistake "begining" -> "beginning" (Maxim Levitsky) [2177720] - KVM: selftests: Add ucall pool based implementation (Maxim Levitsky) [2177720] - KVM: selftests: Drop now-unnecessary ucall_uninit() (Maxim Levitsky) [2177720] - KVM: selftests: Make arm64's MMIO ucall multi-VM friendly (Maxim Levitsky) [2177720] - tools: Add atomic_test_and_set_bit() (Maxim Levitsky) [2177720] - KVM: selftests: Automatically do init_ucall() for non-barebones VMs (Maxim Levitsky) [2177720] - KVM: selftests: Consolidate boilerplate code in get_ucall() (Maxim Levitsky) [2177720] - KVM: selftests: Consolidate common code for populating ucall struct (Maxim Levitsky) [2177720] - KVM: selftests: Rename perf_test_util symbols to memstress (Maxim Levitsky) [2177720] - KVM: selftests: Rename pta (short for perf_test_args) to args (Maxim Levitsky) [2177720] - KVM: selftests: Rename perf_test_util.[ch] to memstress.[ch] (Maxim Levitsky) [2177720] - KVM: selftests: randomize page access order (Maxim Levitsky) [2177720] - KVM: selftests: randomize which pages are written vs read (Maxim Levitsky) [2177720] - KVM: selftests: create -r argument to specify random seed (Maxim Levitsky) [2177720] - KVM: selftests: implement random number generator for guest code (Maxim Levitsky) [2177720] - KVM: selftests: Allowing running dirty_log_perf_test on specific CPUs (Maxim Levitsky) [2177720] - KVM: selftests: Add atoi_positive() and atoi_non_negative() for input validation (Maxim Levitsky) [2177720] - KVM: selftests: Shorten the test args in memslot_modification_stress_test.c (Maxim Levitsky) [2177720] - KVM: selftests: Use SZ_* macros from sizes.h in max_guest_memory_test.c (Maxim Levitsky) [2177720] - KVM: selftests: Add atoi_paranoid() to catch errors missed by atoi() (Maxim Levitsky) [2177720] - KVM: selftests: Put command line options in alphabetical order in dirty_log_perf_test (Maxim Levitsky) [2177720] - KVM: selftests: Add missing break between -e and -g option in dirty_log_perf_test (Maxim Levitsky) [2177720] - KVM: Push dirty information unconditionally to backup bitmap (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Add mix of tests into page_fault_test (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Add readonly memslot tests into page_fault_test (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Add dirty logging tests into page_fault_test (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Add userfaultfd tests into page_fault_test (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Add aarch64/page_fault_test (Maxim Levitsky) [2177720] - KVM: selftests: aarch64: Add virt_get_pte_hva() library function (Maxim Levitsky) [2177720] - KVM: selftests: Use the right memslot for code, page-tables, and data allocations (Maxim Levitsky) [2177720] - KVM: selftests: Fix alignment in virt_arch_pgd_alloc() and vm_vaddr_alloc() (Maxim Levitsky) [2177720] - KVM: selftests: Add vm->memslots[] and enum kvm_mem_region_type (Maxim Levitsky) [2177720] - KVM: selftests: Stash backing_src_type in struct userspace_mem_region (Maxim Levitsky) [2177720] - KVM: selftests: Add missing close and munmap in __vm_mem_region_delete() (Maxim Levitsky) [2177720] - KVM: selftests: Add a userfaultfd library (Maxim Levitsky) [2177720] - KVM: selftests: Automate choosing dirty ring size in dirty_log_test (Maxim Levitsky) [2177720] - KVM: selftests: Clear dirty ring states between two modes in dirty_log_test (Maxim Levitsky) [2177720] - KVM: selftests: Use host page size to map ring buffer in dirty_log_test (Maxim Levitsky) [2177720] - KVM: Support dirty ring in conjunction with bitmap (Maxim Levitsky) [2177720] - KVM: Move declaration of kvm_cpu_dirty_log_size() to kvm_dirty_ring.h (Maxim Levitsky) [2177720] - KVM: x86: Introduce KVM_REQ_DIRTY_RING_SOFT_FULL (Maxim Levitsky) [2177720] - KVM: replace direct irq.h inclusion (Maxim Levitsky) [2177720] - KVM: x86/pmu: Defer counter emulated overflow via pmc->prev_counter (Maxim Levitsky) [2177720] - KVM: x86/pmu: Defer reprogram_counter() to kvm_pmu_handle_event() (Maxim Levitsky) [2177720] - KVM: x86/pmu: Clear "reprogram" bit if counter is disabled or disallowed (Maxim Levitsky) [2177720] - KVM: x86/pmu: Force reprogramming of all counters on PMU filter change (Maxim Levitsky) [2177720] - KVM: x86/mmu: WARN if TDP MMU SP disallows hugepage after being zapped (Maxim Levitsky) [2177720] - KVM: x86/mmu: explicitly check nx_hugepage in disallowed_hugepage_adjust() (Maxim Levitsky) [2177720] - KVM: x86/mmu: Add helper to convert SPTE value to its shadow page (Maxim Levitsky) [2177720] - KVM: x86/mmu: Track the number of TDP MMU pages, but not the actual pages (Maxim Levitsky) [2177720] - KVM: x86/mmu: Set disallowed_nx_huge_page in TDP MMU before setting SPTE (Maxim Levitsky) [2177720] - KVM: x86/mmu: Properly account NX huge page workaround for nonpaging MMUs (Maxim Levitsky) [2177720] - KVM: x86/mmu: Rename NX huge pages fields/functions for consistency (Maxim Levitsky) [2177720] - KVM: x86/mmu: Tag disallowed NX huge pages even if they're not tracked (Maxim Levitsky) [2177720] - selftests: kvm/x86: Test the flags in MSR filtering and MSR exiting (Maxim Levitsky) [2177720] - KVM: x86: Add a VALID_MASK for the flags in kvm_msr_filter_range (Maxim Levitsky) [2177720] - KVM: x86: Add a VALID_MASK for the flag in kvm_msr_filter (Maxim Levitsky) [2177720] - KVM: x86: Add a VALID_MASK for the MSR exit reason flags (Maxim Levitsky) [2177720] - tools headers kvm: Sync uapi/{asm/linux} kvm.h headers with the kernel sources (Maxim Levitsky) [2177720] - tools headers UAPI: Sync linux/kvm.h with the kernel sources (Maxim Levitsky) [2177720] - tools headers UAPI: Sync linux/kvm.h with the kernel sources (Maxim Levitsky) [2177720] - tools headers UAPI: Sync x86's asm/kvm.h with the kernel sources (Maxim Levitsky) [2177720] - KVM: Reference to kvm_userspace_memory_region in doc and comments (Maxim Levitsky) [2177720] - KVM: x86: Disallow the use of KVM_MSR_FILTER_DEFAULT_ALLOW in the kernel (Maxim Levitsky) [2177720] - kvm: x86: Allow to respond to generic signals during slow PF (Maxim Levitsky) [2177720] - kvm: Add interruptible flag to __gfn_to_pfn_memslot() (Maxim Levitsky) [2177720] - kvm: Add KVM_PFN_ERR_SIGPENDING (Maxim Levitsky) [2177720] - mm/gup: Add FOLL_INTERRUPTIBLE (Maxim Levitsky) [2177720] - KVM: x86: do not define SMM-related constants if SMM disabled (Maxim Levitsky) [2177720] - KVM: zero output of KVM_GET_VCPU_EVENTS before filling in the struct (Maxim Levitsky) [2177720] - KVM: x86: do not define KVM_REQ_SMI if SMM disabled (Maxim Levitsky) [2177720] - KVM: x86: remove SMRAM address space if SMM is not supported (Maxim Levitsky) [2177720] - KVM: x86: compile out vendor-specific code if SMM is disabled (Maxim Levitsky) [2177720] - KVM: allow compiling out SMM support (Maxim Levitsky) [2177720] - KVM: SVM: Name and check reserved fields with structs offset (Maxim Levitsky) [2177720] - x86/kvm: Remove unused virt to phys translation in kvm_guest_cpu_init() (Maxim Levitsky) [2177720] - KVM: x86: Insert "AMD" in KVM_X86_FEATURE_PSFD (Maxim Levitsky) [2177720] - KVM: x86/mmu: use helper macro SPTE_ENT_PER_PAGE (Maxim Levitsky) [2177720] - KVM: x86/mmu: fix some comment typos (Maxim Levitsky) [2177720] - KVM: x86: remove obsolete kvm_mmu_gva_to_gpa_fetch() (Maxim Levitsky) [2177720] - KVM: x86: Directly query supported PERF_CAPABILITIES for WRMSR checks (Maxim Levitsky) [2177720] - KVM: x86: Handle PERF_CAPABILITIES in common x86's kvm_get_msr_feature() (Maxim Levitsky) [2177720] - KVM: x86: Init vcpu->arch.perf_capabilities in common x86 code (Maxim Levitsky) [2177720] - KVM: x86: Track supported PERF_CAPABILITIES in kvm_caps (Maxim Levitsky) [2177720] - tools headers cpufeatures: Sync with the kernel sources (Maxim Levitsky) [2177720] - KVM/VMX: Allow exposing EDECCSSA user leaf function to KVM guest (Maxim Levitsky) [2177720] - x86/sgx: Allow enclaves to use Asynchrounous Exit Notification (Maxim Levitsky) [2177720] - rcu: Remove unused 'cpu' in rcu_virt_note_context_switch() (Maxim Levitsky) [2177720] - KVM: Document the interaction between KVM_CAP_HALT_POLL and halt_poll_ns (Maxim Levitsky) [2177720] - KVM: Move halt-polling documentation into common directory (Maxim Levitsky) [2177720] - KVM: Update gfn_to_pfn_cache khva when it moves within the same page (Maxim Levitsky) [2177720] - KVM: x86/xen: Only do in-kernel acceleration of hypercalls for guest CPL0 (Maxim Levitsky) [2177720] - KVM: x86/xen: Validate port number in SCHEDOP_poll (Maxim Levitsky) [2177720] - KVM: x86: remove exit_int_info warning in svm_handle_exit (Maxim Levitsky) [2177720] - KVM: selftests: add svm part to triple_fault_test (Maxim Levitsky) [2177720] - KVM: x86: fix uninitialized variable use on KVM_REQ_TRIPLE_FAULT (Maxim Levitsky) [2177720] - KVM: x86: allow L1 to not intercept triple fault (Maxim Levitsky) [2177720] - kvm: selftests: add svm nested shutdown test (Maxim Levitsky) [2177720] - KVM: selftests: move idt_entry to header (Maxim Levitsky) [2177720] - KVM: x86: forcibly leave nested mode on vCPU reset (Maxim Levitsky) [2177720] - KVM: x86: add kvm_leave_nested (Maxim Levitsky) [2177720] - KVM: x86: nSVM: harden svm_free_nested against freeing vmcb02 while still in use (Maxim Levitsky) [2177720] - KVM: x86: nSVM: leave nested mode on vCPU free (Maxim Levitsky) [2177720] - KVM: Obey kvm.halt_poll_ns in VMs not using KVM_CAP_HALT_POLL (Maxim Levitsky) [2177720] - KVM: Avoid re-reading kvm->max_halt_poll_ns during halt-polling (Maxim Levitsky) [2177720] - KVM: Cap vcpu->halt_poll_ns before halting rather than after (Maxim Levitsky) [2177720] - KVM: x86/mmu: Block all page faults during kvm_zap_gfn_range() (Maxim Levitsky) [2177720] - KVM: x86/pmu: Limit the maximum number of supported AMD GP counters (Maxim Levitsky) [2177720] - KVM: x86/pmu: Limit the maximum number of supported Intel GP counters (Maxim Levitsky) [2177720] - KVM: x86/pmu: Do not speculatively query Intel GP PMCs that don't exist yet (Maxim Levitsky) [2177720] - tools/kvm_stat: update exit reasons for vmx/svm/aarch64/userspace (Maxim Levitsky) [2177720] - tools/kvm_stat: fix incorrect detection of debugfs (Maxim Levitsky) [2177720] - x86, KVM: remove unnecessary argument to x86_virt_spec_ctrl and callers (Maxim Levitsky) [2177720] - KVM: SVM: move MSR_IA32_SPEC_CTRL save/restore to assembly (Maxim Levitsky) [2177720] - KVM: SVM: restore host save area from assembly (Maxim Levitsky) [2177720] - KVM: SVM: move guest vmsave/vmload back to assembly (Maxim Levitsky) [2177720] - KVM: SVM: do not allocate struct svm_cpu_data dynamically (Maxim Levitsky) [2177720] - KVM: SVM: remove dead field from struct svm_cpu_data (Maxim Levitsky) [2177720] - KVM: SVM: remove unused field from struct vcpu_svm (Maxim Levitsky) [2177720] - KVM: SVM: retrieve VMCB from assembly (Maxim Levitsky) [2177720] - KVM: SVM: adjust register allocation for __svm_vcpu_run() (Maxim Levitsky) [2177720] - KVM: SVM: replace regs argument of __svm_vcpu_run() with vcpu_svm (Maxim Levitsky) [2177720] - KVM: x86: use a separate asm-offsets.c file (Maxim Levitsky) [2177720] - KVM: x86: Fix a typo about the usage of kvcalloc() (Maxim Levitsky) [2177720] - KVM: x86: Use SRCU to protect zap in __kvm_set_or_clear_apicv_inhibit() (Maxim Levitsky) [2177720] - KVM: VMX: Ignore guest CPUID for host userspace writes to DEBUGCTL (Maxim Levitsky) [2177720] - KVM: VMX: Fold vmx_supported_debugctl() into vcpu_supported_debugctl() (Maxim Levitsky) [2177720] - KVM: x86/xen: Fix eventfd error handling in kvm_xen_eventfd_assign() (Maxim Levitsky) [2177720] - KVM: selftests: Mark "guest_saw_irq" as volatile in xen_shinfo_test (Maxim Levitsky) [2177720] - KVM: selftests: Add tests in xen_shinfo_test to detect lock races (Maxim Levitsky) [2177720] - KVM: Reject attempts to consume or refresh inactive gfn_to_pfn_cache (Maxim Levitsky) [2177720] - KVM: Initialize gfn_to_pfn_cache locks in dedicated helper (Maxim Levitsky) [2177720] - KVM: x86: Exempt pending triple fault from event injection sanity check (Maxim Levitsky) [2177720] - KVM: debugfs: Return retval of simple_attr_open() if it fails (Maxim Levitsky) [2177720] - KVM: x86: Reduce refcount if single_open() fails in kvm_mmu_rmaps_stat_open() (Maxim Levitsky) [2177720] - KVM: x86: Mask off reserved bits in CPUID.8000001FH (Maxim Levitsky) [2177720] - KVM: x86: Mask off reserved bits in CPUID.8000001AH (Maxim Levitsky) [2177720] - KVM: x86: Mask off reserved bits in CPUID.80000008H (Maxim Levitsky) [2177720] - KVM: x86: Mask off reserved bits in CPUID.80000006H (Maxim Levitsky) [2177720] - KVM: x86: Mask off reserved bits in CPUID.80000001H (Maxim Levitsky) [2177720] - KVM: x86: Add compat handler for KVM_X86_SET_MSR_FILTER (Maxim Levitsky) [2177720] - KVM: x86: Copy filter arg outside kvm_vm_ioctl_set_msr_filter() (Maxim Levitsky) [2177720] - kvm: Add support for arch compat vm ioctls (Maxim Levitsky) [2177720] - kvm: vmx: keep constant definition format consistent (Maxim Levitsky) [2177720] - kvm: mmu: fix typos in struct kvm_arch (Maxim Levitsky) [2177720] - KVM: selftests: Fix nx_huge_pages_test on TDP-disabled hosts (Maxim Levitsky) [2177720] - KVM: selftests: Add helpers to read kvm_{intel,amd} boolean module parameters (Maxim Levitsky) [2177720] - KVM: selftests: Tell the compiler that code after TEST_FAIL() is unreachable (Maxim Levitsky) [2177720] - Revert "KVM: selftests: Fix nested SVM tests when built with clang" (Maxim Levitsky) [2177720] - KVM: selftests: Dedup subtests of fix_hypercall_test (Maxim Levitsky) [2177720] - KVM: selftests: Explicitly verify KVM doesn't patch hypercall if quirk==off (Maxim Levitsky) [2177720] - KVM: selftests: Hardcode VMCALL/VMMCALL opcodes in "fix hypercall" test (Maxim Levitsky) [2177720] - KVM: selftests: Remove unnecessary register shuffling in fix_hypercall_test (Maxim Levitsky) [2177720] - KVM: selftests: Compare insn opcodes directly in fix_hypercall_test (Maxim Levitsky) [2177720] - KVM: selftests: Implement memcmp(), memcpy(), and memset() for guest use (Maxim Levitsky) [2177720] - KVM: x86/svm/pmu: Rewrite get_gp_pmc_amd() for more counters scalability (Maxim Levitsky) [2177720] - KVM: x86/svm/pmu: Direct access pmu->gp_counter[] to implement amd_*_to_pmc() (Maxim Levitsky) [2177720] - KVM: x86/pmu: Avoid using PEBS perf_events for normal counters (Maxim Levitsky) [2177720] - KVM: x86/pmu: Refactor PERF_GLOBAL_CTRL update helper for reuse by PEBS (Maxim Levitsky) [2177720] - KVM: x86/pmu: Don't generate PEBS records for emulated instructions (Maxim Levitsky) [2177720] - KVM: x86/pmu: Avoid setting BIT_ULL(-1) to pmu->host_cross_mapped_mask (Maxim Levitsky) [2177720] - KVM: selftests: Don't set reserved bits for invalid Hyper-V hypercall number (Maxim Levitsky) [2177720] - KVM: selftests: Load RAX with -EFAULT before Hyper-V hypercall (Maxim Levitsky) [2177720] - KVM: selftests: Check result in hyperv_features for successful hypercalls (Maxim Levitsky) [2177720] - Delete duplicate words from kernel docs (Maxim Levitsky) [2177720] - KVM: selftests: Skip tests that require EPT when it is not available (Maxim Levitsky) [2177720] - KVM: remove KVM_REQ_UNHALT (Maxim Levitsky) [2177720] - KVM: mips, x86: do not rely on KVM_REQ_UNHALT (Maxim Levitsky) [2177720] - KVM: x86: never write to memory from kvm_vcpu_check_block() (Maxim Levitsky) [2177720] - KVM: x86: Don't snapshot pending INIT/SIPI prior to checking nested events (Maxim Levitsky) [2177720] - KVM: nVMX: Make event request on VMXOFF iff INIT/SIPI is pending (Maxim Levitsky) [2177720] - KVM: nVMX: Make an event request if INIT or SIPI is pending on VM-Enter (Maxim Levitsky) [2177720] - KVM: SVM: Make an event request if INIT or SIPI is pending when GIF is set (Maxim Levitsky) [2177720] - KVM: x86: lapic does not have to process INIT if it is blocked (Maxim Levitsky) [2177720] - KVM: x86: Rename kvm_apic_has_events() to make it INIT/SIPI specific (Maxim Levitsky) [2177720] - KVM: nVMX: Make an event request when pending an MTF nested VM-Exit (Maxim Levitsky) [2177720] - KVM: x86: make vendor code check for all nested events (Maxim Levitsky) [2177720] - KVM: x86: Allow force_emulation_prefix to be written without a reload (Maxim Levitsky) [2177720] - KVM: selftests: Add an x86-only test to verify nested exception queueing (Maxim Levitsky) [2177720] - KVM: selftests: Use uapi header to get VMX and SVM exit reasons/codes (Maxim Levitsky) [2177720] - KVM: x86: Rename inject_pending_events() to kvm_check_and_inject_events() (Maxim Levitsky) [2177720] - KVM: VMX: Update MTF and ICEBP comments to document KVM's subtle behavior (Maxim Levitsky) [2177720] - KVM: x86: Treat pending TRIPLE_FAULT requests as pending exceptions (Maxim Levitsky) [2177720] - KVM: x86: Morph pending exceptions to pending VM-Exits at queue time (Maxim Levitsky) [2177720] - KVM: nVMX: Document priority of all known events on Intel CPUs (Maxim Levitsky) [2177720] - KVM: nVMX: Add a helper to identify low-priority #DB traps (Maxim Levitsky) [2177720] - KVM: x86: Evaluate ability to inject SMI/NMI/IRQ after potential VM-Exit (Maxim Levitsky) [2177720] - KVM: x86: Hoist nested event checks above event injection logic (Maxim Levitsky) [2177720] - KVM: x86: Use kvm_queue_exception_e() to queue #DF (Maxim Levitsky) [2177720] - KVM: x86: Formalize blocking of nested pending exceptions (Maxim Levitsky) [2177720] - KVM: x86: Make kvm_queued_exception a properly named, visible struct (Maxim Levitsky) [2177720] - KVM: x86: Rename kvm_x86_ops.queue_exception to inject_exception (Maxim Levitsky) [2177720] - KVM: VMX: Inject #PF on ENCLS as "emulated" #PF (Maxim Levitsky) [2177720] - KVM: nVMX: Unconditionally clear mtf_pending on nested VM-Exit (Maxim Levitsky) [2177720] - KVM: nVMX: Ignore SIPI that arrives in L2 when vCPU is not in WFS (Maxim Levitsky) [2177720] - KVM: x86: Use DR7_GD macro instead of open coding check in emulator (Maxim Levitsky) [2177720] - KVM: x86: Treat #DBs from the emulator as fault-like (code and DR7.GD=1) (Maxim Levitsky) [2177720] - KVM: nVMX: Prioritize TSS T-flag #DBs over Monitor Trap Flag (Maxim Levitsky) [2177720] - KVM: nVMX: Treat General Detect #DB (DR7.GD=1) as fault-like (Maxim Levitsky) [2177720] - KVM: x86: Suppress code #DBs on Intel if MOV/POP SS blocking is active (Maxim Levitsky) [2177720] - KVM: x86: Allow clearing RFLAGS.RF on forced emulation to test code #DBs (Maxim Levitsky) [2177720] - KVM: x86: Don't check for code breakpoints when emulating on exception (Maxim Levitsky) [2177720] - KVM: VMX: Drop bits 31:16 when shoving exception error code into VMCS (Maxim Levitsky) [2177720] - KVM: nVMX: Unconditionally purge queued/injected events on nested "exit" (Maxim Levitsky) [2177720] - KVM: x86: Add missing trace points for RDMSR/WRMSR in emulator path (Maxim Levitsky) [2177720] - KVM: x86: Return emulator error if RDMSR/WRMSR emulation failed (Maxim Levitsky) [2177720] - KVM: x86/mmu: fix repeated words in comments (Maxim Levitsky) [2177720] - KVM: nVMX: Use cached host MSR_IA32_VMX_MISC value for setting up nested MSR (Maxim Levitsky) [2177720] - KVM: VMX: Cache MSR_IA32_VMX_MISC in vmcs_config (Maxim Levitsky) [2177720] - KVM: nVMX: Use sanitized allowed-1 bits for VMX control MSRs (Maxim Levitsky) [2177720] - KVM: nVMX: Always set required-1 bits of pinbased_ctls to PIN_BASED_ALWAYSON_WITHOUT_TRUE_MSR (Maxim Levitsky) [2177720] - KVM: VMX: Move LOAD_IA32_PERF_GLOBAL_CTRL errata handling out of setup_vmcs_config() (Maxim Levitsky) [2177720] - KVM: x86: VMX: Replace some Intel model numbers with mnemonics (Maxim Levitsky) [2177720] - KVM: VMX: Adjust CR3/INVPLG interception for EPT=y at runtime, not setup (Maxim Levitsky) [2177720] - KVM: VMX: Add missing CPU based VM execution controls to vmcs_config (Maxim Levitsky) [2177720] - KVM: VMX: Add missing VMEXIT controls to vmcs_config (Maxim Levitsky) [2177720] - KVM: VMX: Move CPU_BASED_CR8_{LOAD,STORE}_EXITING filtering out of setup_vmcs_config() (Maxim Levitsky) [2177720] - KVM: VMX: Extend VMX controls macro shenanigans (Maxim Levitsky) [2177720] - KVM: VMX: Don't toggle VM_ENTRY_IA32E_MODE for 32-bit kernels/KVM (Maxim Levitsky) [2177720] - KVM: VMX: Tweak the special handling of SECONDARY_EXEC_ENCLS_EXITING in setup_vmcs_config() (Maxim Levitsky) [2177720] - KVM: VMX: Check CPU_BASED_{INTR,NMI}_WINDOW_EXITING in setup_vmcs_config() (Maxim Levitsky) [2177720] - KVM: VMX: Check VM_ENTRY_IA32E_MODE in setup_vmcs_config() (Maxim Levitsky) [2177720] - KVM: nVMX: Always emulate PERF_GLOBAL_CTRL VM-Entry/VM-Exit controls (Maxim Levitsky) [2177720] - KVM: nVMX: Don't propagate vmcs12's PERF_GLOBAL_CTRL settings to vmcs02 (Maxim Levitsky) [2177720] - KVM: VMX: Get rid of eVMCS specific VMX controls sanitization (Maxim Levitsky) [2177720] - KVM: nVMX: Support PERF_GLOBAL_CTRL with enlightened VMCS (Maxim Levitsky) [2177720] - KVM: nVMX: WARN once and fail VM-Enter if eVMCS sees VMFUNC[63:32] != 0 (Maxim Levitsky) [2177720] - KVM: selftests: Switch to updated eVMCSv1 definition (Maxim Levitsky) [2177720] - KVM: selftests: Add ENCLS_EXITING_BITMAP{,HIGH} VMCS fields (Maxim Levitsky) [2177720] - KVM: x86: hyper-v: Cache HYPERV_CPUID_NESTED_FEATURES CPUID leaf (Maxim Levitsky) [2177720] - KVM: nVMX: Support several new fields in eVMCSv1 (Maxim Levitsky) [2177720] - KVM: VMX: Define VMCS-to-EVMCS conversion for the new fields (Maxim Levitsky) [2177720] - KVM: nVMX: Use CC() macro to handle eVMCS unsupported controls checks (Maxim Levitsky) [2177720] - KVM: nVMX: Refactor unsupported eVMCS controls logic to use 2-d array (Maxim Levitsky) [2177720] - KVM: nVMX: Treat eVMCS as enabled for guest iff Hyper-V is also enabled (Maxim Levitsky) [2177720] - KVM: x86: Report error when setting CPUID if Hyper-V allocation fails (Maxim Levitsky) [2177720] - KVM: x86: Check for existing Hyper-V vCPU in kvm_hv_vcpu_init() (Maxim Levitsky) [2177720] - KVM: x86: Zero out entire Hyper-V CPUID cache before processing entries (Maxim Levitsky) [2177720] - KVM: selftests: Require DISABLE_NX_HUGE_PAGES cap for NX hugepage test (Maxim Levitsky) [2177720] - KVM: VMX: Do not declare vmread_error() asmlinkage (Maxim Levitsky) [2177720] - KVM:x86: Clean up ModR/M "reg" initialization in reg op decoding (Maxim Levitsky) [2177720] - KVM: x86: Print guest pgd in kvm_nested_vmenter() (Maxim Levitsky) [2177720] - KVM: nVMX: Add tracepoint for nested VM-Enter (Maxim Levitsky) [2177720] - KVM: x86: Update trace function for nested VM entry to support VMX (Maxim Levitsky) [2177720] - KVM: x86: Use u64 for address and error code in page fault tracepoint (Maxim Levitsky) [2177720] - KVM: Add extra information in kvm_page_fault trace point (Maxim Levitsky) [2177720] - KVM: SVM: remove unnecessary check on INIT intercept (Maxim Levitsky) [2177720] - KVM/VMX: Avoid stack engine synchronization uop in __vmx_vcpu_run (Maxim Levitsky) [2177720] - KVM: fix memoryleak in kvm_init() (Maxim Levitsky) [2177720] - KVM: x86/mmu: fix memoryleak in kvm_mmu_vendor_module_init() (Maxim Levitsky) [2177720] - KVM: x86/emulator: Fix handing of POP SS to correctly set interruptibility (Maxim Levitsky) [2177720] - kvm: x86: Do proper cleanup if kvm_x86_ops->vm_init() fails (Maxim Levitsky) [2177720] - x86: Fix various duplicate-word comment typos (Maxim Levitsky) [2177720] - tests: fix idmapped mount_setattr test (Alex Gladkov) [2179877] - Revert "Disable idmapped mounts" (Alex Gladkov) [2132410] - platform/x86: intel-uncore-freq: add Emerald Rapids support (Michael Petlan) [2156827] - nfsd: fix double fget() bug in __write_ports_addfd() (Scott Mayhew) [2215091] - SUNRPC: Don't change task->tk_status after the call to rpc_exit_task (Scott Mayhew) [2215091] - NFSD: Remove open coding of string copy (Scott Mayhew) [2215091] - SUNRPC: Fix trace_svc_register() call site (Scott Mayhew) [2215091] - SUNRPC: always free ctxt when freeing deferred request (Scott Mayhew) [2215091] - SUNRPC: double free xprt_ctxt while still in use (Scott Mayhew) [2215091] - NFSD: Clean up xattr memory allocation flags (Scott Mayhew) [2215091] - SUNRPC: return proper error from get_expiry() (Scott Mayhew) [2215091] - NFS: Cleanup unused rpc_clnt variable (Scott Mayhew) [2215091] - SUNRPC: remove the maximum number of retries in call_bind_status (Scott Mayhew) [2215091] - NFSv4.1: Always send a RECLAIM_COMPLETE after establishing lease (Scott Mayhew) [2215091] - net: stmmac: propagate feature flags to vlan (Corinna Vinschen) [2213160] Resolves: rhbz#2132410, rhbz#2156827, rhbz#2177720, rhbz#2179877, rhbz#2213160, rhbz#2215091, rhbz#2215429, rhbz#2216955, rhbz#2217308 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-30 12:26:37 +00:00
CONFIG_KVM_SMM=y
# CONFIG_KVM_XEN is not set
# CONFIG_KXCJK1013 is not set
# CONFIG_KXSD9 is not set
CONFIG_L2TP_DEBUGFS=m
CONFIG_L2TP_ETH=m
CONFIG_L2TP_IP=m
CONFIG_L2TP=m
CONFIG_L2TP_V3=y
# CONFIG_LANMEDIA is not set
# CONFIG_LAPB is not set
CONFIG_LATENCYTOP=y
# CONFIG_LATTICE_ECP3_CONFIG is not set
# CONFIG_LCD_AMS369FG06 is not set
CONFIG_LCD_CLASS_DEVICE=m
# CONFIG_LCD_HX8357 is not set
# CONFIG_LCD_ILI922X is not set
# CONFIG_LCD_ILI9320 is not set
# CONFIG_LCD_L4F00242T03 is not set
# CONFIG_LCD_LMS283GF05 is not set
# CONFIG_LCD_LMS501KF03 is not set
# CONFIG_LCD_LTV350QV is not set
# CONFIG_LCD_OTM3225A is not set
CONFIG_LCD_PLATFORM=m
# CONFIG_LCD_TDO24M is not set
# CONFIG_LCD_VGG2432A4 is not set
CONFIG_LDISC_AUTOLOAD=y
# CONFIG_LDM_PARTITION is not set
# CONFIG_LEDS_AN30259A is not set
# CONFIG_LEDS_APU is not set
# CONFIG_LEDS_AS3645A is not set
# CONFIG_LEDS_AW2013 is not set
# CONFIG_LEDS_BCM6328 is not set
# CONFIG_LEDS_BCM6358 is not set
# CONFIG_LEDS_BD2802 is not set
CONFIG_LEDS_BLINKM=m
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_LEDS_BRIGHTNESS_HW_CHANGED=y
# CONFIG_LEDS_CLASS_FLASH is not set
# CONFIG_LEDS_CLASS_MULTICOLOR is not set
CONFIG_LEDS_CLASS=y
CONFIG_LEDS_CLEVO_MAIL=m
# CONFIG_LEDS_CR0014114 is not set
# CONFIG_LEDS_DAC124S085 is not set
# CONFIG_LEDS_EL15203000 is not set
# CONFIG_LEDS_GPIO is not set
CONFIG_LEDS_INTEL_SS4200=m
# CONFIG_LEDS_IS31FL319X is not set
# CONFIG_LEDS_IS31FL32XX is not set
# CONFIG_LEDS_KTD2692 is not set
CONFIG_LEDS_LM3530=m
# CONFIG_LEDS_LM3532 is not set
# CONFIG_LEDS_LM355x is not set
# CONFIG_LEDS_LM3601X is not set
# CONFIG_LEDS_LM3642 is not set
# CONFIG_LEDS_LM3692X is not set
CONFIG_LEDS_LP3944=m
# CONFIG_LEDS_LP3952 is not set
# CONFIG_LEDS_LP50XX is not set
# CONFIG_LEDS_LP55XX_COMMON is not set
# CONFIG_LEDS_LP8860 is not set
CONFIG_LEDS_LT3593=m
CONFIG_LEDS_MLXCPLD=m
kernel-5.14.0-73.el9 * Sun Mar 20 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-73.el9] - CI: Use 9.0-rt branch for rhel-9 realtime_check (Juri Lelli) - redhat: enable zstream release numbering for rhel 9.0 (Herton R. Krzesinski) - redhat: change kabi tarballs to use the package release (Herton R. Krzesinski) - redhat: generate distgit changelog in genspec.sh as well (Herton R. Krzesinski) - redhat: make genspec prefer metadata from git notes (Herton R. Krzesinski) - redhat: use tags from git notes for zstream to generate changelog (Herton R. Krzesinski) - CI: Add disttag setting (Veronika Kabatova) - CI: Drop c9s config (Veronika Kabatova) - virtio-net: fix pages leaking when building skb in big mode (Laurent Vivier) [2042559] - lib/iov_iter: initialize "flags" in new pipe_buffer (Carlos Maiolino) [2060869] {CVE-2022-0847} - redhat/configs: Make CRYPTO_ECDH algos built-in (Simo Sorce) [2062199] - crypto: api - Move cryptomgr soft dependency into algapi (Vladis Dronov) [2062199] - crypto: api - Fix boot-up crash when crypto manager is disabled (Vladis Dronov) [2062199] - crypto: api - Do not create test larvals if manager is disabled (Vladis Dronov) [2062199] - crypto: api - Export crypto_boot_test_finished (Vladis Dronov) [2062199] - crypto: api - Fix built-in testing dependency failures (Vladis Dronov) [2062199] - redhat/configs: Add CRYPTO_AES_ARM64 config explicitly (Vladis Dronov) [2062199] - crypto: arm64/aes-ce - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - crypto: arm64/aes-neonbs - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - redhat: change default dist suffix for RHEL 9.0 (Herton R. Krzesinski) - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2050813] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2050813] - block: kabi: reserve space for block layer public structure (Ming Lei) [2057238] - block: kabi: reserve space for blk-mq related structure (Ming Lei) [2057238] - block: kabi: reserve space for bsg related structure (Ming Lei) [2057238] - block: kabi: reserve space for integrity related structure (Ming Lei) [2057238] - scsi: lpfc: Fix pt2pt NVMe PRLI reject LOGO loop (Dick Kennedy) [2054866] - x86/MCE/AMD, EDAC/mce_amd: Support non-uniform MCA bank type enumeration (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD, EDAC/mce_amd: Add new SMCA bank types (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC/amd64: Add support for AMD Family 19h Models 10h-1Fh and A0h-AFh (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC: Add RDDR5 and LRDDR5 memory types (Aristeu Rozanski) [1898165 2047430 2047446] - drm/amdgpu: Register MCE notifier for Aldebaran RAS (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD: Export smca_get_bank_type symbol (Aristeu Rozanski) [1898165 2047430 2047446] - PCI/ACPI: Fix acpi_pci_osc_control_set() kernel-doc comment (Mark Langsdorf) [2049635] - PCI/ACPI: Check for _OSC support in acpi_pci_osc_control_set() (Mark Langsdorf) [2049635] - PCI/ACPI: Move _OSC query checks to separate function (Mark Langsdorf) [2049635] - PCI/ACPI: Move supported and control calculations to separate functions (Mark Langsdorf) [2049635] - PCI/ACPI: Remove OSC_PCI_SUPPORT_MASKS and OSC_PCI_CONTROL_MASKS (Mark Langsdorf) [2049635] - nvmet-tcp: fix missing unmainted messages (Chris Leech) [2054441] - dm stats: fix too short end duration_ns when using precise_timestamps (Benjamin Marzinski) [2051798] - dm: fix double accounting of flush with data (Benjamin Marzinski) [2051798] - dm: interlock pending dm_io and dm_wait_for_bios_completion (Benjamin Marzinski) [2051798] - dm: properly fix redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - dm: revert partial fix for redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - block: add bio_start_io_acct_time() to control start_time (Benjamin Marzinski) [2051798] - scsi: mpt3sas: Fix incorrect system timestamp (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix system going into read-only mode (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix kernel panic during drive powercycle test (Tomas Henzl) [2049631] - redhat/configs: enable mellanox platform drivers to support LED, fan & watchdog devices (Ivan Vecera) [2057491] - x86/cpu: Drop spurious underscore from RAPTOR_LAKE #define (David Arcari) [2040022] - x86/cpu: Add Raptor Lake to Intel family (David Arcari) [2040022] - powerpc/64: Move paca allocation later in boot (Desnes A. Nunes do Rosario) [2055566] - powerpc: Set crashkernel offset to mid of RMA region (Desnes A. Nunes do Rosario) [2055566] - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2060814] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2060814] - igb: refactor XDP registration (Corinna Vinschen) [2054379] - igc: avoid kernel warning when changing RX ring parameters (Corinna Vinschen) [2054379] - redhat/configs: Enable CONFIG_ACER_WIRELESS (Peter Georg) [2025985] Resolves: rhbz#2025985, rhbz#2054379, rhbz#2060814, rhbz#2055566, rhbz#2040022 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-20 08:42:21 +00:00
CONFIG_LEDS_MLXREG=m
# CONFIG_LEDS_NIC78BX is not set
# CONFIG_LEDS_PCA9532 is not set
# CONFIG_LEDS_PCA955X is not set
# CONFIG_LEDS_PCA963X is not set
CONFIG_LEDS_POWERNV=m
# CONFIG_LEDS_PWM is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_LEDS_RT4505 is not set
# CONFIG_LEDS_RT8515 is not set
# CONFIG_LEDS_SGM3140 is not set
# CONFIG_LEDS_SPI_BYTE is not set
# CONFIG_LEDS_SYSCON is not set
# CONFIG_LEDS_TCA6507 is not set
# CONFIG_LEDS_TI_LMU_COMMON is not set
# CONFIG_LEDS_TLC591XX is not set
# CONFIG_LEDS_TRIGGER_ACTIVITY is not set
CONFIG_LEDS_TRIGGER_AUDIO=m
CONFIG_LEDS_TRIGGER_BACKLIGHT=m
CONFIG_LEDS_TRIGGER_CAMERA=m
# CONFIG_LEDS_TRIGGER_CPU is not set
CONFIG_LEDS_TRIGGER_DEFAULT_ON=m
CONFIG_LEDS_TRIGGER_DISK=y
CONFIG_LEDS_TRIGGER_GPIO=m
CONFIG_LEDS_TRIGGER_HEARTBEAT=m
# CONFIG_LEDS_TRIGGER_MTD is not set
# CONFIG_LEDS_TRIGGER_NETDEV is not set
CONFIG_LEDS_TRIGGER_ONESHOT=m
# CONFIG_LEDS_TRIGGER_PANIC is not set
# CONFIG_LEDS_TRIGGER_PATTERN is not set
CONFIG_LEDS_TRIGGERS=y
CONFIG_LEDS_TRIGGER_TIMER=m
CONFIG_LEDS_TRIGGER_TRANSIENT=m
# CONFIG_LEDS_TRIGGER_TTY is not set
# CONFIG_LEDS_USER is not set
CONFIG_LED_TRIGGER_PHY=y
# CONFIG_LEGACY_PTYS is not set
# CONFIG_LG_LAPTOP is not set
CONFIG_LIBCRC32C=m
# CONFIG_LIBERTAS is not set
# CONFIG_LIBERTAS_THINFIRM is not set
CONFIG_LIBFC=m
CONFIG_LIBFCOE=m
CONFIG_LIBNVDIMM=m
# CONFIG_LIDAR_LITE_V2 is not set
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_LINEAR_RANGES_TEST=m
CONFIG_LIST_KUNIT_TEST=m
# CONFIG_LITEX_SOC_CONTROLLER is not set
CONFIG_LIVEPATCH=y
# CONFIG_LKDTM is not set
# CONFIG_LLC2 is not set
CONFIG_LLC=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_LMK04832 is not set
# CONFIG_LMP91000 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_LOAD_PPC_KEYS=y
CONFIG_LOCALVERSION=""
# CONFIG_LOCALVERSION_AUTO is not set
kernel-5.14.0-0.rc3.29.el9 * Mon Jul 26 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc3.29] - configs/process_configs.sh: Handle config items with no help text (Patrick Talbert) - fedora: sound config updates for 5.14 (Peter Robinson) - fedora: Only enable FSI drivers on POWER platform (Peter Robinson) - The CONFIG_RAW_DRIVER has been removed from upstream (Peter Robinson) - fedora: updates for 5.14 with a few disables for common from pending (Peter Robinson) - fedora: migrate from MFD_TPS68470 -> INTEL_SKL_INT3472 (Peter Robinson) - fedora: Remove STAGING_GASKET_FRAMEWORK (Peter Robinson) - Fedora: move DRM_VMWGFX configs from ark -> common (Peter Robinson) - fedora: arm: disabled unused FB drivers (Peter Robinson) - fedora: don't enable FB_VIRTUAL (Peter Robinson) - redhat/configs: Double MAX_LOCKDEP_ENTRIES (Waiman Long) [1940075] - rpmspec: fix verbose output on kernel-devel installation (Herton R. Krzesinski) [1981406] - Build Fedora x86s kernels with bytcr-wm5102 (Marius Hoch) - Deleted redhat/configs/fedora/generic/x86/CONFIG_FB_HYPERV (Patrick Lang) - rpmspec: correct the ghost initramfs attributes (Herton R. Krzesinski) [1977056] - rpmspec: amend removal of depmod created files to include modules.builtin.alias.bin (Herton R. Krzesinski) [1977056] - configs: remove duplicate CONFIG_DRM_HYPERV file (Patrick Talbert) - CI: use common code for merge and release (Don Zickus) - rpmspec: add release string to kernel doc directory name (Jan Stancek) - redhat/configs: Add CONFIG_INTEL_PMT_CRASHLOG (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_INTEL_PMT_TELEMETRY (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_MFD_INTEL_PMT (Michael Petlan) [1880486] - redhat/configs: enable CONFIG_BLK_DEV_ZONED (Ming Lei) [1638087] - Add --with clang_lto option to build the kernel with Link Time Optimizations (Tom Stellard) - common: disable DVB_AV7110 and associated pieces (Peter Robinson) - Fix fedora-only config updates (Don Zickus) Resolves: rhbz#1638087, rhbz#1977056, rhbz#1940075 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-26 21:42:21 +00:00
CONFIG_LOCKDEP_BITS=16
kernel-5.14.0-299.el9 * Thu Apr 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-299.el9] - powerpc/xive: Use XIVE domain under xmon and debugfs (David Arcari) [2114045] - powerpc/xics: Drop unmask of MSIs at startup (David Arcari) [2114045] - iommu/vt-d: Remove unnecessary locking in intel_irq_remapping_alloc() (David Arcari) [2114045] - Update CONFIG_LOCKDEP_CHAINS_BITS to 18 (David Arcari) [2114045] - irqdomain: Add missing NULL pointer check in irq_domain_create_hierarchy() (David Arcari) [2114045] - irqdomain: Switch to per-domain locking (David Arcari) [2114045] - irqchip/mvebu-odmi: Use irq_domain_create_hierarchy() (David Arcari) [2114045] - irqchip/gic-v3-mbi: Use irq_domain_create_hierarchy() (David Arcari) [2114045] - irqchip/gic-v3-its: Use irq_domain_create_hierarchy() (David Arcari) [2114045] - irqchip/gic-v2m: Use irq_domain_create_hierarchy() (David Arcari) [2114045] - irqchip/alpine-msi: Use irq_domain_add_hierarchy() (David Arcari) [2114045] - x86/uv: Use irq_domain_create_hierarchy() (David Arcari) [2114045] - x86/ioapic: Use irq_domain_create_hierarchy() (David Arcari) [2114045] - irqdomain: Clean up irq_domain_push/pop_irq() (David Arcari) [2114045] - irqdomain: Drop leftover brackets (David Arcari) [2114045] - irqdomain: Drop dead domain-name assignment (David Arcari) [2114045] - irqdomain: Drop revmap mutex (David Arcari) [2114045] - irqdomain: Fix domain registration race (David Arcari) [2114045] - irqdomain: Fix mapping-creation race (David Arcari) [2114045] - irqdomain: Refactor __irq_domain_alloc_irqs() (David Arcari) [2114045] - irqdomain: Look for existing mapping only once (David Arcari) [2114045] - irqdomain: Drop bogus fwspec-mapping error handling (David Arcari) [2114045] - irqdomain: Fix disassociation race (David Arcari) [2114045] - irqdomain: Fix association race (David Arcari) [2114045] - irqdomain: Use hwirq_max instead of revmap_size for NOMAP domains (David Arcari) [2114045] - PCI: apple: Add INTx and per-port interrupt support (David Arcari) [2114045] - irqdomain: Change the type of 'size' in __irq_domain_add() to be consistent (David Arcari) [2114045] - genirq/ipi: Fix NULL pointer deref in irq_data_get_affinity_mask() (David Arcari) [2114045] - iommu/amd: Enable PCI/IMS (David Arcari) [2114045] - iommu/vt-d: Enable PCI/IMS (David Arcari) [2114045] - genirq: Drop redundant irq_init_effective_affinity (David Arcari) [2114045] - x86/apic/msi: Enable PCI/IMS (David Arcari) [2114045] - PCI/MSI: Provide pci_ims_alloc/free_irq() (David Arcari) [2114045] - PCI/MSI: Provide IMS (Interrupt Message Store) support (David Arcari) [2114045] - genirq: Return a const cpumask from irq_data_get_affinity_mask (David Arcari) [2114045] - genirq: Add and use an irq_data_update_affinity helper (David Arcari) [2114045] - genirq: Refactor accessors to use irq_data_get_affinity_mask (David Arcari) [2114045] - genirq/msi: Provide constants for PCI/IMS support (David Arcari) [2114045] - x86/apic/msi: Enable MSI_FLAG_PCI_MSIX_ALLOC_DYN (David Arcari) [2114045] - x86/apic/msi: Remove arch_create_remap_msi_irq_domain() (David Arcari) [2114045] - iommu/amd: Switch to MSI base domains (David Arcari) [2114045] - iommu/vt-d: Switch to MSI parent domains (David Arcari) [2114045] - oc: ti: ti_sci_inta_msi: Switch to domain id aware MSI functions (David Arcari) [2114045] - genirq/irqdesc: Don't try to remove non-existing sysfs files (David Arcari) [2114045] - irqchip/gic: Use kstrtobool() instead of strtobool() (David Arcari) [2114045] - irqchip/irq-mtk-cirq: Add support for System CIRQ on MT8192 (David Arcari) [2114045] - irqchip/irq-mtk-cirq: Move register offsets to const array (David Arcari) [2114045] - dt-bindings: interrupt-controller: mediatek,cirq: Document MT8192 (David Arcari) [2114045] - dt-bindings: interrupt-controller: mediatek,cirq: Migrate to dt schema (David Arcari) [2114045] - irqchip/sl28cpld: Replace irqchip mask_invert with unmask_base (David Arcari) [2114045] - irqchip/wpcm450: Fix memory leak in wpcm450_aic_of_init() (David Arcari) [2114045] - irqchip: gic-pm: Use pm_runtime_resume_and_get() in gic_probe() (David Arcari) [2114045] - irqchip/al-fic: Drop obsolete dependency on COMPILE_TEST (David Arcari) [2114045] - irqchip/st: Use device_get_match_data() to simplify the code (David Arcari) [2114045] - genirq/irqreturn: Fix kernel-doc warnings (David Arcari) [2114045] - iommu/of: Remove linux/msi.h include (David Arcari) [2114045] - vfio/fsl-mc: Remove linux/msi.h include (David Arcari) [2114045] - soc: fsl: dpio: Remove linux/msi.h include (David Arcari) [2114045] - bus: fsl-mc: Remove linux/msi.h includes (David Arcari) [2114045] - Documentation: PCI: Add reference to PCI/MSI device driver APIs (David Arcari) [2114045] - iommu/amd: Remove bogus check for multi MSI-X (David Arcari) [2114045] - iommu/vt-d: Remove bogus check for multi MSI-X (David Arcari) [2114045] - genirq: Remove unused argument force of irq_set_affinity_deactivated() (David Arcari) [2114045] - powerpc/64: warn if local irqs are enabled in NMI or hardirq context (David Arcari) [2114045] - powerpc/traps: do not enable irqs in _exception (David Arcari) [2114045] - powerpc/xics: Set the IRQ chip data for the ICS native backend (David Arcari) [2114045] - powerpc: use IRQF_NO_DEBUG for IPIs (David Arcari) [2114045] - powerpc/xics: Fix IRQ migration (David Arcari) [2114045] - powerpc/xics: Add support for IRQ domain hierarchy (David Arcari) [2114045] - powerpc/xics: Give a name to the default XICS IRQ domain (David Arcari) [2114045] - powerpc/xics: Rename the map handler in a check handler (David Arcari) [2114045] - powerpc/xics: Remove ICS list (David Arcari) [2114045] - powerpc/xive: Remove irqd_is_started() check when setting the affinity (David Arcari) [2114045] - powerpc/xive: Drop unmask of MSIs at startup (David Arcari) [2114045] - powerpc/xive: Ease debugging of xive_irq_set_affinity() (David Arcari) [2114045] - powerpc/xive: Add support for IRQ domain hierarchy (David Arcari) [2114045] - watchdog: imx7ulp: Use devm_clk_get_enabled() helper (Steve Best) [2180762] - KVM: VMX: Fix crash due to uninitialized current_vmcs (Vitaly Kuznetsov) [2181329] - scsi: target: iscsi: Fix an error message in iscsi_check_key() (Maurizio Lombardi) [2175687] - scsi: target: core: Fix warning on RT kernels (Maurizio Lombardi) [2175687] - scsi: target: core: Change the way target_xcopy_do_work() sets restiction on max I/O (Maurizio Lombardi) [2175687] - scsi: target: core: Make hw_max_sectors store the sectors amount in blocks (Maurizio Lombardi) [2175687] - scsi: target: core: Send max transfer length in blocks (Maurizio Lombardi) [2175687] - scsi: target: Use kstrtobool() instead of strtobool() (Maurizio Lombardi) [2175687] - scsi: target: core: Remove unused variable 'unit_serial_len' (Maurizio Lombardi) [2175687] - scsi: target: core: Dynamically set DPO and FUA in usage_bits (Maurizio Lombardi) [2175687] - scsi: target: core: Check emulate_3pc for RECEIVE COPY (Maurizio Lombardi) [2175687] - scsi: target: core: Add emulate_rsoc attribute (Maurizio Lombardi) [2175687] - scsi: target: core: Dynamic opcode support in RSOC (Maurizio Lombardi) [2175687] - scsi: target: core: Add list of opcodes for RSOC (Maurizio Lombardi) [2175687] - scsi: target: core: Add support for RSOC command (Maurizio Lombardi) [2175687] - scsi: target: Remove the unused function transport_lba_64_ext() (Maurizio Lombardi) [2175687] - [target] fix iov_iter_bvec() "direction" argument (Maurizio Lombardi) [2175687] - scsi: target: tcm_loop: Fix possible name leak in tcm_loop_setup_hba_bus() (Maurizio Lombardi) [2175687] - scsi: target: iblock: Fold iblock_emulate_read_cap_with_block_size() into iblock_get_blocks() (Maurizio Lombardi) [2175687] - scsi: target: core: UA on all LUNs after reset (Maurizio Lombardi) [2175687] - scsi: target: core: New key must be used for moved PR (Maurizio Lombardi) [2175687] - scsi: target: core: Abort all preempted regs if requested (Maurizio Lombardi) [2175687] - scsi: target: core: Fix memory leak in preempt_and_abort (Maurizio Lombardi) [2175687] - scsi: target: core: Fix preempt and abort for allreg res (Maurizio Lombardi) [2175687] - scsi: target: Remove unused se_tmr_req_cache declaration (Maurizio Lombardi) [2175687] - scsi: target: alua: Do not report emtpy port group (Maurizio Lombardi) [2175687] - scsi: target: core: Set MULTIP bit for se_device with multiple ports (Maurizio Lombardi) [2175687] - scsi: target: pscsi: Remove repeated word "calling" (Maurizio Lombardi) [2175687] - net: avoid double iput when sock_alloc_file fails (Jeff Moyer) [2123490] - io_uring: do not recycle buffer in READV (Jeff Moyer) [2123490] - io_uring: fix free of unallocated buffer list (Jeff Moyer) [2123490] - io_uring: check that we have a file table when allocating update slots (Jeff Moyer) [2123490] - io_uring: explicit sqe padding for ioctl commands (Jeff Moyer) [2123490] - io_uring: fix provided buffer import (Jeff Moyer) [2123490] - io_uring: keep sendrecv flags in ioprio (Jeff Moyer) [2123490] - io_uring: use original request task for inflight tracking (Jeff Moyer) [2123490] - io_uring: move io_uring_get_opcode out of TP_printk (Jeff Moyer) [2123490] - io_uring: fix double poll leak on repolling (Jeff Moyer) [2123490] - io_uring: fix wrong arm_poll error handling (Jeff Moyer) [2123490] - io_uring: fail links when poll fails (Jeff Moyer) [2123490] - io_uring: fix req->apoll_events (Jeff Moyer) [2123490] - io_uring: mark reissue requests with REQ_F_PARTIAL_IO (Jeff Moyer) [2123490] - io_uring: recycle provided buffer if we punt to io-wq (Jeff Moyer) [2123490] - io_uring: do not use prio task_work_add in uring_cmd (Jeff Moyer) [2123490] - io_uring: commit non-pollable provided mapped buffers upfront (Jeff Moyer) [2123490] - io_uring: make io_fill_cqe_aux honour CQE32 (Jeff Moyer) [2123490] - io_uring: remove __io_fill_cqe() helper (Jeff Moyer) [2123490] - io_uring: fix ->extra{1,2} misuse (Jeff Moyer) [2123490] - io_uring: fill extra big cqe fields from req (Jeff Moyer) [2123490] - io_uring: unite fill_cqe and the 32B version (Jeff Moyer) [2123490] - io_uring: get rid of __io_fill_cqe{32}_req() (Jeff Moyer) [2123490] - io_uring: remove IORING_CLOSE_FD_AND_FILE_SLOT (Jeff Moyer) [2123490] - Revert "io_uring: add buffer selection support to IORING_OP_NOP" (Jeff Moyer) [2123490] - Revert "io_uring: support CQE32 for nop operation" (Jeff Moyer) [2123490] - io_uring: limit size of provided buffer ring (Jeff Moyer) [2123490] - io_uring: fix types in provided buffer ring (Jeff Moyer) [2123490] - io_uring: fix index calculation (Jeff Moyer) [2123490] - io_uring: fix double unlock for pbuf select (Jeff Moyer) [2123490] - io_uring: kbuf: fix bug of not consuming ring buffer in partial io case (Jeff Moyer) [2123490] - io_uring: openclose: fix bug of closing wrong fixed file (Jeff Moyer) [2123490] - io_uring: fix not locked access to fixed buf table (Jeff Moyer) [2123490] - io_uring: fix races with buffer table unregister (Jeff Moyer) [2123490] - io_uring: fix races with file table unregister (Jeff Moyer) [2123490] - fix the breakage in close_fd_get_file() calling conventions change (Jeff Moyer) [2123490] - io_uring: reinstate the inflight tracking (Jeff Moyer) [2123490] - io_uring: fix deadlock on iowq file slot alloc (Jeff Moyer) [2123490] - io_uring: let IORING_OP_FILES_UPDATE support choosing fixed file slots (Jeff Moyer) [2123490] - io_uring: defer alloc_hint update to io_file_bitmap_set() (Jeff Moyer) [2123490] - io_uring: ensure fput() called correspondingly when direct install fails (Jeff Moyer) [2123490] - io_uring: wire up allocated direct descriptors for socket (Jeff Moyer) [2123490] - io_uring: fix a memory leak of buffer group list on exit (Jeff Moyer) [2123490] - io_uring: move shutdown under the general net section (Jeff Moyer) [2123490] - io_uring: unify calling convention for async prep handling (Jeff Moyer) [2123490] - io_uring: add io_op_defs 'def' pointer in req init and issue (Jeff Moyer) [2123490] - io_uring: make prep and issue side of req handlers named consistently (Jeff Moyer) [2123490] - io_uring: make timeout prep handlers consistent with other prep handlers (Jeff Moyer) [2123490] - io_uring: cleanup handling of the two task_work lists (Jeff Moyer) [2123490] - io_uring: fix incorrect __kernel_rwf_t cast (Jeff Moyer) [2123490] - io_uring: disallow mixed provided buffer group registrations (Jeff Moyer) [2123490] - io_uring: initialize io_buffer_list head when shared ring is unregistered (Jeff Moyer) [2123490] - io_uring: add fully sparse buffer registration (Jeff Moyer) [2123490] - io_uring: use rcu_dereference in io_close (Jeff Moyer) [2123490] - io_uring: consistently use the EPOLL* defines (Jeff Moyer) [2123490] - io_uring: make apoll_events a __poll_t (Jeff Moyer) [2123490] - io_uring: drop a spurious inline on a forward declaration (Jeff Moyer) [2123490] - io_uring: don't use ERR_PTR for user pointers (Jeff Moyer) [2123490] - io_uring: use a rwf_t for io_rw.flags (Jeff Moyer) [2123490] - io_uring: add support for ring mapped supplied buffers (Jeff Moyer) [2123490] - io_uring: add io_pin_pages() helper (Jeff Moyer) [2123490] - io_uring: add buffer selection support to IORING_OP_NOP (Jeff Moyer) [2123490] - io_uring: fix locking state for empty buffer group (Jeff Moyer) [2123490] - Unify the primitives for file descriptor closing (Jeff Moyer) [2123490] - file: let pick_file() tell caller it's done (Jeff Moyer) [2123490] - io_uring: implement multishot mode for accept (Jeff Moyer) [2123490] - io_uring: let fast poll support multishot (Jeff Moyer) [2123490] - io_uring: add REQ_F_APOLL_MULTISHOT for requests (Jeff Moyer) [2123490] - io_uring: add IORING_ACCEPT_MULTISHOT for accept (Jeff Moyer) [2123490] - io_uring: only wake when the correct events are set (Jeff Moyer) [2123490] - io_uring: avoid io-wq -EAGAIN looping for !IOPOLL (Jeff Moyer) [2123490] - io_uring: add flag for allocating a fully sparse direct descriptor space (Jeff Moyer) [2123490] - io_uring: bump max direct descriptor count to 1M (Jeff Moyer) [2123490] - io_uring: allow allocated fixed files for accept (Jeff Moyer) [2123490] - io_uring: allow allocated fixed files for openat/openat2 (Jeff Moyer) [2123490] - io_uring: add basic fixed file allocator (Jeff Moyer) [2123490] - io_uring: track fixed files with a bitmap (Jeff Moyer) [2123490] - io_uring_enter(): don't leave f.flags uninitialized (Jeff Moyer) [2123490] - nvme: add vectored-io support for uring-cmd (Jeff Moyer) [2123490] - nvme: wire-up uring-cmd support for io-passthru on char-device. (Jeff Moyer) [2123490] - nvme: refactor nvme_submit_user_cmd() (Jeff Moyer) [2123490] - nvme: add vectored-io support for user-passthrough (Jeff Moyer) [2123490] - fs,io_uring: add infrastructure for uring-cmd (Jeff Moyer) [2123490] - io_uring: support CQE32 for nop operation (Jeff Moyer) [2123490] - io_uring: enable CQE32 (Jeff Moyer) [2123490] - io_uring: support CQE32 in /proc info (Jeff Moyer) [2123490] - io_uring: add tracing for additional CQE32 fields (Jeff Moyer) [2123490] - io_uring: overflow processing for CQE32 (Jeff Moyer) [2123490] - io_uring: flush completions for CQE32 (Jeff Moyer) [2123490] - io_uring: modify io_get_cqe for CQE32 (Jeff Moyer) [2123490] - io_uring: add CQE32 completion processing (Jeff Moyer) [2123490] - io_uring: add CQE32 setup processing (Jeff Moyer) [2123490] - io_uring: change ring size calculation for CQE32 (Jeff Moyer) [2123490] - io_uring: store add. return values for CQE32 (Jeff Moyer) [2123490] - io_uring: support CQE32 in io_uring_cqe (Jeff Moyer) [2123490] - io_uring: add support for 128-byte SQEs (Jeff Moyer) [2123490] - io_uring: don't clear req->kbuf when buffer selection is done (Jeff Moyer) [2123490] - io_uring: eliminate the need to track provided buffer ID separately (Jeff Moyer) [2123490] - io_uring: move provided buffer state closer to submit state (Jeff Moyer) [2123490] - io_uring: move provided and fixed buffers into the same io_kiocb area (Jeff Moyer) [2123490] - io_uring: abstract out provided buffer list selection (Jeff Moyer) [2123490] - io_uring: never call io_buffer_select() for a buffer re-select (Jeff Moyer) [2123490] - io_uring: get rid of hashed provided buffer groups (Jeff Moyer) [2123490] - io_uring: always use req->buf_index for the provided buffer group (Jeff Moyer) [2123490] - io_uring: ignore ->buf_index if REQ_F_BUFFER_SELECT isn't set (Jeff Moyer) [2123490] - io_uring: kill io_rw_buffer_select() wrapper (Jeff Moyer) [2123490] - io_uring: make io_buffer_select() return the user address directly (Jeff Moyer) [2123490] - io_uring: kill io_recv_buffer_select() wrapper (Jeff Moyer) [2123490] - io_uring: use 'sr' vs 'req->sr_msg' consistently (Jeff Moyer) [2123490] - io_uring: add POLL_FIRST support for send/sendmsg and recv/recvmsg (Jeff Moyer) [2123490] - io_uring: check IOPOLL/ioprio support upfront (Jeff Moyer) [2123490] - io_uring: replace smp_mb() with smp_mb__after_atomic() in io_sq_thread() (Jeff Moyer) [2123490] - io_uring: add IORING_SETUP_TASKRUN_FLAG (Jeff Moyer) [2123490] - io_uring: use TWA_SIGNAL_NO_IPI if IORING_SETUP_COOP_TASKRUN is used (Jeff Moyer) [2123490] - io_uring: set task_work notify method at init time (Jeff Moyer) [2123490] - io-wq: use __set_notify_signal() to wake workers (Jeff Moyer) [2123490] - io_uring: serialize ctx->rings->sq_flags with atomic_or/and (Jeff Moyer) [2123490] - task_work: allow TWA_SIGNAL without a rescheduling IPI (Jeff Moyer) [2123490] - io_uring: return hint on whether more data is available after receive (Jeff Moyer) [2123490] - net: clear msg_get_inq in __get_compat_msghdr() (Jeff Moyer) [2123490] - net: clear msg_get_inq in __sys_recvfrom() and __copy_msghdr_from_user() (Jeff Moyer) [2123490] - tcp: pass back data left in socket after receive (Jeff Moyer) [2123490] - io_uring: use the text representation of ops in trace (Jeff Moyer) [2123490] - io_uring: rename op -> opcode (Jeff Moyer) [2123490] - io_uring: add io_uring_get_opcode (Jeff Moyer) [2123490] - io_uring: add type to op enum (Jeff Moyer) [2123490] - io_uring: fix compile warning for 32-bit builds (Jeff Moyer) [2123490] - io_uring: cleanup error-handling around io_req_complete (Jeff Moyer) [2123490] - io_uring: add socket(2) support (Jeff Moyer) [2123490] - net: add __sys_socket_file() (Jeff Moyer) [2123490] - io_uring: fix trace for reduced sqe padding (Jeff Moyer) [2123490] - io_uring: add fgetxattr and getxattr support (Jeff Moyer) [2123490] - io_uring: add fsetxattr and setxattr support (Jeff Moyer) [2123490] - fs: split off do_getxattr from getxattr (Jeff Moyer) [2123490] - fs: split off setxattr_copy and do_setxattr function from setxattr (Jeff Moyer) [2123490] - io_uring: return an error when cqe is dropped (Jeff Moyer) [2123490] - io_uring: use constants for cq_overflow bitfield (Jeff Moyer) [2123490] - io_uring: rework io_uring_enter to simplify return value (Jeff Moyer) [2123490] - io_uring: trace cqe overflows (Jeff Moyer) [2123490] - io_uring: add trace support for CQE overflow (Jeff Moyer) [2123490] - io_uring: allow re-poll if we made progress (Jeff Moyer) [2123490] - io_uring: support MSG_WAITALL for IORING_OP_SEND(MSG) (Jeff Moyer) [2123490] - io_uring: add support for IORING_ASYNC_CANCEL_ANY (Jeff Moyer) [2123490] - io_uring: allow IORING_OP_ASYNC_CANCEL with 'fd' key (Jeff Moyer) [2123490] - io_uring: add support for IORING_ASYNC_CANCEL_ALL (Jeff Moyer) [2123490] - io_uring: pass in struct io_cancel_data consistently (Jeff Moyer) [2123490] - io_uring: remove dead 'poll_only' argument to io_poll_cancel() (Jeff Moyer) [2123490] - io_uring: refactor io_disarm_next() locking (Jeff Moyer) [2123490] - io_uring: move timeout locking in io_timeout_cancel() (Jeff Moyer) [2123490] - io_uring: store SCM state in io_fixed_file->file_ptr (Jeff Moyer) [2123490] - io_uring: kill ctx arg from io_req_put_rsrc (Jeff Moyer) [2123490] - io_uring: add a helper for putting rsrc nodes (Jeff Moyer) [2123490] - io_uring: store rsrc node in req instead of refs (Jeff Moyer) [2123490] - io_uring: refactor io_assign_file error path (Jeff Moyer) [2123490] - io_uring: use right helpers for file assign locking (Jeff Moyer) [2123490] - io_uring: add data_race annotations (Jeff Moyer) [2123490] - io_uring: inline io_req_complete_fail_submit() (Jeff Moyer) [2123490] - io_uring: refactor io_submit_sqe() (Jeff Moyer) [2123490] - io_uring: refactor lazy link fail (Jeff Moyer) [2123490] - io_uring: introduce IO_REQ_LINK_FLAGS (Jeff Moyer) [2123490] - io_uring: refactor io_queue_sqe() (Jeff Moyer) [2123490] - io_uring: rename io_queue_async_work() (Jeff Moyer) [2123490] - io_uring: inline io_queue_sqe() (Jeff Moyer) [2123490] - io_uring: helper for prep+queuing linked timeouts (Jeff Moyer) [2123490] - io_uring: inline io_free_req() (Jeff Moyer) [2123490] - io_uring: kill io_put_req_deferred() (Jeff Moyer) [2123490] - io_uring: minor refactoring for some tw handlers (Jeff Moyer) [2123490] - io_uring: clean poll tw PF_EXITING handling (Jeff Moyer) [2123490] - io_uring: optimise io_get_cqe() (Jeff Moyer) [2123490] - io_uring: optimise submission left counting (Jeff Moyer) [2123490] - io_uring: optimise submission loop invariant (Jeff Moyer) [2123490] - io_uring: add helper to return req to cache list (Jeff Moyer) [2123490] - io_uring: helper for empty req cache checks (Jeff Moyer) [2123490] - io_uring: inline io_flush_cached_reqs (Jeff Moyer) [2123490] - io_uring: shrink final link flush (Jeff Moyer) [2123490] - io_uring: memcpy CQE from req (Jeff Moyer) [2123490] - io_uring: explicitly keep a CQE in io_kiocb (Jeff Moyer) [2123490] - io_uring: rename io_sqe_file_register (Jeff Moyer) [2123490] - io_uring: deduplicate SCM accounting (Jeff Moyer) [2123490] - io_uring: don't pass around fixed index for scm (Jeff Moyer) [2123490] - io_uring: refactor __io_sqe_files_scm (Jeff Moyer) [2123490] - io_uring: uniform SCM accounting (Jeff Moyer) [2123490] - io_uring: don't scm-account for non af_unix sockets (Jeff Moyer) [2123490] - io_uring: move finish_wait() outside of loop in cqring_wait() (Jeff Moyer) [2123490] - io_uring: refactor io_req_add_compl_list() (Jeff Moyer) [2123490] - io_uring: silence io_for_each_link() warning (Jeff Moyer) [2123490] - io_uring: partially uninline io_put_task() (Jeff Moyer) [2123490] - io_uring: cleanup conditional submit locking (Jeff Moyer) [2123490] - io_uring: optimise mutex locking for submit+iopoll (Jeff Moyer) [2123490] - io_uring: pre-calculate syscall iopolling decision (Jeff Moyer) [2123490] - io_uring: split off IOPOLL argument verifiction (Jeff Moyer) [2123490] - io_uring: clean up io_queue_next() (Jeff Moyer) [2123490] - io_uring: move poll recycling later in compl flushing (Jeff Moyer) [2123490] - io_uring: optimise io_free_batch_list (Jeff Moyer) [2123490] - io_uring: refactor io_req_find_next (Jeff Moyer) [2123490] - io_uring: remove extra ifs around io_commit_cqring (Jeff Moyer) [2123490] - io_uring: small optimisation of tctx_task_work (Jeff Moyer) [2123490] - ipvs: add sysctl_run_estimation to support disable estimation (Hangbin Liu) [2174312] - netfilter: nf_conntrack: allow early drop of offloaded UDP conns (Florian Westphal) [2183085] - net/sched: act_ct: offload UDP NEW connections (Florian Westphal) [2183085] - net/sched: act_ct: set ctinfo in meta action depending on ct state (Florian Westphal) [2183085] - netfilter: flowtable: cache info of last offload (Florian Westphal) [2183085] - netfilter: flowtable: allow unidirectional rules (Florian Westphal) [2183085] - netfilter: flowtable: fixup UDP timeout depending on ct state (Florian Westphal) [2183085] - ovl: fix use after free in struct ovl_aio_req (Miklos Szeredi) [2176161] {CVE-2023-1252} - ovl: fail on invalid uid/gid mapping at copy up (Miklos Szeredi) [2165345] {CVE-2023-0386} Resolves: rhbz#2114045, rhbz#2123490, rhbz#2174312, rhbz#2175687, rhbz#2176161, rhbz#2180762, rhbz#2181329, rhbz#2183085 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-13 05:58:14 +00:00
CONFIG_LOCKDEP_CHAINS_BITS=18
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_LOCKDEP_CIRCULAR_QUEUE_BITS=12
CONFIG_LOCKDEP_STACK_TRACE_BITS=19
CONFIG_LOCKDEP_STACK_TRACE_HASH_BITS=14
CONFIG_LOCKD=m
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
# CONFIG_LOCK_DOWN_IN_EFI_SECURE_BOOT is not set
# CONFIG_LOCK_DOWN_KERNEL_FORCE_CONFIDENTIALITY is not set
# CONFIG_LOCK_DOWN_KERNEL_FORCE_INTEGRITY is not set
CONFIG_LOCK_DOWN_KERNEL_FORCE_NONE=y
CONFIG_LOCKD_V4=y
CONFIG_LOCK_EVENT_COUNTS=y
CONFIG_LOCK_STAT=y
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_LOCK_TORTURE_TEST=m
CONFIG_LOCKUP_DETECTOR=y
CONFIG_LOG_BUF_SHIFT=20
CONFIG_LOG_CPU_MAX_BUF_SHIFT=12
# CONFIG_LOGIG940_FF is not set
# CONFIG_LOGIRUMBLEPAD2_FF is not set
kernel-5.14.0-86.el9 * Fri May 06 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-86.el9] - configs: enable LOGITECH_FF (Benjamin Tissoires) [2070018] - veth: Ensure eth header is in skb's linear part (Guillaume Nault) [2040238] - virtchnl: Add support for new VLAN capabilities (Ivan Vecera) [2078776] - virtchnl: Use the BIT() macro for capability/offload flags (Ivan Vecera) [2078776] - virtchnl: Remove unused VIRTCHNL_VF_OFFLOAD_RSVD define (Ivan Vecera) [2078776] - skbuff: introduce skb_pull_data (Ivan Vecera) [2078759] - redhat/configs: Add CONFIG_PERF_EVENTS_AMD_UNCORE (Michael Petlan) [2069070] - perf callchain: Fix compilation on powerpc with gcc11+ (Michael Petlan) [2069070] - perf script: Check session->header.env.arch before using it (Michael Petlan) [2069070] - perf build: Suppress 'rm dlfilter' build message (Michael Petlan) [2069070] - libperf tests: Fix test_stat_cpu (Michael Petlan) [2069070] - libperf test evsel: Fix build error on !x86 architectures (Michael Petlan) [2069070] - perf report: Output non-zero offset for decompressed records (Michael Petlan) [2069070] - perf build: Fix plugin static linking with libopencsd on ARM and ARM64 (Michael Petlan) [2069070] - perf build: Add missing -lstdc++ when linking with libopencsd (Michael Petlan) [2069070] - perf jevents: Free the sys_event_tables list after processing entries (Michael Petlan) [2069070] - perf iostat: Fix Segmentation fault from NULL 'struct perf_counts_values *' (Michael Petlan) [2069070] - perf iostat: Use system-wide mode if the target cpu_list is unspecified (Michael Petlan) [2069070] - perf config: Refine error message to eliminate confusion (Michael Petlan) [2069070] - perf doc: Fix typos all over the place (Michael Petlan) [2069070] - perf arm: Fix off-by-one directory paths. (Michael Petlan) [2069070] - perf vendor events powerpc: Fix spelling mistake "icach" -> "icache" (Michael Petlan) [2069070] - perf tests: Fix flaky test 'Object code reading' (Michael Petlan) [2069070] - perf test: Fix DWARF unwind for optimized builds. (Michael Petlan) [2069070] - perf bpf: Ignore deprecation warning when using libbpf's btf__get_from_id() (Michael Petlan) [2069070] - libperf evsel: Make use of FD robust. (Michael Petlan) [2069070] - perf machine: Initialize srcline string member in add_location struct (Michael Petlan) [2069070] - perf script: Fix ip display when type != attr->type (Michael Petlan) [2069070] - perf annotate: Fix fused instr logic for assembly functions (Michael Petlan) [2069070] - perf tools: Add an option to build without libbfd (Michael Petlan) [2069070] - perf tools: Allow build-id with trailing zeros (Michael Petlan) [2069070] - perf tools: Fix hybrid config terms list corruption (Michael Petlan) [2069070] - perf tools: Factor out copy_config_terms() and free_config_terms() (Michael Petlan) [2069070] - perf tools: Fix perf_event_attr__fprintf() missing/dupl. fields (Michael Petlan) [2069070] - perf tools: Ignore Documentation dependency file (Michael Petlan) [2069070] - perf bpf: Provide a weak btf__load_from_kernel_by_id() for older libbpf versions (Michael Petlan) [2069070] - perf beauty: Cover more flags in the move_mount syscall argument beautifier (Michael Petlan) [2069070] - perf report: Add support to print a textual representation of IBS raw sample data (Michael Petlan) [2069070] - perf report: Add tools/arch/x86/include/asm/amd-ibs.h (Michael Petlan) [2069070] - perf env: Add perf_env__cpuid, perf_env__{nr_}pmu_mappings (Michael Petlan) [2069070] - perf symbol: Look for ImageBase in PE file to compute .text offset (Michael Petlan) [2069070] - perf scripts python: Fix passing arguments to stackcollapse report (Michael Petlan) [2069070] - perf test: Fix bpf test sample mismatch reporting (Michael Petlan) [2069070] - perf beauty: Update copy of linux/socket.h with the kernel sources (Michael Petlan) [2069070] - perf tests: Add test for PMU aliases (Michael Petlan) [2069070] - perf pmu: Add PMU alias support (Michael Petlan) [2069070] - perf session: Report collisions in AUX records (Michael Petlan) [2069070] - perf script python: Allow reporting the [un]throttle PERF_RECORD_ meta event (Michael Petlan) [2069070] - perf build: Report failure for testing feature libopencsd (Michael Petlan) [2069070] - perf cs-etm: Show a warning for an unknown magic number (Michael Petlan) [2069070] - perf cs-etm: Print the decoder name (Michael Petlan) [2069070] - perf cs-etm: Create ETE decoder (Michael Petlan) [2069070] - perf cs-etm: Update OpenCSD decoder for ETE (Michael Petlan) [2069070] - perf cs-etm: Fix typo (Michael Petlan) [2069070] - perf cs-etm: Save TRCDEVARCH register (Michael Petlan) [2069070] - perf cs-etm: Refactor out ETMv4 header saving (Michael Petlan) [2069070] - perf cs-etm: Initialise architecture based on TRCIDR1 (Michael Petlan) [2069070] - perf cs-etm: Refactor initialisation of decoder params. (Michael Petlan) [2069070] - tools build: Fix feature detect clean for out of source builds (Michael Petlan) [2069070] - perf evlist: Add evlist__for_each_entry_from() macro (Michael Petlan) [2069070] - perf evsel: Handle precise_ip fallback in evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Move bpf_counter__install_pe() to success path in evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Move test_attr__open() to success path in evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Move ignore_missing_thread() to fallback code (Michael Petlan) [2069070] - perf evsel: Separate rlimit increase from evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Separate missing feature detection from evsel__open_cpu() (Michael Petlan) [2069070] - perf evsel: Add evsel__prepare_open() (Michael Petlan) [2069070] - perf evsel: Separate missing feature disabling from evsel__open_cpu (Michael Petlan) [2069070] - perf evsel: Save open flags in evsel in prepare_open() (Michael Petlan) [2069070] - perf evsel: Separate open preparation from open itself (Michael Petlan) [2069070] - perf evsel: Remove retry_sample_id goto label (Michael Petlan) [2069070] - perf mmap: Add missing bitops.h header (Michael Petlan) [2069070] - libperf cpumap: Take into advantage it is sorted to optimize perf_cpu_map__max() (Michael Petlan) [2069070] - libsubcmd: add OPT_UINTEGER_OPTARG option type (Michael Petlan) [2069070] - perf tools: Fix LLVM download hint link (Michael Petlan) [2069070] - perf tools: Fix LLVM test failure when running in verbose mode (Michael Petlan) [2069070] - perf tools: Refactor LLVM test warning for missing binary (Michael Petlan) [2069070] - perf auxtrace arm: Support compat_auxtrace_mmap__{read_head|write_tail} (Michael Petlan) [2069070] - perf auxtrace: Add compat_auxtrace_mmap__{read_head|write_tail} (Michael Petlan) [2069070] - perf bpf: Fix memory leaks relating to BTF. (Michael Petlan) [2069070] - perf data: Correct -h output (Michael Petlan) [2069070] - perf header: Fix spelling mistake "cant'" -> "can't" (Michael Petlan) [2069070] - perf dlfilters: Fix build on environments with a --sysroot gcc arg (Michael Petlan) [2069070] - perf record: Fix wrong comm in system-wide mode with delay (Michael Petlan) [2069070] - perf stat: Do not allow --for-each-cgroup without cpu (Michael Petlan) [2069070] - perf bench evlist-open-close: Use PRIu64 with u64 to fix build on 32-bit architectures (Michael Petlan) [2069070] - perf tests: Fix *probe_vfs_getname.sh test failures (Michael Petlan) [2069070] - perf bench inject-buildid: Handle writen() errors (Michael Petlan) [2069070] - perf unwind: Do not overwrite FEATURE_CHECK_LDFLAGS-libunwind-{x86,aarch64} (Michael Petlan) [2069070] - perf config: Fix caching and memory leak in perf_home_perfconfig() (Michael Petlan) [2069070] - perf tools: Fixup get_current_dir_name() compilation (Michael Petlan) [2069070] - libperf tests: Fix verbose printing (Michael Petlan) [2069070] - perf tools: Add missing newline at the end of header file (Michael Petlan) [2069070] - perf tests dlfilter: Free desc and long_desc in check_filter_desc (Michael Petlan) [2069070] - tools: Add sparse context/locking annotations in compiler-types.h (Michael Petlan) [2069070] - perf test: Do not compare overheads in the zstd comp test (Michael Petlan) [2069070] - perf tools: Enable on a list of CPUs for hybrid (Michael Petlan) [2069070] - perf tools: Create hybrid flag in target (Michael Petlan) [2069070] - libperf: Add perf_cpu_map__default_new() (Michael Petlan) [2069070] - perf test: Make --skip work on shell tests (Michael Petlan) [2069070] - perf tests: Add dlfilter test (Michael Petlan) [2069070] - perf build: Move perf_dlfilters.h in the source tree (Michael Petlan) [2069070] - perf dlfilter: Amend documentation wrt library dependencies (Michael Petlan) [2069070] - perf script: Fix --list-dlfilters documentation (Michael Petlan) [2069070] - perf script: Fix unnecessary machine_resolve() (Michael Petlan) [2069070] - perf script: Fix documented const'ness of perf_dlfilter_fns (Michael Petlan) [2069070] - perf vendor events: Update metrics for SkyLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update uncore event list for SkyLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update core event list for SkyLake Server (Michael Petlan) [2069070] - perf vendor events: Update metrics for CascadeLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update uncore event list for CascadeLake Server (Michael Petlan) [2069070] - perf vendor events intel: Update core event list for CascadeLake Server (Michael Petlan) [2069070] - perf test: Add pmu-events sys event support (Michael Petlan) [2069070] - perf jevents: Print SoC name per system event table (Michael Petlan) [2069070] - perf pmu: Make pmu_add_sys_aliases() public (Michael Petlan) [2069070] - perf test: Add more pmu-events uncore aliases (Michael Petlan) [2069070] - perf test: Re-add pmu-event uncore PMU alias test (Michael Petlan) [2069070] - perf pmu: Check .is_uncore field in pmu_add_cpu_aliases_map() (Michael Petlan) [2069070] - perf test: Test pmu-events core aliases separately (Michael Petlan) [2069070] - perf test: Factor out pmu-events alias comparison (Michael Petlan) [2069070] - perf test: Declare pmu-events test events separately (Michael Petlan) [2069070] - perf jevents: Relocate test events to cpu folder (Michael Petlan) [2069070] - perf test: Factor out pmu-events event comparison (Michael Petlan) [2069070] - perf jevents: Make build dependency on test JSONs (Michael Petlan) [2069070] - perf bench: Add benchmark for evlist open/close operations (Michael Petlan) [2069070] - perf docs: Fix accidental em-dashes (Michael Petlan) [2069070] - perf env: Track kernel 64-bit mode in environment (Michael Petlan) [2069070] - tools: Remove feature-sync-compare-and-swap feature detection (Michael Petlan) [2069070] - perf: Cleanup for HAVE_SYNC_COMPARE_AND_SWAP_SUPPORT (Michael Petlan) [2069070] - perf auxtrace: Remove auxtrace_mmap__read_snapshot_head() (Michael Petlan) [2069070] - perf auxtrace: Drop legacy __sync functions (Michael Petlan) [2069070] - perf auxtrace: Use WRITE_ONCE() for updating aux_tail (Michael Petlan) [2069070] - perf script python: Fix unintended underline (Michael Petlan) [2069070] - perf cs-etm: Add warnings for missing DSOs (Michael Petlan) [2069070] - perf vendor events: Add metrics for Icelake Server (Michael Petlan) [2069070] - perf bench futex, requeue: Add --pi parameter (Michael Petlan) [2069070] - perf bench futex, requeue: Robustify futex_wait() handling (Michael Petlan) [2069070] - perf bench futex, requeue: Add --broadcast option (Michael Petlan) [2069070] - perf bench futex: Add --mlockall parameter (Michael Petlan) [2069070] - perf bench futex: Remove bogus backslash from comment (Michael Petlan) [2069070] - perf bench futex: Group test parameters cleanup (Michael Petlan) [2069070] - perf cs-etm: Improve Coresight zero timestamp warning (Michael Petlan) [2069070] - perf tools: Add flag for tracking warnings of missing DSOs (Michael Petlan) [2069070] - perf annotate: Add disassembly warnings for annotate --stdio (Michael Petlan) [2069070] - perf annotate: Re-add annotate_warned functionality (Michael Petlan) [2069070] - perf tools: Add WARN_ONCE equivalent for UI warnings (Michael Petlan) [2069070] - perf tools: Add pipe_test.sh to verify pipe operations (Michael Petlan) [2069070] - perf inject: Fix output from a file to a pipe (Michael Petlan) [2069070] - perf inject: Fix output from a pipe to a file (Michael Petlan) [2069070] - perf tools: Pass a fd to perf_file_header__read_pipe() (Michael Petlan) [2069070] - perf tools: Remove repipe argument from perf_session__new() (Michael Petlan) [2069070] - perf vendor events intel: Add basic metrics for Elkhartlake (Michael Petlan) [2069070] - perf vendor events intel: Add core event list for Elkhartlake (Michael Petlan) [2069070] - perf vendor events: Add metrics for Tigerlake (Michael Petlan) [2069070] - perf vendor events intel: Add core event list for Tigerlake (Michael Petlan) [2069070] - perf annotate: Add error log in symbol__annotate() (Michael Petlan) [2069070] - perf env: Normalize aarch64.* and arm64.* to arm64 in normalize_arch() (Michael Petlan) [2069070] - perf beauty: Reuse the generic arch errno switch (Michael Petlan) [2069070] - perf doc: Reorganize ARTICLES variables. (Michael Petlan) [2069070] - perf doc: Remove howto-index.sh related references. (Michael Petlan) [2069070] - perf doc: Remove cmd-list.perl references (Michael Petlan) [2069070] - perf doc: Add info pages to all target. (Michael Petlan) [2069070] - perf doc: Remove references to user-manual (Michael Petlan) [2069070] - perf doc: Fix doc.dep (Michael Petlan) [2069070] - perf doc: Fix perfman.info build (Michael Petlan) [2069070] - perf cs-etm: Pass unformatted flag to decoder (Michael Petlan) [2069070] - perf cs-etm: Use existing decoder instead of resetting it (Michael Petlan) [2069070] - perf cs-etm: Suppress printing when resetting decoder (Michael Petlan) [2069070] - perf cs-etm: Only setup queues when they are modified (Michael Petlan) [2069070] - perf cs-etm: Split setup and timestamp search functions (Michael Petlan) [2069070] - perf cs-etm: Refactor initialisation of kernel start address (Michael Petlan) [2069070] - perf trace: Update cmd string table to decode sys_bpf first arg (Michael Petlan) [2069070] - perf/x86/msr: Add Sapphire Rapids CPU support (Michael Petlan) [2069070] - perf/core: fix userpage->time_enabled of inactive events (Michael Petlan) [2069070] - perf/x86/intel: Update event constraints for ICX (Michael Petlan) [2069070] - perf/x86: Reset destroy callback on event init failure (Michael Petlan) [2069070] - events: Reuse value read using READ_ONCE instead of re-reading it (Michael Petlan) [2069070] - perf/x86/amd/ibs: Add bitfield definitions in new <asm/amd-ibs.h> header (Michael Petlan) [2069070] - perf/amd/uncore: Allow the driver to be built as a module (Michael Petlan) [2069070] - perf/amd/uncore: Clean up header use, use <linux/ include paths instead of <asm/ (Michael Petlan) [2069070] - perf/amd/uncore: Simplify code, use free_percpu()'s built-in check for NULL (Michael Petlan) [2069070] - perf/x86: Remove unused assignment to pointer 'e' (Michael Petlan) [2069070] - perf/x86/intel/uncore: Fix IIO cleanup mapping procedure for SNR/ICX (Michael Petlan) [2069070] - cifs: fix NULL ptr dereference in smb2_ioctl_query_info() (Ronnie Sahlberg) [2074302] - cifs: prevent bad output lengths in smb2_ioctl_query_info() (Ronnie Sahlberg) [2074302] - ping: remove pr_err from ping_lookup (Xin Long) [2054023] - ping: fix the dif and sdif check in ping_lookup (Xin Long) [2054023] - ping: fix the sk_bound_dev_if match in ping_lookup (Xin Long) [2054023] - redhat: configs: add CONFIG_INTEL_IDXD_COMPAT (Jerry Snitselaar) [2072168] - dmaengine: idxd: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2072168] - dmaengine: idxd: restore traffic class defaults after wq reset (Jerry Snitselaar) [2046470] - dmaengine: idxd: deprecate token sysfs attributes for read buffers (Jerry Snitselaar) [2040044] - dmaengine: idxd: change bandwidth token to read buffers (Jerry Snitselaar) [2040044] - dmaengine: idxd: fix wq settings post wq disable (Jerry Snitselaar) [2040046] - dmaengine: idxd: change MSIX allocation based on per wq activation (Jerry Snitselaar) [2040496] - dmaengine: idxd: fix descriptor flushing locking (Jerry Snitselaar) [2040496] - dmaengine: idxd: embed irq_entry in idxd_wq struct (Jerry Snitselaar) [2040496] - dmaengine: idxd: fix missed completion on abort path (Jerry Snitselaar) [2040041] - dmaengine: idxd: fix calling wq quiesce inside spinlock (Jerry Snitselaar) [2040041] - dmaengine: idxd: add knob for enqcmds retries (Jerry Snitselaar) [2040048] - dmaengine: idxd: set defaults for wq configs (Jerry Snitselaar) [2040048] - dmaengine: idxd: handle interrupt handle revoked event (Jerry Snitselaar) [2040052] - dmaengine: idxd: handle invalid interrupt handle descriptors (Jerry Snitselaar) [2040052] - dmaengine: idxd: create locked version of idxd_quiesce() call (Jerry Snitselaar) [2040052] - dmaengine: idxd: add helper for per interrupt handle drain (Jerry Snitselaar) [2040052] - dmaengine: idxd: move interrupt handle assignment (Jerry Snitselaar) [2040052] - dmaengine: idxd: int handle management refactoring (Jerry Snitselaar) [2040052] - dmaengine: idxd: rework descriptor free path on failure (Jerry Snitselaar) [2040052] - dmaengine: idxd: fix resource leak on dmaengine driver disable (Jerry Snitselaar) [2040041] - dmaengine: idxd: cleanup completion record allocation (Jerry Snitselaar) [2040041] - dmaengine: idxd: reconfig device after device reset command (Jerry Snitselaar) [2040041] - dmaengine: idxd: add halt interrupt support (Jerry Snitselaar) [2040048] - dmaengine: idxd: Use list_move_tail instead of list_del/list_add_tail (Jerry Snitselaar) [2072168] - dmanegine: idxd: fix resource free ordering on driver removal (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove kernel wq type set when load configuration (Jerry Snitselaar) [2072168] - dmaengine: idxd: remove gen cap field per spec 1.2 update (Jerry Snitselaar) [2040041] - dmaengine: idxd: check GENCAP config support for gencfg register (Jerry Snitselaar) [2040041] - dmaengine: idxd: move out percpu_ref_exit() to ensure it's outside submission (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove interrupt disable for dev_lock (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove interrupt disable for cmd_lock (Jerry Snitselaar) [2040041] - dmaengine: idxd: fix setting up priv mode for dwq (Jerry Snitselaar) [2040041] - dmaengine: idxd: set descriptor allocation size to threshold for swq (Jerry Snitselaar) [2040041] - dmaengine: idxd: make submit failure path consistent on desc freeing (Jerry Snitselaar) [2040041] - dmaengine: idxd: remove interrupt flag for completion list spinlock (Jerry Snitselaar) [2040041] - dmaengine: idxd: make I/O interrupt handler one shot (Jerry Snitselaar) [2040041] - dmaengine: idxd: clear block on fault flag when clear wq (Jerry Snitselaar) [2040041] - dmaengine: idxd: add capability check for 'block on fault' attribute (Jerry Snitselaar) [2040041] - dmaengine: idxd: Remove unused status variable in irq_process_work_list() (Jerry Snitselaar) [2072168] - dmaengine: idxd: Fix a possible NULL pointer dereference (Jerry Snitselaar) [2072168] - dmaengine: idxd: fix abort status check (Jerry Snitselaar) [2040041] - dmanegine: idxd: add software command status (Jerry Snitselaar) [2040048] - dmaengine: idxd: rotate portal address for better performance (Jerry Snitselaar) [2040048] - dmaengine: idxd: fix wq slot allocation index check (Jerry Snitselaar) [2040041] - dmaengine: idxd: fix uninit var for alt_drv (Jerry Snitselaar) [2072168] - dmaengine: idxd: Set defaults for GRPCFG traffic class (Jerry Snitselaar) [2040048] - dmaengine: idxd: remove fault processing code (Jerry Snitselaar) [2040041] - dmaengine: idxd: move dsa_drv support to compatible mode (Jerry Snitselaar) [1971962] - dmaengine: dsa: move dsa_bus_type out of idxd driver to standalone (Jerry Snitselaar) [1971962] - dmaengine: idxd: create user driver for wq 'device' (Jerry Snitselaar) [1971962] - dmaengine: idxd: create dmaengine driver for wq 'device' (Jerry Snitselaar) [1971962] - dmaengine: idxd: create idxd_device sub-driver (Jerry Snitselaar) [1971962] - dmaengine: idxd: add type to driver in order to allow device matching (Jerry Snitselaar) [1971962] - dmanegine: idxd: open code the dsa_drv registration (Jerry Snitselaar) [1971962] - dmaengine: idxd: idxd: move remove() bits for idxd 'struct device' to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: move probe() bits for idxd 'struct device' to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: fix bus_probe() and bus_remove() for dsa_bus (Jerry Snitselaar) [1971962] - dmaengine: idxd: remove iax_bus_type prototype (Jerry Snitselaar) [1971962] - dmaengine: idxd: remove bus shutdown (Jerry Snitselaar) [1971962] - dmaengine: idxd: move wq_disable() to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: move wq_enable() to device.c (Jerry Snitselaar) [1971962] - dmaengine: idxd: remove IDXD_DEV_CONF_READY (Jerry Snitselaar) [1971962] - dmaengine: idxd: add 'struct idxd_dev' as wrapper for conf_dev (Jerry Snitselaar) [1971962] - dmaengine: idxd: add driver name (Jerry Snitselaar) [1971962] - dmaengine: idxd: add driver register helper (Jerry Snitselaar) [1971962] - dmaengine: idxd: assign MSIX vectors to each WQ rather than roundrobin (Jerry Snitselaar) [2072168] - dmaengine: idxd: add missing percpu ref put on failure (Jerry Snitselaar) [2072168] - dmaengine: idxd: have command status always set (Jerry Snitselaar) [1973884] - dmanegine: idxd: cleanup all device related bits after disabling device (Jerry Snitselaar) [2072168] - dmaengine: idxd: Simplify code and axe the use of a deprecated API (Jerry Snitselaar) [2072168] - x86/fpu/xstate: Consolidate size calculations (Jerry Snitselaar) [2004573] - x86/fpu/xstate: Handle supervisor states in XSTATE permissions (Jerry Snitselaar) [2004573] - x86/fpu/xsave: Handle compacted offsets correctly with supervisor states (Jerry Snitselaar) [2004573] - x86/fpu: Cache xfeature flags from CPUID (Jerry Snitselaar) [2004573] - x86/fpu/xsave: Initialize offset/size cache early (Jerry Snitselaar) [2004573] - x86/fpu: Remove unused supervisor only offsets (Jerry Snitselaar) [2004573] - Documentation/x86: Update documentation for SVA (Shared Virtual Addressing) (Jerry Snitselaar) [2004573] - tools/objtool: Check for use of the ENQCMD instruction in the kernel (Jerry Snitselaar) [2004573] - x86/cpufeatures: Re-enable ENQCMD (Jerry Snitselaar) [2004573] - x86/traps: Demand-populate PASID MSR via #GP (Jerry Snitselaar) [2004573] - sched: Define and initialize a flag to identify valid PASID in the task (Jerry Snitselaar) [2004573] - x86/fpu: Clear PASID when copying fpstate (Jerry Snitselaar) [2004573] - iommu/sva: Assign a PASID to mm on PASID allocation and free it on mm exit (Jerry Snitselaar) [2004573] - kernel/fork: Initialize mm's PASID (Jerry Snitselaar) [2004573] - iommu/ioasid: Introduce a helper to check for valid PASIDs (Jerry Snitselaar) [2004573] - mm: Change CONFIG option for mm->pasid field (Jerry Snitselaar) [2004573] - iommu/sva: Rename CONFIG_IOMMU_SVA_LIB to CONFIG_IOMMU_SVA (Jerry Snitselaar) [2004573] - stddef: Introduce DECLARE_FLEX_ARRAY() helper (Josef Oskera) [2069567] - iommu/vt-d: Use correctly sized arguments for bit field (Jerry Snitselaar) [2068207] - redhat: configs: Enable CONFIG_INTEL_IOMMU_DEBUGFS (Jerry Snitselaar) [2068207] Resolves: rhbz#2070018, rhbz#2040238, rhbz#2078776, rhbz#2078759, rhbz#2069070, rhbz#2074302, rhbz#2054023, rhbz#2072168, rhbz#2046470, rhbz#2040044, rhbz#2040046, rhbz#2040496, rhbz#2040041, rhbz#2040048, rhbz#2040052, rhbz#1971962, rhbz#1973884, rhbz#2004573, rhbz#2069567, rhbz#2068207 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-06 08:44:02 +00:00
CONFIG_LOGITECH_FF=y
# CONFIG_LOGIWHEELS_FF is not set
CONFIG_LOGO_LINUX_CLUT224=y
# CONFIG_LOGO_LINUX_MONO is not set
# CONFIG_LOGO_LINUX_VGA16 is not set
CONFIG_LOGO=y
CONFIG_LOOPBACK_TARGET=m
CONFIG_LPARCFG=y
# CONFIG_LPC_ICH is not set
# CONFIG_LP_CONSOLE is not set
# CONFIG_LPC_SCH is not set
CONFIG_LSI_ET1011C_PHY=m
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_LSM="lockdown,yama,integrity,selinux,bpf"
CONFIG_LSM_MMAP_MIN_ADDR=65535
# CONFIG_LTC1660 is not set
# CONFIG_LTC2471 is not set
# CONFIG_LTC2485 is not set
# CONFIG_LTC2496 is not set
# CONFIG_LTC2497 is not set
# CONFIG_LTC2632 is not set
# CONFIG_LTC2983 is not set
CONFIG_LTO_NONE=y
# CONFIG_LTR501 is not set
# CONFIG_LV0104CS is not set
CONFIG_LWTUNNEL_BPF=y
CONFIG_LWTUNNEL=y
CONFIG_LXT_PHY=m
kernel-5.14.0-138.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-138.el9] - tools/testing/nvdimm: Fix security_init() symbol collision (Jeff Moyer) [2096788] - NFSD: Move fill_pre_wcc() and fill_post_wcc() (Benjamin Coddington) [2110576] - Revert "nfsd: skip some unnecessary stats in the v4 case" (Benjamin Coddington) [2110576] - Documentation: fix udp_wmem_min in ip-sysctl.rst (Xin Long) [2087560] - redhat/configs/common: Enable CONFIG_LZ4_COMPRESS (Andrew Walsh) [2110097] - net: bonding: fix use-after-free after 802.3ad slave unbind (Jonathan Toppins) [2109349] - net: bonding: fix possible NULL deref in rlb code (Jonathan Toppins) [2109349] - bonding: guard ns_targets by CONFIG_IPV6 (Jonathan Toppins) [2109349] - bonding: show NS IPv6 targets in proc master info (Jonathan Toppins) [2109349] - bonding: NS target should accept link local address (Jonathan Toppins) [2109349] - bonding: combine netlink and console error messages (Jonathan Toppins) [2109349] - usb: chipidea: udc: check request status before setting device address (Torez Smith) [2084667] - USB: gadget: Fix double-free bug in raw_gadget driver (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Meteor Lake xHCI (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Raptor Lake xHCI (Torez Smith) [2084667] - xhci: turn off port power in shutdown (Torez Smith) [2084667] - xhci: Keep interrupt disabled in initialization until host is running. (Torez Smith) [2084667] - USB: serial: option: add Quectel RM500K module support (Torez Smith) [2084667] - USB: serial: option: add Quectel EM05-G modem (Torez Smith) [2084667] - USB: serial: pl2303: add support for more HXN (G) types (Torez Smith) [2084667] - usb: typec: wcove: Drop wrong dependency to INTEL_SOC_PMIC (Torez Smith) [2084667] - usb: gadget: uvc: fix list double add in uvcg_video_pump (Torez Smith) [2084667] - dt-bindings: usb: ehci: Increase the number of PHYs (Torez Smith) [2084667] - dt-bindings: usb: ohci: Increase the number of PHYs (Torez Smith) [2084667] - usb: gadget: Fix non-unique driver names in raw-gadget driver (Torez Smith) [2084667] - USB: serial: option: add Telit LE910Cx 0x1250 composition (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->ep safe in ffs_epfile_io() (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->status safe in ffs_epfile_io() (Torez Smith) [2084667] - xhci: Fix null pointer dereference in resume if xhci has only one roothub (Torez Smith) [2084667] - USB: fixup for merge issue with "usb: dwc3: Don't switch OTG -> peripheral if extcon is present" (Torez Smith) [2084667] - usb: cdnsp: Fixed setting last_trb incorrectly (Torez Smith) [2084667] - usb: gadget: u_ether: fix regression in setting fixed MAC address (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: Fix refcount leak in lpc32xx_udc_probe (Torez Smith) [2084667] - usb: dwc2: Fix memory leak in dwc2_hcd_init (Torez Smith) [2084667] - usb: dwc3: gadget: Fix IN endpoint max packet size allocation (Torez Smith) [2084667] - docs: usb: fix literal block marker in usbmon verification example (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV31 with new baseline (Torez Smith) [2084667] - USB: serial: io_ti: add Agilent E5805A support (Torez Smith) [2084667] - dt-bindings: reset: update st,stih407-powerdown.yaml references (Torez Smith) [2084667] - dt-bindings: Update QCOM USB subsystem maintainer information (Torez Smith) [2084667] - dt-bindings: usb: snps,dwc3: Add missing 'dma-coherent' property (Torez Smith) [2084667] - usb: typec: ucsi: acpi: fix a NULL vs IS_ERR() check in probe (Torez Smith) [2084667] - USB: new quirk for Dell Gen 2 devices (Torez Smith) [2084667] - tty: remove CMSPAR ifdefs (Torez Smith) [2084667] - usb: dwc3: core: Add error log when core soft reset failed (Torez Smith) [2084667] - usb: dwc3: gadget: Move null pinter check to proper place (Torez Smith) [2084667] - usb: hub: Simplify error and success path in port_over_current_notify (Torez Smith) [2084667] - usb: cdns3: allocate TX FIFO size according to composite EP number (Torez Smith) [2084667] - usb: dwc3: Fix ep0 handling when getting reset while doing control transfer (Torez Smith) [2084667] - usb: Probe EHCI, OHCI controllers asynchronously (Torez Smith) [2084667] - usb: isp1760: Fix out-of-bounds array access (Torez Smith) [2084667] - xhci: Don't defer primary roothub registration if there is only one roothub (Torez Smith) [2084667] - USB: serial: option: add Quectel BG95 modem (Torez Smith) [2084667] - USB: serial: pl2303: fix type detection for odd device (Torez Smith) [2084667] - dt-bindings: usb: ci-hdrc-usb2: fix node node for ethernet controller (Torez Smith) [2084667] - media: stkwebcam: move stk_camera_read_reg() scratch buffer to struct stk_camera (Torez Smith) [2084667] - media: pvrusb2: fix array-index-out-of-bounds in pvr2_i2c_core_init (Torez Smith) [2084667] - media: gspca: make the read-only array table static const (Torez Smith) [2084667] - usb: xhci-mtk: remove bandwidth budget table (Torez Smith) [2084667] - usb: xhci-mtk: fix fs isoc's transfer error (Torez Smith) [2084667] - usb: gadget: fix race when gadget driver register via ioctl (Torez Smith) [2084667] - usb: typec: tcpci_mt6360: Update for BMC PHY setting (Torez Smith) [2084667] - xhci: Allow host runtime PM as default for Intel Alder Lake N xHCI (Torez Smith) [2084667] - xhci: Remove quirk for over 10 year old evaluation hardware (Torez Smith) [2084667] - xhci: prevent U2 link power state if Intel tier policy prevented U1 (Torez Smith) [2084667] - xhci: use generic command timer for stop endpoint commands. (Torez Smith) [2084667] - usb: host: xhci-plat: omit shared hcd if either root hub has no ports (Torez Smith) [2084667] - usb: host: xhci-plat: prepare operation w/o shared hcd (Torez Smith) [2084667] - usb: host: xhci-plat: create shared hcd after having added main hcd (Torez Smith) [2084667] - xhci: prepare for operation w/o shared hcd (Torez Smith) [2084667] - xhci: factor out parts of xhci_gen_setup() (Torez Smith) [2084667] - xhci: Set HCD flag to defer primary roothub registration (Torez Smith) [2084667] - usb: core: hcd: Add support for deferring roothub registration (Torez Smith) [2084667] - usb: host: ehci-xilinx: adding description for return value (Torez Smith) [2084667] - usb: gadget: udc: Remove useless variable assignment in xudc_read_fifo() (Torez Smith) [2084667] - USB: c67x00: remove unnecessary check of res (Torez Smith) [2084667] - usb: host: ehci-xilinx: Fix quoted string split across lines (Torez Smith) [2084667] - USB / dwc3: Fix a checkpatch warning in core.c (Torez Smith) [2084667] - thunderbolt: Add KUnit test for devices with no DisplayPort adapters (Torez Smith) [2084667] - thunderbolt: Fix buffer allocation of devices with no DisplayPort adapters (Torez Smith) [2084667] - USB: gadget: Add ID numbers to gadget names (Torez Smith) [2084667] - media: uvcvideo: Add UVC_GUID_FORMAT_H265 (Torez Smith) [2084667] - media: uvcvideo: Undup use uvc_endpoint_max_bpi() code (Torez Smith) [2084667] - media: uvcvideo: Simplify uvc_endpoint_max_bpi() (Torez Smith) [2084667] - media: uvcvideo: Fix memory leak if uvc_ctrl_add_mapping fails (Torez Smith) [2084667] - media: uvcvideo: Fix bit overflow in uvc_probe_video (Torez Smith) [2084667] - media: uvcvideo: Fix missing check to determine if element is found in list (Torez Smith) [2084667] - media: docs: media: uvcvideo: Use linux-media mailing list (Torez Smith) [2084667] - usb: gadget: uvc: allow for application to cleanly shutdown (Torez Smith) [2084667] - usb: typec: tcpci: Don't skip cleanup in .remove() on error (Torez Smith) [2084667] - usb: cdc-wdm: fix reading stuck on device close (Torez Smith) [2084667] - usb: gadget: uvc: track frames in format entries (Torez Smith) [2084667] - usb: gadget: uvc: move structs to common header (Torez Smith) [2084667] - usb: gadget: uvc: prevent index variables to start from 0 (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: fix clock matching (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: add IPQ8074, MSM8994, QCS404 and SM6125 (Torez Smith) [2084667] - usb: dwc3: gadget: Delay issuing End Transfer (Torez Smith) [2084667] - usb: dwc3: gadget: Only End Transfer for ep0 data phase (Torez Smith) [2084667] - usb: dwc3: ep0: Don't prepare beyond Setup stage (Torez Smith) [2084667] - usb: dwc3: gadget: Don't modify GEVNTCOUNT in pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Refactor pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Prevent repeat pullup() (Torez Smith) [2084667] - usb: dwc3: xilinx: Add gpio-reset support (Torez Smith) [2084667] - dt-bindings: usb: dwc3-xilinx: add optional property reset-gpios (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add binding for SDX65 (Torez Smith) [2084667] - dt-bindings: usb: da8xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - dt-bindings: usb: am33xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - usb: dwc3: remove a possible unnecessary 'out of memory' message (Torez Smith) [2084667] - usb: dwc3: host: Stop setting the ACPI companion (Torez Smith) [2084667] - usb: core: acpi: Use the sysdev pointer instead of controller device (Torez Smith) [2084667] - dt-bindings: usb: mediatek,mtu3: add binding for MT8195 SoC (Torez Smith) [2084667] - usb: ehci-omap: drop unused ehci_read() function (Torez Smith) [2084667] - USB: gadget: Fix return of -EBUSY (Torez Smith) [2084667] - usb: dwc2: gadget: don't reset gadget's driver->bus (Torez Smith) [2084667] - USB: serial: qcserial: add support for Sierra Wireless EM7590 (Torez Smith) [2084667] - USB: serial: ftdi_sio: clean up printk format specifier (Torez Smith) [2084667] - USB: serial: option: add Fibocom MA510 modem (Torez Smith) [2084667] - USB: serial: option: add Fibocom L610 modem (Torez Smith) [2084667] - USB: serial: pl2303: add device id for HP LM930 Display (Torez Smith) [2084667] - thunderbolt: Add support for XDomain lane bonding (Torez Smith) [2084667] - thunderbolt: Ignore port locked error in tb_port_wait_for_link_width() (Torez Smith) [2084667] - thunderbolt: Split setting link width and lane bonding into own functions (Torez Smith) [2084667] - thunderbolt: Move tb_port_state() prototype to correct place (Torez Smith) [2084667] - thunderbolt: Add debug logging when lane is enabled/disabled (Torez Smith) [2084667] - Revert "usb: misc: Add onboard_usb_hub driver" (Torez Smith) [2084667] - Revert "usb: core: hcd: Create platform devices for onboard hubs in probe()" (Torez Smith) [2084667] - thunderbolt: Link USB4 ports to their USB Type-C connectors (Torez Smith) [2084667] - thunderbolt: Make iommu_dma_protection more accurate (Torez Smith) [2084667] - iommu: Add capability for pre-boot DMA protection (Torez Smith) [2084667] - iommu: Introduce device_iommu_capable() (Torez Smith) [2084667] - usb: typec: ucsi: acpi: Map the mailbox with memremap() (Torez Smith) [2084667] - usb: phy: generic: Get the vbus supply (Torez Smith) [2084667] - usb: dwc3: gadget: Return proper request status (Torez Smith) [2084667] - usb: core: hcd: Create platform devices for onboard hubs in probe() (Torez Smith) [2084667] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2084667] - USB: gadget: Add a new bus for gadgets (Torez Smith) [2084667] - USB: gadget: Fix mistakes in UDC core kerneldoc (Torez Smith) [2084667] - USB: gadget: Register udc before gadget (Torez Smith) [2084667] - USB: gadget: Rename usb_gadget_probe_driver() (Torez Smith) [2084667] - usb: core: devices: drop redundant buffer overflow checks (Torez Smith) [2084667] - dt-bindings: usb: generic-ohci: Add HPE GXP ohci binding (Torez Smith) [2084667] - usb: Enable various new TypeC drivers. (Torez Smith) [2084667] - dt-bindings: usb: generic-ehci: Add HPE GXP ehci binding (Torez Smith) [2084667] - usb: typec: mux: Add On Semi fsa4480 driver (Torez Smith) [2084667] - dt-bindings: usb: Add binding for fcs,fsa4480 (Torez Smith) [2084667] - usb: typec: mux: Allow multiple mux_devs per mux (Torez Smith) [2084667] - usb: typec: mux: Introduce indirection (Torez Smith) [2084667] - usb: typec: mux: Check dev_set_name() return value (Torez Smith) [2084667] - device property: Add helper to match multiple connections (Torez Smith) [2084667] - usb: dwc3: pci: add support for the Intel Meteor Lake-P (Torez Smith) [2084667] - usb: typec: ucsi: Wait for the USB role switches (Torez Smith) [2084667] - usb: typec: ucsi: add a common function ucsi_unregister_connectors() (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/G2UL bindings (Torez Smith) [2084667] - testusb: Fix warning comparing pointer to 0 (Torez Smith) [2084667] - USB: hcd-pci: Fully suspend across freeze/thaw cycle (Torez Smith) [2084667] - usb: deprecate the third argument of usb_maxpacket() (Torez Smith) [2084667] - usb: dwc3: pci: Fix pm_runtime_get_sync() error checking (Torez Smith) [2084667] - USB: core: Disable remote wakeup for freeze/quiesce (Torez Smith) [2084667] - drivers: usb: host: Fix deadlock in oxu_bus_suspend() (Torez Smith) [2084667] - usb: dwc3: gadget: Replace list_for_each_entry_safe() if using giveback (Torez Smith) [2084667] - usb: dwc3: EP clear halt leading to clearing of delayed_status (Torez Smith) [2084667] - usb: dwc3: core: Only handle soft-reset in DCTL (Torez Smith) [2084667] - usb: dwc3: Don't switch OTG -> peripheral if extcon is present (Torez Smith) [2084667] - usb: gadget: configfs: clear deactivation flag in configfs_composite_unbind() (Torez Smith) [2084667] - usb: misc: eud: Fix an error handling path in eud_probe() (Torez Smith) [2084667] - usb: musb: mediatek: Use clk_bulk API to simplify clock operations (Torez Smith) [2084667] - USB: dwc2: Add OTG support for Ingenic SoCs. (Torez Smith) [2084667] - dt-bindings: dwc2: Add bindings for new Ingenic SoCs. (Torez Smith) [2084667] - usb: gadget: net2272: clean up comments (Torez Smith) [2084667] - usb: core: devices: remove dead code under #ifdef PROC_EXTRA (Torez Smith) [2084667] - USB: omap_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: clean up comments (Torez Smith) [2084667] - usb: gadget: tegra-xudc: clean up comments (Torez Smith) [2084667] - usb: musb: Fix missing of_node_put() in omap2430_probe (Torez Smith) [2084667] - usb: gadget: f_acm: add support for USB_CDC_REQ_SEND_BREAK (Torez Smith) [2084667] - usb: dwc3: gadget: increase tx fifo size for ss isoc endpoints (Torez Smith) [2084667] - usb: host: ohci-omap: Make it CCF clk API compatible (Torez Smith) [2084667] - usb: gadget: omap_udc: Make it CCF clk API compatible (Torez Smith) [2084667] - USB / dwc3: Fix three doc-build warnings (Torez Smith) [2084667] - usb: core: Don't hold the device lock while sleeping in do_proc_control() (Torez Smith) [2084667] - usb: dwc3: Try usb-role-switch first in dwc3_drd_init (Torez Smith) [2084667] - usb: dwc3: core: Fix tx/rx threshold settings (Torez Smith) [2084667] - usb: mtu3: fix USB 3.0 dual-role-switch from device to host (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: add missing required reg (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: include usb-hcd schema (Torez Smith) [2084667] - USB: storage: karma: fix rio_karma_init return (Torez Smith) [2084667] - usb: gadget: net2280: use swap() instead of open coding it (Torez Smith) [2084667] - usb: gadget: u_audio: clean up some inconsistent indenting (Torez Smith) [2084667] - USB: host: isp116x: check return value after calling platform_get_resource() (Torez Smith) [2084667] - usb:oxu210hp-hcd: Use platform_get_irq() to get the interrupt (Torez Smith) [2084667] - usb-storage: isd200: fix initFunction error return (Torez Smith) [2084667] - usb-storage: shuttle_usbat: fix initFunction error return (Torez Smith) [2084667] - usb-storage: alauda: fix initFunction error return (Torez Smith) [2084667] - usb: Prepare cleanup of powerpc's asm/prom.h (Torez Smith) [2084667] - usb: usbip: add missing device lock on tweak configuration cmd (Torez Smith) [2084667] - usb: usbip: fix a refcount leak in stub_probe() (Torez Smith) [2084667] - xhci: Enable runtime PM on second Alderlake controller (Torez Smith) [2084667] - usb: dwc3: fix backwards compat with rockchip devices (Torez Smith) [2084667] - usb: misc: fix improper handling of refcount in uss720_probe() (Torez Smith) [2084667] - USB: Fix ehci infinite suspend-resume loop issue in zhaoxin (Torez Smith) [2084667] - usb: typec: tcpm: Fix undefined behavior due to shift overflowing the constant (Torez Smith) [2084667] - usb: typec: rt1719: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2084667] - usb: typec: ucsi: Fix role swapping (Torez Smith) [2084667] - usb: typec: ucsi: Fix reuse of completion structure (Torez Smith) [2084667] - usb: xhci: tegra:Fix PM usage reference leak of tegra_xusb_unpowergate_partitions (Torez Smith) [2084667] - drivers: usb: dwc3: Add AM62 USB wrapper driver (Torez Smith) [2084667] - dt-bindings: usb: Add documentation for AM62 USB Wrapper module (Torez Smith) [2084667] - usb: typec: tipd: Only update power status on IRQ (Torez Smith) [2084667] - usb: typec: mux: intel_pmc_mux: Add retry logic to a PMC command (Torez Smith) [2084667] - usb: gadget: uvc: improve sg exit condition (Torez Smith) [2084667] - usb: gadget: uvc: giveback vb2 buffer on req complete (Torez Smith) [2084667] - usb: gadget: uvc: rework uvcg_queue_next_buffer to uvcg_complete_buffer (Torez Smith) [2084667] - usb: gadget: uvc: remove pause flag use (Torez Smith) [2084667] - usb: gadget: uvc: allow changing interface name via configfs (Torez Smith) [2084667] - ARM: omap: dma: make usb support optional (Torez Smith) [2084667] - ARM: omap1: innovator: move ohci phy power handling to board file (Torez Smith) [2084667] - usb: omap: avoid mach/*.h headers (Torez Smith) [2084667] - ARM: omap1: move mach/usb.h to include/linux/soc (Torez Smith) [2084667] - USB: serial: whiteheat: fix heap overflow in WHITEHEAT_GET_DTR_RTS (Torez Smith) [2084667] - USB: serial: cp210x: add PIDs for Kamstrup USB Meter Reader (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV32-WA/MV32-WB (Torez Smith) [2084667] - usb: gadget: uvc: Fix crash when encoding data for usb request (Torez Smith) [2084667] - thunderbolt: Use different lane for second DisplayPort tunnel (Torez Smith) [2084667] - thunderbolt: Dump path config space entries during discovery (Torez Smith) [2084667] - thunderbolt: Use decimal number with port numbers (Torez Smith) [2084667] - thunderbolt: Fix typo in comment (Torez Smith) [2084667] - media: dvb-usb: dib0700_devices: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mc: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mb: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp7045: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp702x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: umt-010: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: ttusb2: use an enum for the device number (Torez Smith) [2084667] - media: technisat-usb2: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: pctv452e: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: opera1: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: nova-t-usb2: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: m920x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: gp8psk: use an enum for the device number (Torez Smith) [2084667] - media: dw2102: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dtv5100: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: dtt200u: use an enum for the device number (Torez Smith) [2084667] - media: digitv: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cxusb: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cinergyT2-core: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: az6027: use an enum for the device number (Torez Smith) [2084667] - media: af9005: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: a800: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: Add helper macros for using USB VID/PID (Torez Smith) [2084667] - media: dvb-usb: vp702x: reference to usb ID table (Torez Smith) [2084667] - media: dvb-usb: move USB IDs to dvb-usb-ids.h (Torez Smith) [2084667] - media: dvb-usb-ids.h: sort entries (Torez Smith) [2084667] - USB: quirks: add STRING quirk for VCOM device (Torez Smith) [2084667] - USB: quirks: add a Realtek card reader (Torez Smith) [2084667] - cdc_ether: export usbnet_cdc_zte_rx_fixup (Torez Smith) [2084667] - USB: serial: option: add Telit 0x1057, 0x1058, 0x1075 compositions (Torez Smith) [2084667] - usb: cdns3: Fix issue for clear halt endpoint (Torez Smith) [2084667] - xhci: increase usb U3 -> U0 link resume timeout from 100ms to 500ms (Torez Smith) [2084667] - xhci: stop polling roothubs after shutdown (Torez Smith) [2084667] - USB: Fix xhci event ring dequeue pointer ERDP update issue (Torez Smith) [2084667] - thunderbolt: test: use NULL macros (Torez Smith) [2084667] - thunderbolt: Replace usage of found with dedicated list iterator variable (Torez Smith) [2084667] - usb: gadget: fsl_qe_udc: Add missing semicolon in qe_ep_dequeue() (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add compatible for mt8186 (Torez Smith) [2084667] - usb: dwc3: Issue core soft reset before enabling run/stop (Torez Smith) [2084667] - usb: gadget: Makefile: remove ccflags-y (Torez Smith) [2084667] - USB: usb-storage: Fix use of bitfields for hardware data in ene_ub6250.c (Torez Smith) [2084667] - usb: gadget: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - usb: usbip: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - xen/usb: harden xen_hcd against malicious backends (Torez Smith) [2084667] - usb: dwc3: gadget: Wait for ep0 xfers to complete during dequeue (Torez Smith) [2084667] - usb: dwc3: gadget: move cmd_endtransfer to extra function (Torez Smith) [2084667] - usb: dwc3: gadget: ep_queue simplify isoc start condition (Torez Smith) [2084667] - xen/usb: don't use arbitrary_virt_to_machine() (Torez Smith) [2084667] - usb: common: usb-conn-gpio: Make VBUS supply completely optional (Torez Smith) [2084667] - USB: storage: ums-realtek: fix error code in rts51x_read_mem() (Torez Smith) [2084667] - usb: early: xhci-dbc: Fix xdbc number parsing (Torez Smith) [2084667] - usb: early: xhci-dbc: Remove duplicate keep parsing (Torez Smith) [2084667] - usb: gadget: udc: s3c2410: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: dummy_hcd: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: composite: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: replace usage of rc to check if a list element was found (Torez Smith) [2084667] - usb: gadget: composite: remove check of list iterator against head past the loop body (Torez Smith) [2084667] - usb: gadget: tegra-xudc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: udc: max3420_udc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: legacy: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: configfs: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: aspeed: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc-xilinx: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: omap_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: net2280: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: net2272: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: mv_udc_core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: mv_u3d: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: gr_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: goku_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: at91: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: pxa25x: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: atmel: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: bdc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: fsl: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: dwc3: pci: Add support for Intel Alder Lake (Torez Smith) [2084667] - usb: dwc3: omap: fix "unbalanced disables for smps10_out1" on omap5evm (Torez Smith) [2084667] - usb: dwc3: gadget: Give some time to schedule isoc (Torez Smith) [2084667] - usb: dwc3: core: do not use 3.0 clock when operating in 2.0 mode (Torez Smith) [2084667] - dt-bindings: usb: add rk3568 compatible to rockchip, dwc3 (Torez Smith) [2084667] - usb: dwc3: imx8mp: Add support for setting SOC specific flags (Torez Smith) [2084667] - dt-bindings: usb: dwc3-imx8mp: Add imx8mp specific flags (Torez Smith) [2084667] - usb: dwc3: imx8mp: rename iomem base pointer (Torez Smith) [2084667] - usb: dwc3-meson-g12a: constify drvdata structs (Torez Smith) [2084667] - usb: raw-gadget: return -EINVAL if no proper ep address available (Torez Smith) [2084667] - dt-bindings: usb: hcd: correct usb-device path (Torez Smith) [2084667] - usb: raw-gadget: use kzalloc (Torez Smith) [2084667] - usb: gadget: udc: fix typos in comments (Torez Smith) [2084667] - media: xc2028: rename the driver from tuner-xc2028 (Torez Smith) [2084667] - USB: serial: usb_wwan: remove redundant assignment to variable i (Torez Smith) [2084667] - USB: serial: pl2303: fix GS type detection (Torez Smith) [2084667] - media: hdpvr: initialize dev->worker at hdpvr_register_videodev (Torez Smith) [2084667] - media: stk1160: use dma_alloc_noncontiguous API (Torez Smith) [2084667] - media: stk1160: move transfer_buffer and urb to same struct 'stk1160_urb' (Torez Smith) [2084667] - media: stk1160: If start stream fails, return buffers with VB2_BUF_STATE_QUEUED (Torez Smith) [2084667] - media: stk1160: fix number of buffers in case not all buffers are created (Torez Smith) [2084667] - media: Revert "media: em28xx: add missing em28xx_close_extension" (Torez Smith) [2084667] - thunderbolt: Rename EEPROM handling bits to match USB4 spec (Torez Smith) [2084667] - thunderbolt: Clarify register definitions for `tb_cap_plug_events` (Torez Smith) [2084667] - USB: serial: pl2303: add IBM device IDs (Torez Smith) [2084667] - usb: host: xhci: Remove some unnecessary return value initializations (Torez Smith) [2084667] - usb: host: xhci: add blank line in xhci_halt() (Torez Smith) [2084667] - usb: host: xhci: update hci_version operation in xhci_gen_setup() (Torez Smith) [2084667] - usb: host: xhci: fix a comment typo in xhci_mem_init() (Torez Smith) [2084667] - usb: host: xhci: use ffs() in xhci_mem_init() (Torez Smith) [2084667] - xhci: fix runtime PM imbalance in USB2 resume (Torez Smith) [2084667] - xhci: fix uninitialized string returned by xhci_decode_ctrl_ctx() (Torez Smith) [2084667] - xhci: fix garbage USBSTS being logged in some cases (Torez Smith) [2084667] - xhci: make xhci_handshake timeout for xhci_reset() adjustable (Torez Smith) [2084667] - usb: typec: tipd: Forward plug orientation to typec subsystem (Torez Smith) [2084667] - usb: Drop commas after SoC match table sentinels (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: convert to dtschema (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-dwc3: convert to dtschema (Torez Smith) [2084667] - USB: serial: simple: add Nokia phone driver (Torez Smith) [2084667] - ACPI: bus: Introduce acpi_bus_for_each_dev() (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add disable-over-current (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add iommus (Torez Smith) [2084667] - dt-bindings: usb: dwc2: fix compatible of Intel Agilex (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/V2L bindings (Torez Smith) [2084667] - USB: serial: make use of UART_LCR_WLEN() + tty_get_char_size() (Torez Smith) [2084667] - USB: core: Update kerneldoc for usb_get_dev() and usb_get_intf() (Torez Smith) [2084667] - Revert "usb: host: xhci: mvebu: make USB 3.0 PHY optional for Armada 3720" (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add msm8953 compatible (Torez Smith) [2084667] - usb: misc: USB_QCOM_EUD should depend on ARCH_QCOM (Torez Smith) [2084667] - xhci: omit mem read just after allocation of trb (Torez Smith) [2084667] - usb: host: ehci-q: make qtd_fill() return *unsigned int* (Torez Smith) [2084667] - scsi: usb: Stop using the SCSI pointer (Torez Smith) [2084667] - scsi: Remove drivers/scsi/scsi.h (Torez Smith) [2084667] - dt-bindings: usb: do not use deprecated synopsys prefix (Torez Smith) [2084667] - stkwebcam: add new Asus laptop to upside_down table (Torez Smith) [2084667] - media: usb: go7007: s2250-board: fix leak in probe() (Torez Smith) [2084667] - media: gspca: remove redundant assignment of variable n (Torez Smith) [2084667] - media: go7007: Constify static struct snd_device_ops (Torez Smith) [2084667] - media: em28xx: initialize refcount before kref_get (Torez Smith) [2084667] - media: usb: pwc-uncompress: Use struct_size() helper in pwc_decompress() (Torez Smith) [2084667] - thunderbolt: Drop duplicate NULL checks around nvmem_unregister() (Torez Smith) [2084667] - usb: dwc3: pci: Also apply Bay Trail GPIO mappings to ulpi-device (Torez Smith) [2084667] - usb: dwc3: pci: Set "linux,phy_charger_detect" property on some Bay Trail boards (Torez Smith) [2084667] - usb: dwc3: pci: Set the swnode from inside dwc3_pci_quirks() (Torez Smith) [2084667] - usb: typec: Support the WUSB3801 port controller (Torez Smith) [2084667] - usb: typec: Factor out non-PD fwnode properties (Torez Smith) [2084667] - dt-bindings: usb: Add WUSB3801 Type-C Port Controller (Torez Smith) [2084667] - usb: xhci: fix minmax.cocci warnings (Torez Smith) [2084667] - usb: host: xhci: drop redundant checks (Torez Smith) [2084667] - usb: remove Link Powermanagement (LPM) disable before port reset. (Torez Smith) [2084667] - xhci: Allocate separate command structures for each LPM command (Torez Smith) [2084667] - xhci: dbgtty: use IDR to support several dbc instances. (Torez Smith) [2084667] - xhci: dbc: Don't call dbc_tty_init() on every dbc tty probe (Torez Smith) [2084667] - xhci: dbc: Rename xhci_dbc_init and xhci_dbc_exit (Torez Smith) [2084667] - xhci: dbc: create and remove dbc structure in dbgtty driver. (Torez Smith) [2084667] - xhci: dbc: refactor xhci_dbc_init() (Torez Smith) [2084667] - usb: dwc3: drd: Don't check against CONFIG_OF (Torez Smith) [2084667] - usb: host: xhci-mtk: Simplify supplies handling with regulator_bulk (Torez Smith) [2084667] - media: uvcvideo: Add support for Apple T2-attached FaceTime HD Camera (Torez Smith) [2084667] - usb: host: ehci-platform: Update brcm, xgs-iproc-ehci workaround (Torez Smith) [2084667] - usb: host: {e|o}hci-dbg: kill useless 'ret' variable initializers (Torez Smith) [2084667] - usbip: vudc: Make use of the helper macro LIST_HEAD() (Torez Smith) [2084667] - usb: ulpi: Add debugfs support (Torez Smith) [2084667] - usb: misc: eud: Add driver support for Embedded USB Debugger(EUD) (Torez Smith) [2084667] - bindings: usb: dwc3: Update dwc3 properties for EUD connector (Torez Smith) [2084667] - usb: typec: rt1719: Add support for Richtek RT1719 (Torez Smith) [2084667] - dt-bindings: usb: rt1719: Add binding for Richtek RT1719 (Torez Smith) [2084667] - usb: xhci-mtk: add support ip-sleep wakeup for mt8195 (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add support ip-sleep for mt8195 (Torez Smith) [2084667] - usb: dwc2: Add platform specific data for Intel's Agilex (Torez Smith) [2084667] - USB: usbfs: Use a spinlock instead of atomic accesses to tally used memory. (Torez Smith) [2084667] - usb: ehci: add pci device support for Aspeed platforms (Torez Smith) [2084667] - usb: dwc3: Program GFLADJ (Torez Smith) [2084667] - usb: dwc3: Calculate REFCLKPER based on reference clock (Torez Smith) [2084667] - usb: dwc3: Get clocks individually (Torez Smith) [2084667] - dt-bindings: usb: dwc3: Deprecate snps,ref-clock-period-ns (Torez Smith) [2084667] - scsi: usb: storage: Complete the SCSI request directly (Torez Smith) [2084667] - usb: gadget: f_uac2: Neaten and reduce size of afunc_validate_opts (Torez Smith) [2084667] - usb: gadget: f_uac2: change maxpctksize/maxpcktsize to wMaxPacketSize (Torez Smith) [2084667] - thunderbolt: Replace acpi_bus_get_device() (Torez Smith) [2084667] - thunderbolt: Add internal xHCI connect flows for Thunderbolt 3 devices (Torez Smith) [2084667] - thunderbolt: Add missing device ID to tb_switch_is_alpine_ridge() (Torez Smith) [2084667] - thunderbolt: Disable LTTPR on Intel Titan Ridge (Torez Smith) [2084667] - usb: gadget: f_uac2: Add speed names to bInterval dbg/warn (Torez Smith) [2084667] - usb: gadget: f_uac2: Optionally determine bInterval for HS and SS (Torez Smith) [2084667] - usb: gadget: audio: Add HS/SS bInterval params for UAC2 (Torez Smith) [2084667] - usb: gadget: f_uac2: Add HS/SS bInterval to configfs (Torez Smith) [2084667] - usb: udc: Fix typo in comment (Torez Smith) [2084667] - USB: ACPI: Replace acpi_bus_get_device() (Torez Smith) [2084667] - usb: gadget: f_uac2: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: Add suspend callback (Torez Smith) [2084667] - usb: gadget: f_uac2: Add suspend callback (Torez Smith) [2084667] - usb: gadget: u_audio: Add suspend call (Torez Smith) [2084667] - usb: gadget: u_audio: Rate ctl notifies about current srate (0=stopped) (Torez Smith) [2084667] - usb: gadget: f_uac1: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: f_uac2: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: u_audio: Add capture/playback srate getter (Torez Smith) [2084667] - usb: gadget: u_audio: Move dynamic srate from params to rtd (Torez Smith) [2084667] - usb: gadget: u_audio: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget:audio: Replace deprecated macro S_IRUGO (Torez Smith) [2084667] - usb: gadget: f_mass_storage: Make CD-ROM emulation work with Mac OS-X (Torez Smith) [2084667] - usb: xhci-mtk: Use struct_size() helper in create_sch_ep() (Torez Smith) [2084667] - USB: hcd-pci: Use PCI_STD_NUM_BARS when checking standard BARs (Torez Smith) [2084667] - usb: gadget: f_fs: Use struct_size() and flex_array_size() helpers (Torez Smith) [2084667] - usb: host: fotg210: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: core: Bail out when port is stuck in reset loop (Torez Smith) [2084667] - usb: gadget: f_phonet: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: host: xhci-plat: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - dt-bindings: usb: add bindings for microchip mpfs musb (Torez Smith) [2084667] - scsi: usb: Call scsi_done() directly (Torez Smith) [2084667] - usb: cdnsp: remove not used temp_64 variables (Torez Smith) [2084667] - usb: cdnsp: fix cdnsp_decode_trb function to properly handle ret value (Torez Smith) [2084667] - usb: dwc3: drd: Add support for usb-conn-gpio based usb-role-switch (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Fix control endpoint's definitions (Torez Smith) [2084667] - usb: gadget: f_serial: Ensure gserial disconnected during unbind (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Do not program SPARAM (Torez Smith) [2084667] - usb: host: ehci-sched: Use struct_size() in kzalloc() (Torez Smith) [2084667] - thunderbolt: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - usb: enable Design Ware Drivers (Torez Smith) [2084667] - tty: serial: define UART_LCR_WLEN() macro (Torez Smith) [2084667] - media: gspca: make array regs_to_read static const (Torez Smith) [2084667] - block: Fix handling of offline queues in blk_mq_alloc_request_hctx() (Ming Lei) [2108481] - nvme: fix RCU hole that allowed for endless looping in multipath round robin (Gopal Tiwari) [2108624] - vdpa/mlx5: Use consistent RQT size (Cindy Lu) [2063693] - vdpa/mlx5: add validation for VIRTIO_NET_CTRL_MQ_VQ_PAIRS_SET command (Cindy Lu) [2063693] - vdpa/mlx5: should verify CTRL_VQ feature exists for MQ (Cindy Lu) [2063693] - fscache: Avoid ASSERTCMP if two threads race into fscache_disable_cookie (Dave Wysochanski) [2073727] - blk-mq: don't create hctx debugfs dir until q->debugfs_dir is created (Ming Lei) [2105622] - redhat: enable CONFIG_MAXLINEAR_GPHY as module (Petr Oros) [2071857] - net: phy: enhance GPY115 loopback disable function (Petr Oros) [2071857] - net: phy: add Maxlinear GPY115/21x/24x driver (Petr Oros) [2071857] - net: phy: add API to read 802.3-c45 IDs (Petr Oros) [2071857] Resolves: rhbz#2096788, rhbz#2110576, rhbz#2087560, rhbz#2110097, rhbz#2109349, rhbz#2084667, rhbz#2108481, rhbz#2108624, rhbz#2063693, rhbz#2073727, rhbz#2105622, rhbz#2071857 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 17:45:03 +00:00
CONFIG_LZ4_COMPRESS=m
# CONFIG_M62332 is not set
CONFIG_MAC80211_DEBUGFS=y
# CONFIG_MAC80211_DEBUG_MENU is not set
CONFIG_MAC80211_HWSIM=m
CONFIG_MAC80211_LEDS=y
CONFIG_MAC80211=m
# CONFIG_MAC80211_MESH is not set
CONFIG_MAC80211_MESSAGE_TRACING=y
kernel-5.14.0-311.el9 * Wed May 10 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-311.el9] - cacheinfo: Add use_arch[|_cache]_info field/function (Radu Rendec) [2180619] - arch_topology: Remove early cacheinfo error message if -ENOENT (Radu Rendec) [2180619] - cacheinfo: Check cache properties are present in DT (Radu Rendec) [2180619] - cacheinfo: Check sib_leaf in cache_leaves_are_shared() (Radu Rendec) [2180619] - cacheinfo: Allow early level detection when DT/ACPI info is missing/broken (Radu Rendec) [2180619] - cacheinfo: Add arm64 early level initializer implementation (Radu Rendec) [2180619] - cacheinfo: Add arch specific early level initializer (Radu Rendec) [2180619] - cacheinfo: Fix LLC is not exported through sysfs (Radu Rendec) [2180619] - cacheinfo: Remove of_node_put() for fw_token (Radu Rendec) [2180619] - cacheinfo: Decrement refcount in cache_setup_of_node() (Radu Rendec) [2180619] - cacheinfo: Make default acpi_get_cache_info() return an error (Radu Rendec) [2180619] - cacheinfo: Initialize variables in fetch_cache_info() (Radu Rendec) [2180619] - ACPI: PPTT: Fix to avoid sleep in the atomic context when PPTT is absent (Radu Rendec) [2180619] - arch_topology: Build cacheinfo from primary CPU (Radu Rendec) [2180619] - ACPI: PPTT: Update acpi_find_last_cache_level() to acpi_get_cache_info() (Radu Rendec) [2180619] - ACPI: PPTT: Remove acpi_find_cache_levels() (Radu Rendec) [2180619] - cacheinfo: Check 'cache-unified' property to count cache leaves (Radu Rendec) [2180619] - cacheinfo: Return error code in init_of_cache_level() (Radu Rendec) [2180619] - cacheinfo: Use RISC-V's init_cache_level() as generic OF implementation (Radu Rendec) [2180619] - arm64: topology: move store_cpu_topology() to shared code (Radu Rendec) [2180619] - of: Add of_property_present() helper (Radu Rendec) [2180619] - IPv6/GRO: generic helper to remove temporary HBH/jumbo header in driver (Michal Schmidt) [2189283] - tools: include: sync include/api/linux/kvm.h (Eric Auger) [2175143] - KVM: Check KVM_CAP_DIRTY_LOG_{RING, RING_ACQ_REL} prior to enabling them (Eric Auger) [2175143] - KVM: selftests: Fix divide-by-zero bug in memslot_perf_test (Eric Auger) [2175143] - proc/meminfo: fix spacing in SecPageTables (Eric Auger) [2175143] - arm64/sme: Fix context switch for SME only systems (Eric Auger) [2175143] - KVM: arm64: Normalize cache configuration (Eric Auger) [2175143] - KVM: arm64: Mask FEAT_CCIDX (Eric Auger) [2175143] - KVM: arm64: Always set HCR_TID2 (Eric Auger) [2175143] - arm64/cache: Move CLIDR macro definitions (Eric Auger) [2175143] - arm64/sysreg: Add CCSIDR2_EL1 (Eric Auger) [2175143] - arm64/sysreg: Convert CCSIDR_EL1 to automatic generation (Eric Auger) [2175143] - arm64: Allow the definition of UNKNOWN system register fields (Eric Auger) [2175143] - KVM: arm64: Use symbolic definition for ISR_EL1.A (Eric Auger) [2175143] - arm64/sysreg: Add definition of ISR_EL1 (Eric Auger) [2175143] - arm64/sysreg: Add definition for ICC_NMIAR1_EL1 (Eric Auger) [2175143] - KVM: arm64: timers: Don't BUG() on unhandled timer trap (Eric Auger) [2175143] - KVM: arm64: Reduce overhead of trapped timer sysreg accesses (Eric Auger) [2175143] - KVM: arm64: Don't arm a hrtimer for an already pending timer (Eric Auger) [2175143] - KVM: arm64: PMU: Don't save PMCR_EL0.{C,P} for the vCPU (Eric Auger) [2175143] - KVM: arm64: PMU: Fix GET_ONE_REG for vPMC regs to return the current value (Eric Auger) [2175143] - KVM: arm64: Finalise EL2 state from pKVM PSCI relay (Eric Auger) [2175143] - KVM: arm64: Use sanitized values in __check_override in nVHE (Eric Auger) [2175143] - KVM: arm64: Introduce finalise_el2_state macro (Eric Auger) [2175143] - KVM: arm64: Provide sanitized SYS_ID_AA64SMFR0_EL1 to nVHE (Eric Auger) [2175143] - arm64: Avoid repeated AA64MMFR1_EL1 register read on pagefault path (Eric Auger) [2175143] - KVM: arm64: Condition HW AF updates on config option (Eric Auger) [2175143] - KVM: arm64: Handle access faults behind the read lock (Eric Auger) [2175143] - KVM: arm64: Don't serialize if the access flag isn't set (Eric Auger) [2175143] - KVM: arm64: Return EAGAIN for invalid PTE in attr walker (Eric Auger) [2175143] - KVM: arm64: Ignore EAGAIN for walks outside of a fault (Eric Auger) [2175143] - KVM: arm64: Use KVM's pte type/helpers in handle_access_fault() (Eric Auger) [2175143] - KVM: arm64: selftests: Disable single-step without relying on ucall() (Eric Auger) [2175143] - KVM: arm64: selftests: Disable single-step with correct KVM define (Eric Auger) [2175143] - KVM: selftests: Fix number of pages for memory slot in memslot_modification_stress_test (Eric Auger) [2175143] - KVM: selftests: memslot_perf_test: Report optimal memory slots (Eric Auger) [2175143] - KVM: selftests: memslot_perf_test: Consolidate memory (Eric Auger) [2175143] - KVM: selftests: memslot_perf_test: Support variable guest page size (Eric Auger) [2175143] - KVM: selftests: memslot_perf_test: Probe memory slots for once (Eric Auger) [2175143] - KVM: selftests: memslot_perf_test: Consolidate loop conditions in prepare_vm() (Eric Auger) [2175143] - KVM: selftests: memslot_perf_test: Use data->nslots in prepare_vm() (Eric Auger) [2175143] - tools/include: Add _RET_IP_ and math definitions to kernel.h (Eric Auger) [2175143] - KVM: arm64: selftests: Test with every breakpoint/watchpoint (Eric Auger) [2175143] - KVM: arm64: selftests: Add a test case for a linked watchpoint (Eric Auger) [2175143] - KVM: arm64: selftests: Add a test case for a linked breakpoint (Eric Auger) [2175143] - KVM: arm64: selftests: Change debug_version() to take ID_AA64DFR0_EL1 (Eric Auger) [2175143] - KVM: arm64: selftests: Stop unnecessary test stage tracking of debug-exceptions (Eric Auger) [2175143] - KVM: arm64: selftests: Add helpers to enable debug exceptions (Eric Auger) [2175143] - KVM: arm64: selftests: Remove the hard-coded {b,w}pn#0 from debug-exceptions (Eric Auger) [2175143] - KVM: arm64: selftests: Add write_dbg{b,w}{c,v}r helpers in debug-exceptions (Eric Auger) [2175143] - KVM: arm64: selftests: Use FIELD_GET() to extract ID register fields (Eric Auger) [2175143] - tools: Copy bitfield.h from the kernel sources (Eric Auger) [2175143] - KVM: selftests: Update top-of-file comment in psci_test (Eric Auger) [2175143] - KVM: arm64: selftests: Add a test case for KVM_GUESTDBG_SINGLESTEP (Eric Auger) [2175143] - KVM: arm64: selftests: Refactor debug-exceptions to make it amenable to new test cases (Eric Auger) [2175143] - KVM: arm64: Convert FSC_* over to ESR_ELx_FSC_* (Eric Auger) [2175143] - KVM: arm64: Fix S1PTW handling on RO memslots (Eric Auger) [2175143] - KVM: arm64: PMU: Fix PMCR_EL0 reset value (Eric Auger) [2175143] - KVM: arm64: Reject shared table walks in the hyp code (Eric Auger) [2175143] - KVM: arm64: Don't acquire RCU read lock for exclusive table walks (Eric Auger) [2175143] - KVM: arm64: Take a pointer to walker data in kvm_dereference_pteref() (Eric Auger) [2175143] - KVM: arm64: PMU: Fix period computation for 64bit counters with 32bit overflow (Eric Auger) [2175143] - arm64/fp: Use a struct to pass data to fpsimd_bind_state_to_cpu() (Eric Auger) [2175143] - arm64/sve: Leave SVE enabled on syscall if we don't context switch (Eric Auger) [2175143] - arm64/fpsimd: SME no longer requires SVE register state (Eric Auger) [2175143] - arm64/fpsimd: Load FP state based on recorded data type (Eric Auger) [2175143] - arm64/fpsimd: Stop using TIF_SVE to manage register saving in KVM (Eric Auger) [2175143] - arm64/fpsimd: Have KVM explicitly say which FP registers to save (Eric Auger) [2175143] - arm64/fpsimd: Track the saved FPSIMD state type separately to TIF_SVE (Eric Auger) [2175143] - KVM: arm64: Discard any SVE state when entering KVM guests (Eric Auger) [2175143] - Documentation: document the ABI changes for KVM_CAP_ARM_MTE (Eric Auger) [2175143] - KVM: arm64: permit all VM_MTE_ALLOWED mappings with MTE enabled (Eric Auger) [2175143] - KVM: arm64: unify the tests for VMAs in memslots when MTE is enabled (Eric Auger) [2175143] - KVM: arm64: Simplify the sanitise_mte_tags() logic (Eric Auger) [2175143] - KVM: arm64: PMU: Sanitise PMCR_EL0.LP on first vcpu run (Eric Auger) [2175143] - KVM: arm64: PMU: Simplify PMCR_EL0 reset handling (Eric Auger) [2175143] - KVM: arm64: PMU: Replace version number '0' with ID_AA64DFR0_EL1_PMUVer_NI (Eric Auger) [2175143] - KVM: arm64: PMU: Make kvm_pmc the main data structure (Eric Auger) [2175143] - KVM: arm64: PMU: Simplify vcpu computation on perf overflow notification (Eric Auger) [2175143] - KVM: arm64: PMU: Allow PMUv3p5 to be exposed to the guest (Eric Auger) [2175143] - KVM: arm64: PMU: Implement PMUv3p5 long counter support (Eric Auger) [2175143] - KVM: arm64: PMU: Allow ID_DFR0_EL1.PerfMon to be set from userspace (Eric Auger) [2175143] - KVM: arm64: PMU: Allow ID_AA64DFR0_EL1.PMUver to be set from userspace (Eric Auger) [2175143] - KVM: arm64: PMU: Move the ID_AA64DFR0_EL1.PMUver limit to VM creation (Eric Auger) [2175143] - KVM: arm64: PMU: Do not let AArch32 change the counters' top 32 bits (Eric Auger) [2175143] - KVM: arm64: PMU: Simplify setting a counter to a specific value (Eric Auger) [2175143] - KVM: arm64: PMU: Add counter_index_to_*reg() helpers (Eric Auger) [2175143] - KVM: arm64: PMU: Only narrow counters that are not 64bit wide (Eric Auger) [2175143] - KVM: arm64: PMU: Narrow the overflow checking when required (Eric Auger) [2175143] - KVM: arm64: PMU: Distinguish between 64bit counter and 64bit overflow (Eric Auger) [2175143] - KVM: arm64: PMU: Always advertise the CHAIN event (Eric Auger) [2175143] - KVM: arm64: PMU: Align chained counter implementation with architecture pseudocode (Eric Auger) [2175143] - KVM: arm64: Use the pKVM hyp vCPU structure in handle___kvm_vcpu_run() (Eric Auger) [2175143] - KVM: arm64: Don't unnecessarily map host kernel sections at EL2 (Eric Auger) [2175143] - KVM: arm64: Explicitly map 'kvm_vgic_global_state' at EL2 (Eric Auger) [2175143] - KVM: arm64: Maintain a copy of 'kvm_arm_vmid_bits' at EL2 (Eric Auger) [2175143] - KVM: arm64: Unmap 'kvm_arm_hyp_percpu_base' from the host (Eric Auger) [2175143] - KVM: arm64: Return guest memory from EL2 via dedicated teardown memcache (Eric Auger) [2175143] - KVM: arm64: Instantiate guest stage-2 page-tables at EL2 (Eric Auger) [2175143] - KVM: arm64: Consolidate stage-2 initialisation into a single function (Eric Auger) [2175143] - KVM: arm64: Add generic hyp_memcache helpers (Eric Auger) [2175143] - KVM: arm64: Provide I-cache invalidation by virtual address at EL2 (Eric Auger) [2175143] - KVM: arm64: Initialise hypervisor copies of host symbols unconditionally (Eric Auger) [2175143] - KVM: arm64: Add per-cpu fixmap infrastructure at EL2 (Eric Auger) [2175143] - KVM: arm64: Instantiate pKVM hypervisor VM and vCPU structures from EL1 (Eric Auger) [2175143] - KVM: arm64: Add infrastructure to create and track pKVM instances at EL2 (Eric Auger) [2175143] - KVM: arm64: Rename 'host_kvm' to 'host_mmu' (Eric Auger) [2175143] - KVM: arm64: Add hyp_spinlock_t static initializer (Eric Auger) [2175143] - KVM: arm64: Include asm/kvm_mmu.h in nvhe/mem_protect.h (Eric Auger) [2175143] - KVM: arm64: Add helpers to pin memory shared with the hypervisor at EL2 (Eric Auger) [2175143] - KVM: arm64: Prevent the donation of no-map pages (Eric Auger) [2175143] - KVM: arm64: Implement do_donate() helper for donating memory (Eric Auger) [2175143] - KVM: arm64: Unify identifiers used to distinguish host and hypervisor (Eric Auger) [2175143] - KVM: arm64: Fix-up hyp stage-1 refcounts for all pages mapped at EL2 (Eric Auger) [2175143] - KVM: arm64: Back the hypervisor 'struct hyp_page' array for all memory (Eric Auger) [2175143] - KVM: arm64: Allow attaching of non-coalescable pages to a hyp pool (Eric Auger) [2175143] - KVM: arm64: Move hyp refcount manipulation helpers to common header file (Eric Auger) [2175143] - KVM: arm64: Fix typo in comment (Eric Auger) [2175143] - KVM: arm64: Fix pvtime documentation (Eric Auger) [2175143] - KVM: arm64: Fix benign bug with incorrect use of VA_BITS (Eric Auger) [2175143] - KVM: arm64: Fix PAR_TO_HPFAR() to work independently of PA_BITS. (Eric Auger) [2175143] - KVM: arm64: Fix kvm init failure when mode!=vhe and VA_BITS=52. (Eric Auger) [2175143] - KVM: arm64: Handle stage-2 faults in parallel (Eric Auger) [2175143] - KVM: arm64: Make table->block changes parallel-aware (Eric Auger) [2175143] - KVM: arm64: Make leaf->leaf PTE changes parallel-aware (Eric Auger) [2175143] - KVM: arm64: Make block->table PTE changes parallel-aware (Eric Auger) [2175143] - KVM: arm64: Split init and set for table PTE (Eric Auger) [2175143] - KVM: arm64: Atomically update stage 2 leaf attributes in parallel walks (Eric Auger) [2175143] - KVM: arm64: Protect stage-2 traversal with RCU (Eric Auger) [2175143] - KVM: arm64: Tear down unlinked stage-2 subtree after break-before-make (Eric Auger) [2175143] - KVM: arm64: Use an opaque type for pteps (Eric Auger) [2175143] - KVM: arm64: Add a helper to tear down unlinked stage-2 subtrees (Eric Auger) [2175143] - KVM: arm64: Don't pass kvm_pgtable through kvm_pgtable_walk_data (Eric Auger) [2175143] - KVM: arm64: Pass mm_ops through the visitor context (Eric Auger) [2175143] - KVM: arm64: Stash observed pte value in visitor context (Eric Auger) [2175143] - KVM: arm64: Combine visitor arguments into a context structure (Eric Auger) [2175143] - KVM: selftests: dirty-log: Use KVM_CAP_DIRTY_LOG_RING_ACQ_REL if available (Eric Auger) [2175143] - KVM: selftests: dirty-log: Upgrade flag accesses to acquire/release semantics (Eric Auger) [2175143] - KVM: Document weakly ordered architecture requirements for dirty ring (Eric Auger) [2175143] - KVM: x86: Select CONFIG_HAVE_KVM_DIRTY_RING_ACQ_REL (Eric Auger) [2175143] - KVM: Add KVM_CAP_DIRTY_LOG_RING_ACQ_REL capability and config option (Eric Auger) [2175143] - KVM: Use acquire/release semantics when accessing dirty ring GFN state (Eric Auger) [2175143] - KVM: arm64/mmu: count KVM s2 mmu usage in secondary pagetable stats (Eric Auger) [2175143] - KVM: x86/mmu: count KVM mmu usage in secondary pagetable stats. (Eric Auger) [2175143] - mm: add NR_SECONDARY_PAGETABLE to count secondary page table uses. (Eric Auger) [2175143] - KVM: arm64: Enable stack protection and branch profiling for VHE (Eric Auger) [2175143] - KVM: arm64: Fix comment typo in nvhe/switch.c (Eric Auger) [2175143] - KVM: arm64: Ignore kvm-arm.mode if !is_hyp_mode_available() (Eric Auger) [2175143] - KVM: arm64: vgic: Remove duplicate check in update_affinity_collection() (Eric Auger) [2175143] - KVM: selftests: Add test for AArch32 ID registers (Eric Auger) [2175143] - KVM: arm64: Treat 32bit ID registers as RAZ/WI on 64bit-only system (Eric Auger) [2175143] - KVM: arm64: Add a visibility bit to ignore user writes (Eric Auger) [2175143] - KVM: arm64: Spin off helper for calling visibility hook (Eric Auger) [2175143] - KVM: arm64: Drop raz parameter from read_id_reg() (Eric Auger) [2175143] - KVM: arm64: Remove internal accessor helpers for id regs (Eric Auger) [2175143] - KVM: arm64: Use visibility hook to treat ID regs as RAZ (Eric Auger) [2175143] - selftests/powerpc: Increase timeout for vsx_signal test (Mamatha Inamdar) [2176415] - scsi: megaraid_sas: Fix double kfree() (Tomas Henzl) [2163384] - scsi: megaraid_sas: Remove redundant memset() statement (Tomas Henzl) [2163384] - scsi: megaraid_sas: Remove unnecessary kfree() (Tomas Henzl) [2163384] - scsi: megaraid: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Tomas Henzl) [2163384] - scsi: megaraid_sas: Fix crash after a double completion (Tomas Henzl) [2163384] - scsi: megaraid_sas: Fix fw_crash_buffer_show() (Tomas Henzl) [2163384] - scsi: megaraid_sas: Driver version update to 07.725.01.00-rc1 (Tomas Henzl) [2163384] - scsi: megaraid_sas: Add crash dump mode capability bit in MFI capabilities (Tomas Henzl) [2163384] - scsi: megaraid_sas: Update max supported LD IDs to 240 (Tomas Henzl) [2163384] - scsi: megaraid_sas: Add flexible array member for SGLs (Tomas Henzl) [2163384] - scsi: megaraid_sas: Fix some spelling mistakes in comment (Tomas Henzl) [2163384] - wifi: mark the support for WiFi on aarch64 architecture as tech preview (Jose Ignacio Tornos Martinez) [2143728] - config: Enable WiFI on aarch64 architecture (Jose Ignacio Tornos Martinez) [2143728 2143781] - tcp: fix skb_copy_ubufs() vs BIG TCP (Xin Long) [2185290] - netfilter: xt_length: use skb len to match in length_mt6 (Xin Long) [2185290] - net: add support for ipv4 big tcp (Xin Long) [2185290] - net: add gso_ipv4_max_size and gro_ipv4_max_size per device (Xin Long) [2185290] - packet: add TP_STATUS_GSO_TCP for tp_status (Xin Long) [2185290] - ipvlan: use skb_ip_totlen in ipvlan_get_L3_hdr (Xin Long) [2185290] - cipso_ipv4: use iph_set_totlen in skbuff_setattr (Xin Long) [2185290] - netfilter: use skb_ip_totlen and iph_totlen (Xin Long) [2185290] - net: sched: use skb_ip_totlen and iph_totlen (Xin Long) [2185290] - openvswitch: use skb_ip_totlen in conntrack (Xin Long) [2185290] - bridge: use skb_ip_totlen in br netfilter (Xin Long) [2185290] - net: add a couple of helpers for iph tot_len (Xin Long) [2185290] - IB/hfi1: Fix sdma.h tx->num_descs off-by-one errors (Izabela Bakollari) [2170628] - IB/hfi1: Fix math bugs in hfi1_can_pin_pages() (Izabela Bakollari) [2170628] - IB/hfi1: Restore allocated resources on failed copyout (Izabela Bakollari) [2170628] - IB/hfi1: Use dma_mmap_coherent for matching buffers (Izabela Bakollari) [2170628] - IB/hfi1: Update RMT size calculation (Izabela Bakollari) [2170628] - IB/hfi1: Split IB counter allocation (Izabela Bakollari) [2170628] - IB/hfi1: Improve TID validity checking (Izabela Bakollari) [2170628] - IB/hfi1: Consolidate the creation of user TIDs (Izabela Bakollari) [2170628] - IB/hfi1: Assign npages earlier (Izabela Bakollari) [2170628] - IB/hfi1: Remove redundant pageidx variable (Izabela Bakollari) [2170628] - IB/hfi1: Remove user expected buffer invalidate race (Izabela Bakollari) [2170628] - IB/hfi1: Immediately remove invalid memory from hardware (Izabela Bakollari) [2170628] - IB/hfi1: Fix expected receive setup error exit issues (Izabela Bakollari) [2170628] - IB/hfi1: Reserve user expected TIDs (Izabela Bakollari) [2170628] - IB/hfi1: Reject a zero-length user expected buffer (Izabela Bakollari) [2170628] - RDMA/hfi1: Fix doc for hfi1_free_ctxt (Izabela Bakollari) [2170628] - RDMA/hfi1: use sysfs_emit() to instead of scnprintf() (Izabela Bakollari) [2170628] - RDMA/hfi1: Fix error return code in parse_platform_config() (Izabela Bakollari) [2170628] - IB/hfi1: Switch to netif_napi_add() (Izabela Bakollari) [2170628] - RDMA/hfi: Decrease PCI device reference count in error path (Izabela Bakollari) [2170628] - RDMA/hfi1: don't pass bogus GFP_ flags to dma_alloc_coherent (Izabela Bakollari) [2170628] - IB/hfi1: Replace 1-element array with singleton (Izabela Bakollari) [2170628] - RDMA/opa_vnic: fix spelling typo in comment (Izabela Bakollari) [2170628] - IB/hfi1: Use skb_put_data() instead of skb_put/memcpy pair (Izabela Bakollari) [2170628] - IB/hfi1: remove rc_only_opcode and uc_only_opcode declarations (Izabela Bakollari) [2170628] - RDMA/hfi1: fix repeated words in comments (Izabela Bakollari) [2170628] - RDMA/hfi1: Remove the unneeded result variable (Izabela Bakollari) [2170628] - IB: move from strlcpy with unused retval to strscpy (Izabela Bakollari) [2170628] Resolves: rhbz#2143728, rhbz#2143781, rhbz#2163384, rhbz#2170628, rhbz#2175143, rhbz#2176415, rhbz#2180619, rhbz#2185290, rhbz#2189283 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-10 09:03:03 +00:00
CONFIG_MAC80211_RC_DEFAULT="minstrel_ht"
CONFIG_MAC80211_RC_DEFAULT_MINSTREL=y
CONFIG_MAC80211_RC_MINSTREL=y
CONFIG_MAC802154=m
kernel-5.14.0-62.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-62.el9] - ibmvnic: remove unused defines (Diego Domingos) [2047921] - ibmvnic: Update driver return codes (Diego Domingos) [2047921] - powerpc/fadump: opt out from freeing pages on cma activation failure (Diego Domingos) [2040289] - mm/cma: provide option to opt out from exposing pages on activation failure (Diego Domingos) [2040289] - firmware: smccc: Fix check for ARCH_SOC_ID not implemented (Vitaly Kuznetsov) [2052908] - rcu: Tighten rcu_advance_cbs_nowake() checks (Daniel Vacek) [2026991] - redhat/configs: Disable CONFIG_MACINTOSH_DRIVERS (Prarit Bhargava) [2053028] - ibmvnic: remove unused ->wait_capability (Diego Domingos) [2047928] - ibmvnic: don't spin in tasklet (Diego Domingos) [2047928] - ibmvnic: init ->running_cap_crqs early (Diego Domingos) [2047928] - ibmvnic: Allow extra failures before disabling (Diego Domingos) [2047928] - s390/pv: fix the forcing of the swiotlb (Thomas Huth) [2051581] - scsi: vmw_pvscsi: Set residual data length conditionally (Cathy Avery) [2048178] - selinux: fix double free of cond_list on error paths (Ondrej Mosnacek) [2052439] - selinux: fix NULL-pointer dereference when hashtab allocation fails (Ondrej Mosnacek) [2052436] - isdn: cpai: check ctr->cnr to avoid array index out of bound (Chris von Recklinghausen) [2016492] {CVE-2021-43389} - mm/hwpoison: fix unpoison_memory() (Aristeu Rozanski) [1972220] - mm/hwpoison: remove MF_MSG_BUDDY_2ND and MF_MSG_POISONED_HUGE (Aristeu Rozanski) [1972220] - mm/hwpoison: mf_mutex for soft offline and unpoison (Aristeu Rozanski) [1972220] - mm/hwpoison: clear MF_COUNT_INCREASED before retrying get_any_page() (Aristeu Rozanski) [1972220] - mm, hwpoison: fix condition in free hugetlb page path (Aristeu Rozanski) [1972220] - filemap: remove PageHWPoison check from next_uptodate_page() (Aristeu Rozanski) [1972220] - mm: hwpoison: handle non-anonymous THP correctly (Aristeu Rozanski) [1972220] - mm: hwpoison: refactor refcount check handling (Aristeu Rozanski) [1972220] - mm: filemap: coding style cleanup for filemap_map_pmd() (Aristeu Rozanski) [1972220] - scsi: bnx2fc: Make bnx2fc_recv_frame() mp safe (John Meneghini) [2031648] - scsi: bnx2fc: Flush destroy_work queue before calling bnx2fc_interface_put() (John Meneghini) [2006606] - redhat/configs: Enable CONFIG_TEST_BPF (Viktor Malik) [2035168] - ext4: fix potential NULL pointer dereference in ext4_fill_super() (Lukas Czerner) [2051466] - netfilter: ipset: Emit deprecation warning at set creation time (Phil Sutter) [2048194] - redhat: move CONFIG_ARM64_MTE to aarch64 config directory (Herton R. Krzesinski) - cgroup/cpuset: Fix "suspicious RCU usage" lockdep warning (Waiman Long) [1996015] - cpuset: Fix the bug that subpart_cpus updated wrongly in update_cpumask() (Waiman Long) [1996015] - net ticp:fix a kernel-infoleak in __tipc_sendmsg() (Xin Long) [2050039] - tipc: discard MSG_CRYPTO msgs when key_exchange_enabled is not set (Xin Long) [2050039] - tipc: delete the unlikely branch in tipc_aead_encrypt (Xin Long) [2050039] - blktrace: switch trace spinlock to a raw spinlock (Wander Lairson Costa) [2047971] - NFSv4.1: handle NFS4ERR_NOSPC by CREATE_SESSION (Steve Dickson) [2027447] - igb: fix deadlock caused by taking RTNL in RPM resume path (Corinna Vinschen) [2040312] - igb: Fix removal of unicast MAC filters of VFs (Corinna Vinschen) [2040312] - igb: fix netpoll exit with traffic (Corinna Vinschen) [2040312] - igb: unbreak I2C bit-banging on i350 (Corinna Vinschen) [2040312] - igb: Avoid memcpy() over-reading of ETH_SS_STATS (Corinna Vinschen) [2040312] - igb: Add counter to i21x doublecheck (Corinna Vinschen) [2040312] - net: create netdev->dev_addr assignment helpers (Josef Oskera) [2030720] Resolves: rhbz#1972220, rhbz#1996015, rhbz#2006606, rhbz#2016492, rhbz#2026991, rhbz#2027447, rhbz#2030720, rhbz#2031648, rhbz#2035168, rhbz#2040289, rhbz#2040312, rhbz#2047921, rhbz#2047928, rhbz#2047971, rhbz#2048178, rhbz#2048194, rhbz#2050039, rhbz#2051466, rhbz#2051581, rhbz#2052436, rhbz#2052439, rhbz#2052908, rhbz#2053028 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 23:51:51 +00:00
# CONFIG_MAC_EMUMOUSEBTN is not set
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_MACHZ_WDT is not set
kernel-5.14.0-62.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-62.el9] - ibmvnic: remove unused defines (Diego Domingos) [2047921] - ibmvnic: Update driver return codes (Diego Domingos) [2047921] - powerpc/fadump: opt out from freeing pages on cma activation failure (Diego Domingos) [2040289] - mm/cma: provide option to opt out from exposing pages on activation failure (Diego Domingos) [2040289] - firmware: smccc: Fix check for ARCH_SOC_ID not implemented (Vitaly Kuznetsov) [2052908] - rcu: Tighten rcu_advance_cbs_nowake() checks (Daniel Vacek) [2026991] - redhat/configs: Disable CONFIG_MACINTOSH_DRIVERS (Prarit Bhargava) [2053028] - ibmvnic: remove unused ->wait_capability (Diego Domingos) [2047928] - ibmvnic: don't spin in tasklet (Diego Domingos) [2047928] - ibmvnic: init ->running_cap_crqs early (Diego Domingos) [2047928] - ibmvnic: Allow extra failures before disabling (Diego Domingos) [2047928] - s390/pv: fix the forcing of the swiotlb (Thomas Huth) [2051581] - scsi: vmw_pvscsi: Set residual data length conditionally (Cathy Avery) [2048178] - selinux: fix double free of cond_list on error paths (Ondrej Mosnacek) [2052439] - selinux: fix NULL-pointer dereference when hashtab allocation fails (Ondrej Mosnacek) [2052436] - isdn: cpai: check ctr->cnr to avoid array index out of bound (Chris von Recklinghausen) [2016492] {CVE-2021-43389} - mm/hwpoison: fix unpoison_memory() (Aristeu Rozanski) [1972220] - mm/hwpoison: remove MF_MSG_BUDDY_2ND and MF_MSG_POISONED_HUGE (Aristeu Rozanski) [1972220] - mm/hwpoison: mf_mutex for soft offline and unpoison (Aristeu Rozanski) [1972220] - mm/hwpoison: clear MF_COUNT_INCREASED before retrying get_any_page() (Aristeu Rozanski) [1972220] - mm, hwpoison: fix condition in free hugetlb page path (Aristeu Rozanski) [1972220] - filemap: remove PageHWPoison check from next_uptodate_page() (Aristeu Rozanski) [1972220] - mm: hwpoison: handle non-anonymous THP correctly (Aristeu Rozanski) [1972220] - mm: hwpoison: refactor refcount check handling (Aristeu Rozanski) [1972220] - mm: filemap: coding style cleanup for filemap_map_pmd() (Aristeu Rozanski) [1972220] - scsi: bnx2fc: Make bnx2fc_recv_frame() mp safe (John Meneghini) [2031648] - scsi: bnx2fc: Flush destroy_work queue before calling bnx2fc_interface_put() (John Meneghini) [2006606] - redhat/configs: Enable CONFIG_TEST_BPF (Viktor Malik) [2035168] - ext4: fix potential NULL pointer dereference in ext4_fill_super() (Lukas Czerner) [2051466] - netfilter: ipset: Emit deprecation warning at set creation time (Phil Sutter) [2048194] - redhat: move CONFIG_ARM64_MTE to aarch64 config directory (Herton R. Krzesinski) - cgroup/cpuset: Fix "suspicious RCU usage" lockdep warning (Waiman Long) [1996015] - cpuset: Fix the bug that subpart_cpus updated wrongly in update_cpumask() (Waiman Long) [1996015] - net ticp:fix a kernel-infoleak in __tipc_sendmsg() (Xin Long) [2050039] - tipc: discard MSG_CRYPTO msgs when key_exchange_enabled is not set (Xin Long) [2050039] - tipc: delete the unlikely branch in tipc_aead_encrypt (Xin Long) [2050039] - blktrace: switch trace spinlock to a raw spinlock (Wander Lairson Costa) [2047971] - NFSv4.1: handle NFS4ERR_NOSPC by CREATE_SESSION (Steve Dickson) [2027447] - igb: fix deadlock caused by taking RTNL in RPM resume path (Corinna Vinschen) [2040312] - igb: Fix removal of unicast MAC filters of VFs (Corinna Vinschen) [2040312] - igb: fix netpoll exit with traffic (Corinna Vinschen) [2040312] - igb: unbreak I2C bit-banging on i350 (Corinna Vinschen) [2040312] - igb: Avoid memcpy() over-reading of ETH_SS_STATS (Corinna Vinschen) [2040312] - igb: Add counter to i21x doublecheck (Corinna Vinschen) [2040312] - net: create netdev->dev_addr assignment helpers (Josef Oskera) [2030720] Resolves: rhbz#1972220, rhbz#1996015, rhbz#2006606, rhbz#2016492, rhbz#2026991, rhbz#2027447, rhbz#2030720, rhbz#2031648, rhbz#2035168, rhbz#2040289, rhbz#2040312, rhbz#2047921, rhbz#2047928, rhbz#2047971, rhbz#2048178, rhbz#2048194, rhbz#2050039, rhbz#2051466, rhbz#2051581, rhbz#2052436, rhbz#2052439, rhbz#2052908, rhbz#2053028 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 23:51:51 +00:00
# CONFIG_MACINTOSH_DRIVERS is not set
CONFIG_MAC_PARTITION=y
CONFIG_MACSEC=m
CONFIG_MACVLAN=m
CONFIG_MACVTAP=m
# CONFIG_MAG3110 is not set
CONFIG_MAGIC_SYSRQ_DEFAULT_ENABLE=0x1
CONFIG_MAGIC_SYSRQ_SERIAL_SEQUENCE=""
CONFIG_MAGIC_SYSRQ_SERIAL=y
CONFIG_MAGIC_SYSRQ=y
# CONFIG_MAILBOX is not set
# CONFIG_MAILBOX_TEST is not set
# CONFIG_MANAGER_SBS is not set
CONFIG_MARVELL_10G_PHY=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_MARVELL_88X2222_PHY is not set
CONFIG_MARVELL_PHY=m
# CONFIG_MATOM is not set
# CONFIG_MAX1027 is not set
# CONFIG_MAX11100 is not set
# CONFIG_MAX1118 is not set
# CONFIG_MAX1241 is not set
# CONFIG_MAX1363 is not set
# CONFIG_MAX30100 is not set
# CONFIG_MAX30102 is not set
# CONFIG_MAX31856 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_MAX31865 is not set
# CONFIG_MAX44000 is not set
# CONFIG_MAX44009 is not set
# CONFIG_MAX517 is not set
# CONFIG_MAX5432 is not set
# CONFIG_MAX5481 is not set
# CONFIG_MAX5487 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_MAX5821 is not set
# CONFIG_MAX63XX_WATCHDOG is not set
# CONFIG_MAXIM_THERMOCOUPLE is not set
kernel-5.14.0-138.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-138.el9] - tools/testing/nvdimm: Fix security_init() symbol collision (Jeff Moyer) [2096788] - NFSD: Move fill_pre_wcc() and fill_post_wcc() (Benjamin Coddington) [2110576] - Revert "nfsd: skip some unnecessary stats in the v4 case" (Benjamin Coddington) [2110576] - Documentation: fix udp_wmem_min in ip-sysctl.rst (Xin Long) [2087560] - redhat/configs/common: Enable CONFIG_LZ4_COMPRESS (Andrew Walsh) [2110097] - net: bonding: fix use-after-free after 802.3ad slave unbind (Jonathan Toppins) [2109349] - net: bonding: fix possible NULL deref in rlb code (Jonathan Toppins) [2109349] - bonding: guard ns_targets by CONFIG_IPV6 (Jonathan Toppins) [2109349] - bonding: show NS IPv6 targets in proc master info (Jonathan Toppins) [2109349] - bonding: NS target should accept link local address (Jonathan Toppins) [2109349] - bonding: combine netlink and console error messages (Jonathan Toppins) [2109349] - usb: chipidea: udc: check request status before setting device address (Torez Smith) [2084667] - USB: gadget: Fix double-free bug in raw_gadget driver (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Meteor Lake xHCI (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Raptor Lake xHCI (Torez Smith) [2084667] - xhci: turn off port power in shutdown (Torez Smith) [2084667] - xhci: Keep interrupt disabled in initialization until host is running. (Torez Smith) [2084667] - USB: serial: option: add Quectel RM500K module support (Torez Smith) [2084667] - USB: serial: option: add Quectel EM05-G modem (Torez Smith) [2084667] - USB: serial: pl2303: add support for more HXN (G) types (Torez Smith) [2084667] - usb: typec: wcove: Drop wrong dependency to INTEL_SOC_PMIC (Torez Smith) [2084667] - usb: gadget: uvc: fix list double add in uvcg_video_pump (Torez Smith) [2084667] - dt-bindings: usb: ehci: Increase the number of PHYs (Torez Smith) [2084667] - dt-bindings: usb: ohci: Increase the number of PHYs (Torez Smith) [2084667] - usb: gadget: Fix non-unique driver names in raw-gadget driver (Torez Smith) [2084667] - USB: serial: option: add Telit LE910Cx 0x1250 composition (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->ep safe in ffs_epfile_io() (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->status safe in ffs_epfile_io() (Torez Smith) [2084667] - xhci: Fix null pointer dereference in resume if xhci has only one roothub (Torez Smith) [2084667] - USB: fixup for merge issue with "usb: dwc3: Don't switch OTG -> peripheral if extcon is present" (Torez Smith) [2084667] - usb: cdnsp: Fixed setting last_trb incorrectly (Torez Smith) [2084667] - usb: gadget: u_ether: fix regression in setting fixed MAC address (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: Fix refcount leak in lpc32xx_udc_probe (Torez Smith) [2084667] - usb: dwc2: Fix memory leak in dwc2_hcd_init (Torez Smith) [2084667] - usb: dwc3: gadget: Fix IN endpoint max packet size allocation (Torez Smith) [2084667] - docs: usb: fix literal block marker in usbmon verification example (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV31 with new baseline (Torez Smith) [2084667] - USB: serial: io_ti: add Agilent E5805A support (Torez Smith) [2084667] - dt-bindings: reset: update st,stih407-powerdown.yaml references (Torez Smith) [2084667] - dt-bindings: Update QCOM USB subsystem maintainer information (Torez Smith) [2084667] - dt-bindings: usb: snps,dwc3: Add missing 'dma-coherent' property (Torez Smith) [2084667] - usb: typec: ucsi: acpi: fix a NULL vs IS_ERR() check in probe (Torez Smith) [2084667] - USB: new quirk for Dell Gen 2 devices (Torez Smith) [2084667] - tty: remove CMSPAR ifdefs (Torez Smith) [2084667] - usb: dwc3: core: Add error log when core soft reset failed (Torez Smith) [2084667] - usb: dwc3: gadget: Move null pinter check to proper place (Torez Smith) [2084667] - usb: hub: Simplify error and success path in port_over_current_notify (Torez Smith) [2084667] - usb: cdns3: allocate TX FIFO size according to composite EP number (Torez Smith) [2084667] - usb: dwc3: Fix ep0 handling when getting reset while doing control transfer (Torez Smith) [2084667] - usb: Probe EHCI, OHCI controllers asynchronously (Torez Smith) [2084667] - usb: isp1760: Fix out-of-bounds array access (Torez Smith) [2084667] - xhci: Don't defer primary roothub registration if there is only one roothub (Torez Smith) [2084667] - USB: serial: option: add Quectel BG95 modem (Torez Smith) [2084667] - USB: serial: pl2303: fix type detection for odd device (Torez Smith) [2084667] - dt-bindings: usb: ci-hdrc-usb2: fix node node for ethernet controller (Torez Smith) [2084667] - media: stkwebcam: move stk_camera_read_reg() scratch buffer to struct stk_camera (Torez Smith) [2084667] - media: pvrusb2: fix array-index-out-of-bounds in pvr2_i2c_core_init (Torez Smith) [2084667] - media: gspca: make the read-only array table static const (Torez Smith) [2084667] - usb: xhci-mtk: remove bandwidth budget table (Torez Smith) [2084667] - usb: xhci-mtk: fix fs isoc's transfer error (Torez Smith) [2084667] - usb: gadget: fix race when gadget driver register via ioctl (Torez Smith) [2084667] - usb: typec: tcpci_mt6360: Update for BMC PHY setting (Torez Smith) [2084667] - xhci: Allow host runtime PM as default for Intel Alder Lake N xHCI (Torez Smith) [2084667] - xhci: Remove quirk for over 10 year old evaluation hardware (Torez Smith) [2084667] - xhci: prevent U2 link power state if Intel tier policy prevented U1 (Torez Smith) [2084667] - xhci: use generic command timer for stop endpoint commands. (Torez Smith) [2084667] - usb: host: xhci-plat: omit shared hcd if either root hub has no ports (Torez Smith) [2084667] - usb: host: xhci-plat: prepare operation w/o shared hcd (Torez Smith) [2084667] - usb: host: xhci-plat: create shared hcd after having added main hcd (Torez Smith) [2084667] - xhci: prepare for operation w/o shared hcd (Torez Smith) [2084667] - xhci: factor out parts of xhci_gen_setup() (Torez Smith) [2084667] - xhci: Set HCD flag to defer primary roothub registration (Torez Smith) [2084667] - usb: core: hcd: Add support for deferring roothub registration (Torez Smith) [2084667] - usb: host: ehci-xilinx: adding description for return value (Torez Smith) [2084667] - usb: gadget: udc: Remove useless variable assignment in xudc_read_fifo() (Torez Smith) [2084667] - USB: c67x00: remove unnecessary check of res (Torez Smith) [2084667] - usb: host: ehci-xilinx: Fix quoted string split across lines (Torez Smith) [2084667] - USB / dwc3: Fix a checkpatch warning in core.c (Torez Smith) [2084667] - thunderbolt: Add KUnit test for devices with no DisplayPort adapters (Torez Smith) [2084667] - thunderbolt: Fix buffer allocation of devices with no DisplayPort adapters (Torez Smith) [2084667] - USB: gadget: Add ID numbers to gadget names (Torez Smith) [2084667] - media: uvcvideo: Add UVC_GUID_FORMAT_H265 (Torez Smith) [2084667] - media: uvcvideo: Undup use uvc_endpoint_max_bpi() code (Torez Smith) [2084667] - media: uvcvideo: Simplify uvc_endpoint_max_bpi() (Torez Smith) [2084667] - media: uvcvideo: Fix memory leak if uvc_ctrl_add_mapping fails (Torez Smith) [2084667] - media: uvcvideo: Fix bit overflow in uvc_probe_video (Torez Smith) [2084667] - media: uvcvideo: Fix missing check to determine if element is found in list (Torez Smith) [2084667] - media: docs: media: uvcvideo: Use linux-media mailing list (Torez Smith) [2084667] - usb: gadget: uvc: allow for application to cleanly shutdown (Torez Smith) [2084667] - usb: typec: tcpci: Don't skip cleanup in .remove() on error (Torez Smith) [2084667] - usb: cdc-wdm: fix reading stuck on device close (Torez Smith) [2084667] - usb: gadget: uvc: track frames in format entries (Torez Smith) [2084667] - usb: gadget: uvc: move structs to common header (Torez Smith) [2084667] - usb: gadget: uvc: prevent index variables to start from 0 (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: fix clock matching (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: add IPQ8074, MSM8994, QCS404 and SM6125 (Torez Smith) [2084667] - usb: dwc3: gadget: Delay issuing End Transfer (Torez Smith) [2084667] - usb: dwc3: gadget: Only End Transfer for ep0 data phase (Torez Smith) [2084667] - usb: dwc3: ep0: Don't prepare beyond Setup stage (Torez Smith) [2084667] - usb: dwc3: gadget: Don't modify GEVNTCOUNT in pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Refactor pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Prevent repeat pullup() (Torez Smith) [2084667] - usb: dwc3: xilinx: Add gpio-reset support (Torez Smith) [2084667] - dt-bindings: usb: dwc3-xilinx: add optional property reset-gpios (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add binding for SDX65 (Torez Smith) [2084667] - dt-bindings: usb: da8xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - dt-bindings: usb: am33xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - usb: dwc3: remove a possible unnecessary 'out of memory' message (Torez Smith) [2084667] - usb: dwc3: host: Stop setting the ACPI companion (Torez Smith) [2084667] - usb: core: acpi: Use the sysdev pointer instead of controller device (Torez Smith) [2084667] - dt-bindings: usb: mediatek,mtu3: add binding for MT8195 SoC (Torez Smith) [2084667] - usb: ehci-omap: drop unused ehci_read() function (Torez Smith) [2084667] - USB: gadget: Fix return of -EBUSY (Torez Smith) [2084667] - usb: dwc2: gadget: don't reset gadget's driver->bus (Torez Smith) [2084667] - USB: serial: qcserial: add support for Sierra Wireless EM7590 (Torez Smith) [2084667] - USB: serial: ftdi_sio: clean up printk format specifier (Torez Smith) [2084667] - USB: serial: option: add Fibocom MA510 modem (Torez Smith) [2084667] - USB: serial: option: add Fibocom L610 modem (Torez Smith) [2084667] - USB: serial: pl2303: add device id for HP LM930 Display (Torez Smith) [2084667] - thunderbolt: Add support for XDomain lane bonding (Torez Smith) [2084667] - thunderbolt: Ignore port locked error in tb_port_wait_for_link_width() (Torez Smith) [2084667] - thunderbolt: Split setting link width and lane bonding into own functions (Torez Smith) [2084667] - thunderbolt: Move tb_port_state() prototype to correct place (Torez Smith) [2084667] - thunderbolt: Add debug logging when lane is enabled/disabled (Torez Smith) [2084667] - Revert "usb: misc: Add onboard_usb_hub driver" (Torez Smith) [2084667] - Revert "usb: core: hcd: Create platform devices for onboard hubs in probe()" (Torez Smith) [2084667] - thunderbolt: Link USB4 ports to their USB Type-C connectors (Torez Smith) [2084667] - thunderbolt: Make iommu_dma_protection more accurate (Torez Smith) [2084667] - iommu: Add capability for pre-boot DMA protection (Torez Smith) [2084667] - iommu: Introduce device_iommu_capable() (Torez Smith) [2084667] - usb: typec: ucsi: acpi: Map the mailbox with memremap() (Torez Smith) [2084667] - usb: phy: generic: Get the vbus supply (Torez Smith) [2084667] - usb: dwc3: gadget: Return proper request status (Torez Smith) [2084667] - usb: core: hcd: Create platform devices for onboard hubs in probe() (Torez Smith) [2084667] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2084667] - USB: gadget: Add a new bus for gadgets (Torez Smith) [2084667] - USB: gadget: Fix mistakes in UDC core kerneldoc (Torez Smith) [2084667] - USB: gadget: Register udc before gadget (Torez Smith) [2084667] - USB: gadget: Rename usb_gadget_probe_driver() (Torez Smith) [2084667] - usb: core: devices: drop redundant buffer overflow checks (Torez Smith) [2084667] - dt-bindings: usb: generic-ohci: Add HPE GXP ohci binding (Torez Smith) [2084667] - usb: Enable various new TypeC drivers. (Torez Smith) [2084667] - dt-bindings: usb: generic-ehci: Add HPE GXP ehci binding (Torez Smith) [2084667] - usb: typec: mux: Add On Semi fsa4480 driver (Torez Smith) [2084667] - dt-bindings: usb: Add binding for fcs,fsa4480 (Torez Smith) [2084667] - usb: typec: mux: Allow multiple mux_devs per mux (Torez Smith) [2084667] - usb: typec: mux: Introduce indirection (Torez Smith) [2084667] - usb: typec: mux: Check dev_set_name() return value (Torez Smith) [2084667] - device property: Add helper to match multiple connections (Torez Smith) [2084667] - usb: dwc3: pci: add support for the Intel Meteor Lake-P (Torez Smith) [2084667] - usb: typec: ucsi: Wait for the USB role switches (Torez Smith) [2084667] - usb: typec: ucsi: add a common function ucsi_unregister_connectors() (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/G2UL bindings (Torez Smith) [2084667] - testusb: Fix warning comparing pointer to 0 (Torez Smith) [2084667] - USB: hcd-pci: Fully suspend across freeze/thaw cycle (Torez Smith) [2084667] - usb: deprecate the third argument of usb_maxpacket() (Torez Smith) [2084667] - usb: dwc3: pci: Fix pm_runtime_get_sync() error checking (Torez Smith) [2084667] - USB: core: Disable remote wakeup for freeze/quiesce (Torez Smith) [2084667] - drivers: usb: host: Fix deadlock in oxu_bus_suspend() (Torez Smith) [2084667] - usb: dwc3: gadget: Replace list_for_each_entry_safe() if using giveback (Torez Smith) [2084667] - usb: dwc3: EP clear halt leading to clearing of delayed_status (Torez Smith) [2084667] - usb: dwc3: core: Only handle soft-reset in DCTL (Torez Smith) [2084667] - usb: dwc3: Don't switch OTG -> peripheral if extcon is present (Torez Smith) [2084667] - usb: gadget: configfs: clear deactivation flag in configfs_composite_unbind() (Torez Smith) [2084667] - usb: misc: eud: Fix an error handling path in eud_probe() (Torez Smith) [2084667] - usb: musb: mediatek: Use clk_bulk API to simplify clock operations (Torez Smith) [2084667] - USB: dwc2: Add OTG support for Ingenic SoCs. (Torez Smith) [2084667] - dt-bindings: dwc2: Add bindings for new Ingenic SoCs. (Torez Smith) [2084667] - usb: gadget: net2272: clean up comments (Torez Smith) [2084667] - usb: core: devices: remove dead code under #ifdef PROC_EXTRA (Torez Smith) [2084667] - USB: omap_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: clean up comments (Torez Smith) [2084667] - usb: gadget: tegra-xudc: clean up comments (Torez Smith) [2084667] - usb: musb: Fix missing of_node_put() in omap2430_probe (Torez Smith) [2084667] - usb: gadget: f_acm: add support for USB_CDC_REQ_SEND_BREAK (Torez Smith) [2084667] - usb: dwc3: gadget: increase tx fifo size for ss isoc endpoints (Torez Smith) [2084667] - usb: host: ohci-omap: Make it CCF clk API compatible (Torez Smith) [2084667] - usb: gadget: omap_udc: Make it CCF clk API compatible (Torez Smith) [2084667] - USB / dwc3: Fix three doc-build warnings (Torez Smith) [2084667] - usb: core: Don't hold the device lock while sleeping in do_proc_control() (Torez Smith) [2084667] - usb: dwc3: Try usb-role-switch first in dwc3_drd_init (Torez Smith) [2084667] - usb: dwc3: core: Fix tx/rx threshold settings (Torez Smith) [2084667] - usb: mtu3: fix USB 3.0 dual-role-switch from device to host (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: add missing required reg (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: include usb-hcd schema (Torez Smith) [2084667] - USB: storage: karma: fix rio_karma_init return (Torez Smith) [2084667] - usb: gadget: net2280: use swap() instead of open coding it (Torez Smith) [2084667] - usb: gadget: u_audio: clean up some inconsistent indenting (Torez Smith) [2084667] - USB: host: isp116x: check return value after calling platform_get_resource() (Torez Smith) [2084667] - usb:oxu210hp-hcd: Use platform_get_irq() to get the interrupt (Torez Smith) [2084667] - usb-storage: isd200: fix initFunction error return (Torez Smith) [2084667] - usb-storage: shuttle_usbat: fix initFunction error return (Torez Smith) [2084667] - usb-storage: alauda: fix initFunction error return (Torez Smith) [2084667] - usb: Prepare cleanup of powerpc's asm/prom.h (Torez Smith) [2084667] - usb: usbip: add missing device lock on tweak configuration cmd (Torez Smith) [2084667] - usb: usbip: fix a refcount leak in stub_probe() (Torez Smith) [2084667] - xhci: Enable runtime PM on second Alderlake controller (Torez Smith) [2084667] - usb: dwc3: fix backwards compat with rockchip devices (Torez Smith) [2084667] - usb: misc: fix improper handling of refcount in uss720_probe() (Torez Smith) [2084667] - USB: Fix ehci infinite suspend-resume loop issue in zhaoxin (Torez Smith) [2084667] - usb: typec: tcpm: Fix undefined behavior due to shift overflowing the constant (Torez Smith) [2084667] - usb: typec: rt1719: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2084667] - usb: typec: ucsi: Fix role swapping (Torez Smith) [2084667] - usb: typec: ucsi: Fix reuse of completion structure (Torez Smith) [2084667] - usb: xhci: tegra:Fix PM usage reference leak of tegra_xusb_unpowergate_partitions (Torez Smith) [2084667] - drivers: usb: dwc3: Add AM62 USB wrapper driver (Torez Smith) [2084667] - dt-bindings: usb: Add documentation for AM62 USB Wrapper module (Torez Smith) [2084667] - usb: typec: tipd: Only update power status on IRQ (Torez Smith) [2084667] - usb: typec: mux: intel_pmc_mux: Add retry logic to a PMC command (Torez Smith) [2084667] - usb: gadget: uvc: improve sg exit condition (Torez Smith) [2084667] - usb: gadget: uvc: giveback vb2 buffer on req complete (Torez Smith) [2084667] - usb: gadget: uvc: rework uvcg_queue_next_buffer to uvcg_complete_buffer (Torez Smith) [2084667] - usb: gadget: uvc: remove pause flag use (Torez Smith) [2084667] - usb: gadget: uvc: allow changing interface name via configfs (Torez Smith) [2084667] - ARM: omap: dma: make usb support optional (Torez Smith) [2084667] - ARM: omap1: innovator: move ohci phy power handling to board file (Torez Smith) [2084667] - usb: omap: avoid mach/*.h headers (Torez Smith) [2084667] - ARM: omap1: move mach/usb.h to include/linux/soc (Torez Smith) [2084667] - USB: serial: whiteheat: fix heap overflow in WHITEHEAT_GET_DTR_RTS (Torez Smith) [2084667] - USB: serial: cp210x: add PIDs for Kamstrup USB Meter Reader (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV32-WA/MV32-WB (Torez Smith) [2084667] - usb: gadget: uvc: Fix crash when encoding data for usb request (Torez Smith) [2084667] - thunderbolt: Use different lane for second DisplayPort tunnel (Torez Smith) [2084667] - thunderbolt: Dump path config space entries during discovery (Torez Smith) [2084667] - thunderbolt: Use decimal number with port numbers (Torez Smith) [2084667] - thunderbolt: Fix typo in comment (Torez Smith) [2084667] - media: dvb-usb: dib0700_devices: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mc: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mb: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp7045: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp702x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: umt-010: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: ttusb2: use an enum for the device number (Torez Smith) [2084667] - media: technisat-usb2: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: pctv452e: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: opera1: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: nova-t-usb2: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: m920x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: gp8psk: use an enum for the device number (Torez Smith) [2084667] - media: dw2102: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dtv5100: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: dtt200u: use an enum for the device number (Torez Smith) [2084667] - media: digitv: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cxusb: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cinergyT2-core: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: az6027: use an enum for the device number (Torez Smith) [2084667] - media: af9005: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: a800: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: Add helper macros for using USB VID/PID (Torez Smith) [2084667] - media: dvb-usb: vp702x: reference to usb ID table (Torez Smith) [2084667] - media: dvb-usb: move USB IDs to dvb-usb-ids.h (Torez Smith) [2084667] - media: dvb-usb-ids.h: sort entries (Torez Smith) [2084667] - USB: quirks: add STRING quirk for VCOM device (Torez Smith) [2084667] - USB: quirks: add a Realtek card reader (Torez Smith) [2084667] - cdc_ether: export usbnet_cdc_zte_rx_fixup (Torez Smith) [2084667] - USB: serial: option: add Telit 0x1057, 0x1058, 0x1075 compositions (Torez Smith) [2084667] - usb: cdns3: Fix issue for clear halt endpoint (Torez Smith) [2084667] - xhci: increase usb U3 -> U0 link resume timeout from 100ms to 500ms (Torez Smith) [2084667] - xhci: stop polling roothubs after shutdown (Torez Smith) [2084667] - USB: Fix xhci event ring dequeue pointer ERDP update issue (Torez Smith) [2084667] - thunderbolt: test: use NULL macros (Torez Smith) [2084667] - thunderbolt: Replace usage of found with dedicated list iterator variable (Torez Smith) [2084667] - usb: gadget: fsl_qe_udc: Add missing semicolon in qe_ep_dequeue() (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add compatible for mt8186 (Torez Smith) [2084667] - usb: dwc3: Issue core soft reset before enabling run/stop (Torez Smith) [2084667] - usb: gadget: Makefile: remove ccflags-y (Torez Smith) [2084667] - USB: usb-storage: Fix use of bitfields for hardware data in ene_ub6250.c (Torez Smith) [2084667] - usb: gadget: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - usb: usbip: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - xen/usb: harden xen_hcd against malicious backends (Torez Smith) [2084667] - usb: dwc3: gadget: Wait for ep0 xfers to complete during dequeue (Torez Smith) [2084667] - usb: dwc3: gadget: move cmd_endtransfer to extra function (Torez Smith) [2084667] - usb: dwc3: gadget: ep_queue simplify isoc start condition (Torez Smith) [2084667] - xen/usb: don't use arbitrary_virt_to_machine() (Torez Smith) [2084667] - usb: common: usb-conn-gpio: Make VBUS supply completely optional (Torez Smith) [2084667] - USB: storage: ums-realtek: fix error code in rts51x_read_mem() (Torez Smith) [2084667] - usb: early: xhci-dbc: Fix xdbc number parsing (Torez Smith) [2084667] - usb: early: xhci-dbc: Remove duplicate keep parsing (Torez Smith) [2084667] - usb: gadget: udc: s3c2410: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: dummy_hcd: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: composite: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: replace usage of rc to check if a list element was found (Torez Smith) [2084667] - usb: gadget: composite: remove check of list iterator against head past the loop body (Torez Smith) [2084667] - usb: gadget: tegra-xudc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: udc: max3420_udc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: legacy: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: configfs: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: aspeed: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc-xilinx: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: omap_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: net2280: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: net2272: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: mv_udc_core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: mv_u3d: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: gr_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: goku_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: at91: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: pxa25x: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: atmel: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: bdc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: fsl: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: dwc3: pci: Add support for Intel Alder Lake (Torez Smith) [2084667] - usb: dwc3: omap: fix "unbalanced disables for smps10_out1" on omap5evm (Torez Smith) [2084667] - usb: dwc3: gadget: Give some time to schedule isoc (Torez Smith) [2084667] - usb: dwc3: core: do not use 3.0 clock when operating in 2.0 mode (Torez Smith) [2084667] - dt-bindings: usb: add rk3568 compatible to rockchip, dwc3 (Torez Smith) [2084667] - usb: dwc3: imx8mp: Add support for setting SOC specific flags (Torez Smith) [2084667] - dt-bindings: usb: dwc3-imx8mp: Add imx8mp specific flags (Torez Smith) [2084667] - usb: dwc3: imx8mp: rename iomem base pointer (Torez Smith) [2084667] - usb: dwc3-meson-g12a: constify drvdata structs (Torez Smith) [2084667] - usb: raw-gadget: return -EINVAL if no proper ep address available (Torez Smith) [2084667] - dt-bindings: usb: hcd: correct usb-device path (Torez Smith) [2084667] - usb: raw-gadget: use kzalloc (Torez Smith) [2084667] - usb: gadget: udc: fix typos in comments (Torez Smith) [2084667] - media: xc2028: rename the driver from tuner-xc2028 (Torez Smith) [2084667] - USB: serial: usb_wwan: remove redundant assignment to variable i (Torez Smith) [2084667] - USB: serial: pl2303: fix GS type detection (Torez Smith) [2084667] - media: hdpvr: initialize dev->worker at hdpvr_register_videodev (Torez Smith) [2084667] - media: stk1160: use dma_alloc_noncontiguous API (Torez Smith) [2084667] - media: stk1160: move transfer_buffer and urb to same struct 'stk1160_urb' (Torez Smith) [2084667] - media: stk1160: If start stream fails, return buffers with VB2_BUF_STATE_QUEUED (Torez Smith) [2084667] - media: stk1160: fix number of buffers in case not all buffers are created (Torez Smith) [2084667] - media: Revert "media: em28xx: add missing em28xx_close_extension" (Torez Smith) [2084667] - thunderbolt: Rename EEPROM handling bits to match USB4 spec (Torez Smith) [2084667] - thunderbolt: Clarify register definitions for `tb_cap_plug_events` (Torez Smith) [2084667] - USB: serial: pl2303: add IBM device IDs (Torez Smith) [2084667] - usb: host: xhci: Remove some unnecessary return value initializations (Torez Smith) [2084667] - usb: host: xhci: add blank line in xhci_halt() (Torez Smith) [2084667] - usb: host: xhci: update hci_version operation in xhci_gen_setup() (Torez Smith) [2084667] - usb: host: xhci: fix a comment typo in xhci_mem_init() (Torez Smith) [2084667] - usb: host: xhci: use ffs() in xhci_mem_init() (Torez Smith) [2084667] - xhci: fix runtime PM imbalance in USB2 resume (Torez Smith) [2084667] - xhci: fix uninitialized string returned by xhci_decode_ctrl_ctx() (Torez Smith) [2084667] - xhci: fix garbage USBSTS being logged in some cases (Torez Smith) [2084667] - xhci: make xhci_handshake timeout for xhci_reset() adjustable (Torez Smith) [2084667] - usb: typec: tipd: Forward plug orientation to typec subsystem (Torez Smith) [2084667] - usb: Drop commas after SoC match table sentinels (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: convert to dtschema (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-dwc3: convert to dtschema (Torez Smith) [2084667] - USB: serial: simple: add Nokia phone driver (Torez Smith) [2084667] - ACPI: bus: Introduce acpi_bus_for_each_dev() (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add disable-over-current (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add iommus (Torez Smith) [2084667] - dt-bindings: usb: dwc2: fix compatible of Intel Agilex (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/V2L bindings (Torez Smith) [2084667] - USB: serial: make use of UART_LCR_WLEN() + tty_get_char_size() (Torez Smith) [2084667] - USB: core: Update kerneldoc for usb_get_dev() and usb_get_intf() (Torez Smith) [2084667] - Revert "usb: host: xhci: mvebu: make USB 3.0 PHY optional for Armada 3720" (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add msm8953 compatible (Torez Smith) [2084667] - usb: misc: USB_QCOM_EUD should depend on ARCH_QCOM (Torez Smith) [2084667] - xhci: omit mem read just after allocation of trb (Torez Smith) [2084667] - usb: host: ehci-q: make qtd_fill() return *unsigned int* (Torez Smith) [2084667] - scsi: usb: Stop using the SCSI pointer (Torez Smith) [2084667] - scsi: Remove drivers/scsi/scsi.h (Torez Smith) [2084667] - dt-bindings: usb: do not use deprecated synopsys prefix (Torez Smith) [2084667] - stkwebcam: add new Asus laptop to upside_down table (Torez Smith) [2084667] - media: usb: go7007: s2250-board: fix leak in probe() (Torez Smith) [2084667] - media: gspca: remove redundant assignment of variable n (Torez Smith) [2084667] - media: go7007: Constify static struct snd_device_ops (Torez Smith) [2084667] - media: em28xx: initialize refcount before kref_get (Torez Smith) [2084667] - media: usb: pwc-uncompress: Use struct_size() helper in pwc_decompress() (Torez Smith) [2084667] - thunderbolt: Drop duplicate NULL checks around nvmem_unregister() (Torez Smith) [2084667] - usb: dwc3: pci: Also apply Bay Trail GPIO mappings to ulpi-device (Torez Smith) [2084667] - usb: dwc3: pci: Set "linux,phy_charger_detect" property on some Bay Trail boards (Torez Smith) [2084667] - usb: dwc3: pci: Set the swnode from inside dwc3_pci_quirks() (Torez Smith) [2084667] - usb: typec: Support the WUSB3801 port controller (Torez Smith) [2084667] - usb: typec: Factor out non-PD fwnode properties (Torez Smith) [2084667] - dt-bindings: usb: Add WUSB3801 Type-C Port Controller (Torez Smith) [2084667] - usb: xhci: fix minmax.cocci warnings (Torez Smith) [2084667] - usb: host: xhci: drop redundant checks (Torez Smith) [2084667] - usb: remove Link Powermanagement (LPM) disable before port reset. (Torez Smith) [2084667] - xhci: Allocate separate command structures for each LPM command (Torez Smith) [2084667] - xhci: dbgtty: use IDR to support several dbc instances. (Torez Smith) [2084667] - xhci: dbc: Don't call dbc_tty_init() on every dbc tty probe (Torez Smith) [2084667] - xhci: dbc: Rename xhci_dbc_init and xhci_dbc_exit (Torez Smith) [2084667] - xhci: dbc: create and remove dbc structure in dbgtty driver. (Torez Smith) [2084667] - xhci: dbc: refactor xhci_dbc_init() (Torez Smith) [2084667] - usb: dwc3: drd: Don't check against CONFIG_OF (Torez Smith) [2084667] - usb: host: xhci-mtk: Simplify supplies handling with regulator_bulk (Torez Smith) [2084667] - media: uvcvideo: Add support for Apple T2-attached FaceTime HD Camera (Torez Smith) [2084667] - usb: host: ehci-platform: Update brcm, xgs-iproc-ehci workaround (Torez Smith) [2084667] - usb: host: {e|o}hci-dbg: kill useless 'ret' variable initializers (Torez Smith) [2084667] - usbip: vudc: Make use of the helper macro LIST_HEAD() (Torez Smith) [2084667] - usb: ulpi: Add debugfs support (Torez Smith) [2084667] - usb: misc: eud: Add driver support for Embedded USB Debugger(EUD) (Torez Smith) [2084667] - bindings: usb: dwc3: Update dwc3 properties for EUD connector (Torez Smith) [2084667] - usb: typec: rt1719: Add support for Richtek RT1719 (Torez Smith) [2084667] - dt-bindings: usb: rt1719: Add binding for Richtek RT1719 (Torez Smith) [2084667] - usb: xhci-mtk: add support ip-sleep wakeup for mt8195 (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add support ip-sleep for mt8195 (Torez Smith) [2084667] - usb: dwc2: Add platform specific data for Intel's Agilex (Torez Smith) [2084667] - USB: usbfs: Use a spinlock instead of atomic accesses to tally used memory. (Torez Smith) [2084667] - usb: ehci: add pci device support for Aspeed platforms (Torez Smith) [2084667] - usb: dwc3: Program GFLADJ (Torez Smith) [2084667] - usb: dwc3: Calculate REFCLKPER based on reference clock (Torez Smith) [2084667] - usb: dwc3: Get clocks individually (Torez Smith) [2084667] - dt-bindings: usb: dwc3: Deprecate snps,ref-clock-period-ns (Torez Smith) [2084667] - scsi: usb: storage: Complete the SCSI request directly (Torez Smith) [2084667] - usb: gadget: f_uac2: Neaten and reduce size of afunc_validate_opts (Torez Smith) [2084667] - usb: gadget: f_uac2: change maxpctksize/maxpcktsize to wMaxPacketSize (Torez Smith) [2084667] - thunderbolt: Replace acpi_bus_get_device() (Torez Smith) [2084667] - thunderbolt: Add internal xHCI connect flows for Thunderbolt 3 devices (Torez Smith) [2084667] - thunderbolt: Add missing device ID to tb_switch_is_alpine_ridge() (Torez Smith) [2084667] - thunderbolt: Disable LTTPR on Intel Titan Ridge (Torez Smith) [2084667] - usb: gadget: f_uac2: Add speed names to bInterval dbg/warn (Torez Smith) [2084667] - usb: gadget: f_uac2: Optionally determine bInterval for HS and SS (Torez Smith) [2084667] - usb: gadget: audio: Add HS/SS bInterval params for UAC2 (Torez Smith) [2084667] - usb: gadget: f_uac2: Add HS/SS bInterval to configfs (Torez Smith) [2084667] - usb: udc: Fix typo in comment (Torez Smith) [2084667] - USB: ACPI: Replace acpi_bus_get_device() (Torez Smith) [2084667] - usb: gadget: f_uac2: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: Add suspend callback (Torez Smith) [2084667] - usb: gadget: f_uac2: Add suspend callback (Torez Smith) [2084667] - usb: gadget: u_audio: Add suspend call (Torez Smith) [2084667] - usb: gadget: u_audio: Rate ctl notifies about current srate (0=stopped) (Torez Smith) [2084667] - usb: gadget: f_uac1: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: f_uac2: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: u_audio: Add capture/playback srate getter (Torez Smith) [2084667] - usb: gadget: u_audio: Move dynamic srate from params to rtd (Torez Smith) [2084667] - usb: gadget: u_audio: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget:audio: Replace deprecated macro S_IRUGO (Torez Smith) [2084667] - usb: gadget: f_mass_storage: Make CD-ROM emulation work with Mac OS-X (Torez Smith) [2084667] - usb: xhci-mtk: Use struct_size() helper in create_sch_ep() (Torez Smith) [2084667] - USB: hcd-pci: Use PCI_STD_NUM_BARS when checking standard BARs (Torez Smith) [2084667] - usb: gadget: f_fs: Use struct_size() and flex_array_size() helpers (Torez Smith) [2084667] - usb: host: fotg210: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: core: Bail out when port is stuck in reset loop (Torez Smith) [2084667] - usb: gadget: f_phonet: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: host: xhci-plat: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - dt-bindings: usb: add bindings for microchip mpfs musb (Torez Smith) [2084667] - scsi: usb: Call scsi_done() directly (Torez Smith) [2084667] - usb: cdnsp: remove not used temp_64 variables (Torez Smith) [2084667] - usb: cdnsp: fix cdnsp_decode_trb function to properly handle ret value (Torez Smith) [2084667] - usb: dwc3: drd: Add support for usb-conn-gpio based usb-role-switch (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Fix control endpoint's definitions (Torez Smith) [2084667] - usb: gadget: f_serial: Ensure gserial disconnected during unbind (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Do not program SPARAM (Torez Smith) [2084667] - usb: host: ehci-sched: Use struct_size() in kzalloc() (Torez Smith) [2084667] - thunderbolt: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - usb: enable Design Ware Drivers (Torez Smith) [2084667] - tty: serial: define UART_LCR_WLEN() macro (Torez Smith) [2084667] - media: gspca: make array regs_to_read static const (Torez Smith) [2084667] - block: Fix handling of offline queues in blk_mq_alloc_request_hctx() (Ming Lei) [2108481] - nvme: fix RCU hole that allowed for endless looping in multipath round robin (Gopal Tiwari) [2108624] - vdpa/mlx5: Use consistent RQT size (Cindy Lu) [2063693] - vdpa/mlx5: add validation for VIRTIO_NET_CTRL_MQ_VQ_PAIRS_SET command (Cindy Lu) [2063693] - vdpa/mlx5: should verify CTRL_VQ feature exists for MQ (Cindy Lu) [2063693] - fscache: Avoid ASSERTCMP if two threads race into fscache_disable_cookie (Dave Wysochanski) [2073727] - blk-mq: don't create hctx debugfs dir until q->debugfs_dir is created (Ming Lei) [2105622] - redhat: enable CONFIG_MAXLINEAR_GPHY as module (Petr Oros) [2071857] - net: phy: enhance GPY115 loopback disable function (Petr Oros) [2071857] - net: phy: add Maxlinear GPY115/21x/24x driver (Petr Oros) [2071857] - net: phy: add API to read 802.3-c45 IDs (Petr Oros) [2071857] Resolves: rhbz#2096788, rhbz#2110576, rhbz#2087560, rhbz#2110097, rhbz#2109349, rhbz#2084667, rhbz#2108481, rhbz#2108624, rhbz#2063693, rhbz#2073727, rhbz#2105622, rhbz#2071857 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 17:45:03 +00:00
CONFIG_MAXLINEAR_GPHY=m
# CONFIG_MB1232 is not set
# CONFIG_MC3230 is not set
# CONFIG_MCB is not set
# CONFIG_MCORE2 is not set
# CONFIG_MCP320X is not set
# CONFIG_MCP3422 is not set
# CONFIG_MCP3911 is not set
# CONFIG_MCP4018 is not set
# CONFIG_MCP41010 is not set
# CONFIG_MCP4131 is not set
# CONFIG_MCP4531 is not set
# CONFIG_MCP4725 is not set
# CONFIG_MCP4922 is not set
CONFIG_MD_AUTODETECT=y
# CONFIG_MD_CLUSTER is not set
CONFIG_MD_FAULTY=m
CONFIG_MDIO_BCM_UNIMAC=m
CONFIG_MDIO_BITBANG=m
# CONFIG_MDIO_BUS_MUX_GPIO is not set
# CONFIG_MDIO_BUS_MUX_MMIOREG is not set
# CONFIG_MDIO_BUS_MUX_MULTIPLEXER is not set
CONFIG_MDIO_DEVICE=y
CONFIG_MDIO_GPIO=m
CONFIG_MDIO_HISI_FEMAC=m
# CONFIG_MDIO_IPQ4019 is not set
# CONFIG_MDIO_IPQ8064 is not set
CONFIG_MDIO_MSCC_MIIM=m
# CONFIG_MDIO_MVUSB is not set
CONFIG_MDIO_OCTEON=m
CONFIG_MDIO_THUNDER=m
CONFIG_MD_LINEAR=m
# CONFIG_MD_MULTIPATH is not set
CONFIG_MD_RAID0=m
CONFIG_MD_RAID10=m
CONFIG_MD_RAID1=m
CONFIG_MD_RAID456=m
CONFIG_MD=y
kernel-5.14.0-80.el9 * Thu Apr 21 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-80.el9] - redhat: disable uncommon media device infrastructure (Jarod Wilson) [2074598] - netfilter: nf_tables: unregister flowtable hooks on netns exit (Florian Westphal) [2056869] - netfilter: nf_tables_offload: incorrect flow offload action array size (Florian Westphal) [2056869] {CVE-2022-25636} - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065350] {CVE-2022-1015} - scsi: qedi: Fix failed disconnect handling (Chris Leech) [2071524] - scsi: iscsi: Fix unbound endpoint error handling (Chris Leech) [2071524] - scsi: iscsi: Fix conn cleanup and stop race during iscsid restart (Chris Leech) [2071524] - scsi: iscsi: Fix endpoint reuse regression (Chris Leech) [2071524] - scsi: iscsi: Release endpoint ID when its freed (Chris Leech) [2071524] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2071524] - Revert "scsi: iscsi: Fix offload conn cleanup when iscsid restarts" (Chris Leech) [2071524] - scsi: iscsi: Speed up session unblocking and removal (Chris Leech) [2071524] - scsi: iscsi: Fix recovery and unblocking race (Chris Leech) [2071524] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Chris Leech) [2071524] - scsi: iscsi: Unblock session then wake up error handler (Chris Leech) [2071524] - scsi: iscsi: Fix set_param() handling (Chris Leech) [2071524] - scsi: iscsi: Fix iscsi_task use after free (Chris Leech) [2071524] - scsi: iscsi: Adjust iface sysfs attr detection (Chris Leech) [2071524] - scsi: qedi: Add support for fastpath doorbell recovery (Chris Leech) [2071524] - redhat: Enable KASAN on all ELN debug kernels (Nico Pache) [1995251] - sched/topology: Remove redundant variable and fix incorrect type in build_sched_domains (Phil Auld) [2065198] - sched/fair: Adjust the allowed NUMA imbalance when SD_NUMA spans multiple LLCs (Phil Auld) [2065198] - sched/fair: Improve consistency of allowed NUMA balance calculations (Phil Auld) [2065198] - redhat/configs: Enable CONFIG_RCU_SCALE_TEST & CONFIG_RCU_REF_SCALE_TEST (Waiman Long) [2070740] - thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL (David Arcari) [2039995] - thermal: int340x: Use struct_group() for memcpy() region (David Arcari) [2039995] - thermal: int340x: Limit Kconfig to 64-bit (David Arcari) [2039995] - thermal: int340x: fix build on 32-bit targets (David Arcari) [2039995 2040066] - thermal/drivers/int340x: processor_thermal: Suppot 64 bit RFIM responses (David Arcari) [2039995 2040066] - thermal/drivers/int340x: Improve the tcc offset saving for suspend/resume (David Arcari) [2039995] - thermal: int340x: delete bogus length check (David Arcari) [2039995] - thermal/drivers/int340x: Do not set a wrong tcc offset on resume (David Arcari) [2039995] - thermal/drivers/int340x: Use IMOK independently (David Arcari) [2039995] - stddef: Introduce struct_group() helper macro (David Arcari) [2039995] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2031240] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2031240] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2031240] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2031240] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2031240] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2031240] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2031240] Resolves: rhbz#2040066, rhbz#1995251, rhbz#2070740, rhbz#2031240, rhbz#2039995, rhbz#2065198 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-21 08:30:49 +00:00
# CONFIG_MEDIA_ANALOG_TV_SUPPORT is not set
CONFIG_MEDIA_ATTACH=y
CONFIG_MEDIA_CAMERA_SUPPORT=y
CONFIG_MEDIA_CEC_SUPPORT=y
CONFIG_MEDIA_CONTROLLER=y
kernel-5.14.0-80.el9 * Thu Apr 21 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-80.el9] - redhat: disable uncommon media device infrastructure (Jarod Wilson) [2074598] - netfilter: nf_tables: unregister flowtable hooks on netns exit (Florian Westphal) [2056869] - netfilter: nf_tables_offload: incorrect flow offload action array size (Florian Westphal) [2056869] {CVE-2022-25636} - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065350] {CVE-2022-1015} - scsi: qedi: Fix failed disconnect handling (Chris Leech) [2071524] - scsi: iscsi: Fix unbound endpoint error handling (Chris Leech) [2071524] - scsi: iscsi: Fix conn cleanup and stop race during iscsid restart (Chris Leech) [2071524] - scsi: iscsi: Fix endpoint reuse regression (Chris Leech) [2071524] - scsi: iscsi: Release endpoint ID when its freed (Chris Leech) [2071524] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2071524] - Revert "scsi: iscsi: Fix offload conn cleanup when iscsid restarts" (Chris Leech) [2071524] - scsi: iscsi: Speed up session unblocking and removal (Chris Leech) [2071524] - scsi: iscsi: Fix recovery and unblocking race (Chris Leech) [2071524] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Chris Leech) [2071524] - scsi: iscsi: Unblock session then wake up error handler (Chris Leech) [2071524] - scsi: iscsi: Fix set_param() handling (Chris Leech) [2071524] - scsi: iscsi: Fix iscsi_task use after free (Chris Leech) [2071524] - scsi: iscsi: Adjust iface sysfs attr detection (Chris Leech) [2071524] - scsi: qedi: Add support for fastpath doorbell recovery (Chris Leech) [2071524] - redhat: Enable KASAN on all ELN debug kernels (Nico Pache) [1995251] - sched/topology: Remove redundant variable and fix incorrect type in build_sched_domains (Phil Auld) [2065198] - sched/fair: Adjust the allowed NUMA imbalance when SD_NUMA spans multiple LLCs (Phil Auld) [2065198] - sched/fair: Improve consistency of allowed NUMA balance calculations (Phil Auld) [2065198] - redhat/configs: Enable CONFIG_RCU_SCALE_TEST & CONFIG_RCU_REF_SCALE_TEST (Waiman Long) [2070740] - thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL (David Arcari) [2039995] - thermal: int340x: Use struct_group() for memcpy() region (David Arcari) [2039995] - thermal: int340x: Limit Kconfig to 64-bit (David Arcari) [2039995] - thermal: int340x: fix build on 32-bit targets (David Arcari) [2039995 2040066] - thermal/drivers/int340x: processor_thermal: Suppot 64 bit RFIM responses (David Arcari) [2039995 2040066] - thermal/drivers/int340x: Improve the tcc offset saving for suspend/resume (David Arcari) [2039995] - thermal: int340x: delete bogus length check (David Arcari) [2039995] - thermal/drivers/int340x: Do not set a wrong tcc offset on resume (David Arcari) [2039995] - thermal/drivers/int340x: Use IMOK independently (David Arcari) [2039995] - stddef: Introduce struct_group() helper macro (David Arcari) [2039995] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2031240] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2031240] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2031240] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2031240] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2031240] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2031240] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2031240] Resolves: rhbz#2040066, rhbz#1995251, rhbz#2070740, rhbz#2031240, rhbz#2039995, rhbz#2065198 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-21 08:30:49 +00:00
# CONFIG_MEDIA_DIGITAL_TV_SUPPORT is not set
CONFIG_MEDIA_PCI_SUPPORT=y
# CONFIG_MEDIA_PLATFORM_SUPPORT is not set
kernel-5.14.0-80.el9 * Thu Apr 21 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-80.el9] - redhat: disable uncommon media device infrastructure (Jarod Wilson) [2074598] - netfilter: nf_tables: unregister flowtable hooks on netns exit (Florian Westphal) [2056869] - netfilter: nf_tables_offload: incorrect flow offload action array size (Florian Westphal) [2056869] {CVE-2022-25636} - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065350] {CVE-2022-1015} - scsi: qedi: Fix failed disconnect handling (Chris Leech) [2071524] - scsi: iscsi: Fix unbound endpoint error handling (Chris Leech) [2071524] - scsi: iscsi: Fix conn cleanup and stop race during iscsid restart (Chris Leech) [2071524] - scsi: iscsi: Fix endpoint reuse regression (Chris Leech) [2071524] - scsi: iscsi: Release endpoint ID when its freed (Chris Leech) [2071524] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2071524] - Revert "scsi: iscsi: Fix offload conn cleanup when iscsid restarts" (Chris Leech) [2071524] - scsi: iscsi: Speed up session unblocking and removal (Chris Leech) [2071524] - scsi: iscsi: Fix recovery and unblocking race (Chris Leech) [2071524] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Chris Leech) [2071524] - scsi: iscsi: Unblock session then wake up error handler (Chris Leech) [2071524] - scsi: iscsi: Fix set_param() handling (Chris Leech) [2071524] - scsi: iscsi: Fix iscsi_task use after free (Chris Leech) [2071524] - scsi: iscsi: Adjust iface sysfs attr detection (Chris Leech) [2071524] - scsi: qedi: Add support for fastpath doorbell recovery (Chris Leech) [2071524] - redhat: Enable KASAN on all ELN debug kernels (Nico Pache) [1995251] - sched/topology: Remove redundant variable and fix incorrect type in build_sched_domains (Phil Auld) [2065198] - sched/fair: Adjust the allowed NUMA imbalance when SD_NUMA spans multiple LLCs (Phil Auld) [2065198] - sched/fair: Improve consistency of allowed NUMA balance calculations (Phil Auld) [2065198] - redhat/configs: Enable CONFIG_RCU_SCALE_TEST & CONFIG_RCU_REF_SCALE_TEST (Waiman Long) [2070740] - thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL (David Arcari) [2039995] - thermal: int340x: Use struct_group() for memcpy() region (David Arcari) [2039995] - thermal: int340x: Limit Kconfig to 64-bit (David Arcari) [2039995] - thermal: int340x: fix build on 32-bit targets (David Arcari) [2039995 2040066] - thermal/drivers/int340x: processor_thermal: Suppot 64 bit RFIM responses (David Arcari) [2039995 2040066] - thermal/drivers/int340x: Improve the tcc offset saving for suspend/resume (David Arcari) [2039995] - thermal: int340x: delete bogus length check (David Arcari) [2039995] - thermal/drivers/int340x: Do not set a wrong tcc offset on resume (David Arcari) [2039995] - thermal/drivers/int340x: Use IMOK independently (David Arcari) [2039995] - stddef: Introduce struct_group() helper macro (David Arcari) [2039995] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2031240] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2031240] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2031240] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2031240] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2031240] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2031240] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2031240] Resolves: rhbz#2040066, rhbz#1995251, rhbz#2070740, rhbz#2031240, rhbz#2039995, rhbz#2065198 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-21 08:30:49 +00:00
# CONFIG_MEDIA_RADIO_SUPPORT is not set
# CONFIG_MEDIA_SDR_SUPPORT is not set
CONFIG_MEDIA_SUBDRV_AUTOSELECT=y
CONFIG_MEDIA_SUPPORT_FILTER=y
CONFIG_MEDIA_SUPPORT=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_MEDIATEK_GE_PHY is not set
# CONFIG_MEDIA_TEST_SUPPORT is not set
CONFIG_MEDIA_TUNER_QM1D1C0042=m
CONFIG_MEDIA_TUNER_SI2157=m
CONFIG_MEDIA_USB_SUPPORT=y
# CONFIG_MEGARAID_LEGACY is not set
# CONFIG_MEGARAID_NEWGEN is not set
CONFIG_MEGARAID_SAS=m
CONFIG_MELLANOX_PLATFORM=y
CONFIG_MEMBARRIER=y
CONFIG_MEMCG_SWAP=y
CONFIG_MEMCG=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_MEMCPY_KUNIT_TEST=m
CONFIG_MEMORY_FAILURE=y
# CONFIG_MEMORY_HOTPLUG_DEFAULT_ONLINE is not set
CONFIG_MEMORY_HOTPLUG=y
CONFIG_MEMORY_HOTREMOVE=y
# CONFIG_MEMORY is not set
CONFIG_MEM_SOFT_DIRTY=y
# CONFIG_MEMSTICK_DEBUG is not set
CONFIG_MEMSTICK_JMICRON_38X=m
CONFIG_MEMSTICK=m
CONFIG_MEMSTICK_R592=m
CONFIG_MEMSTICK_REALTEK_PCI=m
# CONFIG_MEMSTICK_REALTEK_USB is not set
CONFIG_MEMSTICK_TIFM_MS=m
# CONFIG_MEMSTICK_UNSAFE_RESUME is not set
# CONFIG_MEMTEST is not set
# CONFIG_MEN_A21_WDT is not set
CONFIG_MESSAGE_LOGLEVEL_DEFAULT=4
# CONFIG_MFD_88PM800 is not set
# CONFIG_MFD_88PM805 is not set
# CONFIG_MFD_88PM860X is not set
# CONFIG_MFD_AAT2870_CORE is not set
# CONFIG_MFD_ACT8945A is not set
# CONFIG_MFD_ARIZONA_I2C is not set
# CONFIG_MFD_ARIZONA_SPI is not set
# CONFIG_MFD_AS3711 is not set
# CONFIG_MFD_AS3722 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_MFD_ATC260X_I2C is not set
# CONFIG_MFD_ATMEL_FLEXCOM is not set
# CONFIG_MFD_ATMEL_HLCDC is not set
# CONFIG_MFD_AXP20X_I2C is not set
# CONFIG_MFD_BCM590XX is not set
# CONFIG_MFD_BD9571MWV is not set
# CONFIG_MFD_CPCAP is not set
# CONFIG_MFD_DA9052_I2C is not set
# CONFIG_MFD_DA9052_SPI is not set
# CONFIG_MFD_DA9055 is not set
# CONFIG_MFD_DA9062 is not set
# CONFIG_MFD_DA9063 is not set
# CONFIG_MFD_DA9150 is not set
# CONFIG_MFD_DLN2 is not set
# CONFIG_MFD_GATEWORKS_GSC is not set
# CONFIG_MFD_HI6421_PMIC is not set
# CONFIG_MFD_HI655X_PMIC is not set
# CONFIG_MFD_INTEL_M10_BMC is not set
# CONFIG_MFD_INTEL_PMC_BXT is not set
# CONFIG_MFD_INTEL_QUARK_I2C_GPIO is not set
# CONFIG_MFD_IQS62X is not set
# CONFIG_MFD_JANZ_CMODIO is not set
# CONFIG_MFD_KEMPLD is not set
# CONFIG_MFD_LM3533 is not set
# CONFIG_MFD_LOCHNAGAR is not set
# CONFIG_MFD_LP3943 is not set
# CONFIG_MFD_LP8788 is not set
# CONFIG_MFD_MADERA is not set
# CONFIG_MFD_MAX14577 is not set
# CONFIG_MFD_MAX77620 is not set
# CONFIG_MFD_MAX77650 is not set
# CONFIG_MFD_MAX77686 is not set
# CONFIG_MFD_MAX77693 is not set
# CONFIG_MFD_MAX77843 is not set
# CONFIG_MFD_MAX8907 is not set
# CONFIG_MFD_MAX8925 is not set
# CONFIG_MFD_MAX8997 is not set
# CONFIG_MFD_MAX8998 is not set
# CONFIG_MFD_MC13XXX_I2C is not set
# CONFIG_MFD_MC13XXX_SPI is not set
# CONFIG_MFD_MENF21BMC is not set
# CONFIG_MFD_MP2629 is not set
# CONFIG_MFD_MT6360 is not set
# CONFIG_MFD_MT6397 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_MFD_NTXEC is not set
# CONFIG_MFD_PALMAS is not set
# CONFIG_MFD_PCF50633 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_MFD_QCOM_PM8008 is not set
# CONFIG_MFD_RC5T583 is not set
# CONFIG_MFD_RDC321X is not set
# CONFIG_MFD_RETU is not set
# CONFIG_MFD_RK808 is not set
# CONFIG_MFD_RN5T618 is not set
# CONFIG_MFD_ROHM_BD70528 is not set
# CONFIG_MFD_ROHM_BD71828 is not set
# CONFIG_MFD_ROHM_BD718XX is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_MFD_ROHM_BD957XMUF is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_MFD_RT4831 is not set
# CONFIG_MFD_RT5033 is not set
# CONFIG_MFD_SEC_CORE is not set
# CONFIG_MFD_SI476X_CORE is not set
# CONFIG_MFD_SKY81452 is not set
CONFIG_MFD_SM501_GPIO=y
CONFIG_MFD_SM501=m
# CONFIG_MFD_STMFX is not set
# CONFIG_MFD_STMPE is not set
# CONFIG_MFD_STPMIC1 is not set
# CONFIG_MFD_SYSCON is not set
# CONFIG_MFD_TC3589X is not set
# CONFIG_MFD_TI_AM335X_TSCADC is not set
# CONFIG_MFD_TI_LMU is not set
# CONFIG_MFD_TI_LP873X is not set
# CONFIG_MFD_TI_LP87565 is not set
# CONFIG_MFD_TPS65086 is not set
# CONFIG_MFD_TPS65090 is not set
# CONFIG_MFD_TPS65217 is not set
# CONFIG_MFD_TPS65218 is not set
# CONFIG_MFD_TPS6586X is not set
# CONFIG_MFD_TPS65910 is not set
# CONFIG_MFD_TPS65912_I2C is not set
# CONFIG_MFD_TPS65912_SPI is not set
# CONFIG_MFD_TPS80031 is not set
# CONFIG_MFD_TQMX86 is not set
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
# CONFIG_MFD_VIPERBOARD is not set
CONFIG_MFD_VX855=m
# CONFIG_MFD_WL1273_CORE is not set
# CONFIG_MFD_WM831X_I2C is not set
# CONFIG_MFD_WM831X_SPI is not set
# CONFIG_MFD_WM8350_I2C is not set
# CONFIG_MFD_WM8400 is not set
# CONFIG_MFD_WM8994 is not set
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
# CONFIG_MHI_BUS_DEBUG is not set
kernel-5.14.0-226.el9 * Fri Dec 23 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-226.el9] - KVM: s390: pv: don't allow userspace to set the clock under PV (Tobias Huschle) [2154283] - net: ethernet: move from strlcpy with unused retval to strscpy (Corinna Vinschen) [2104470] - x86/microcode/AMD: Apply the patch early on every logical thread (Waiman Long) [2138389] - x86/bugs: Enable STIBP for IBPB mitigated RETBleed (Waiman Long) [2138389] - x86/bugs: Add "unknown" reporting for MMIO Stale Data (Waiman Long) [2138389] - config: mhi: set CONFIG_MHI_BUS_EP to disabled (Íñigo Huguet) [2136122] - config: rtw89: set CONFIG_RTW89_8852C to disabled (Íñigo Huguet) [2136122] - wifi: ath11k: avoid deadlock during regulatory update in ath11k_regd_update() (Íñigo Huguet) [2136122 2139482] - wifi: mac80211: mlme: Fix double unlock on assoc success handling (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: Fix missing unlock on beacon RX (Íñigo Huguet) [2136122] - wifi: mac80211: fix memory corruption in minstrel_ht_update_rates() (Íñigo Huguet) [2136122] - wifi: mac80211: fix regression with non-QoS drivers (Íñigo Huguet) [2136122] - wifi: mac80211: ensure vif queues are operational after start (Íñigo Huguet) [2136122] - wifi: mac80211: don't start TX with fq->lock to fix deadlock (Íñigo Huguet) [2136122] - wifi: cfg80211: fix MCS divisor value (Íñigo Huguet) [2136122] - wifi: mt76: fix 5 GHz connection regression on mt76x0/mt76x2 (Íñigo Huguet) [2136122] - wifi: mt76: fix reading current per-tid starting sequence number for aggregation (Íñigo Huguet) [2136122] - wifi: iwlwifi: Mark IWLMEI as broken (Íñigo Huguet) [2136122] - wifi: iwlwifi: don't spam logs with NSS>2 messages (Íñigo Huguet) [2136122] - wifi: use struct_group to copy addresses (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: check length for virtio packets (Íñigo Huguet) [2136122] - wifi: mac80211: fix locking in auth/assoc timeout (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: release deflink channel in error case (Íñigo Huguet) [2136122] - wifi: mac80211: fix link warning in RX agg timer expiry (Íñigo Huguet) [2136122] - wifi: wilc1000: fix DMA on stack objects (Íñigo Huguet) [2136122] - bus: mhi: host: Fix up null pointer access in mhi_irq_handler (Íñigo Huguet) [2136122] - net: Use u64_stats_fetch_begin_irq() for stats fetch. (Íñigo Huguet) [2136122] - wifi: mt76: mt7921e: fix crash in chip reset fail (Íñigo Huguet) [2136122] - wifi: mac80211: potential NULL dereference in ieee80211_tx_control_port() (Íñigo Huguet) [2136122] - wifi: cfg80211: debugfs: fix return type in ht40allow_map_read() (Íñigo Huguet) [2136122] - wifi: mac80211: Don't finalize CSA in IBSS mode if state is disconnected (Íñigo Huguet) [2136122] - wifi: mac80211: fix possible leak in ieee80211_tx_control_port() (Íñigo Huguet) [2136122] - wifi: mac80211: always free sta in __sta_info_alloc in case of error (Íñigo Huguet) [2136122] - wifi: mac80211: Fix UAF in ieee80211_scan_rx() (Íñigo Huguet) [2136122] - net: qrtr: start MHI channel after endpoit creation (Íñigo Huguet) [2136122] - wifi: wilc1000: fix spurious inline in wilc_handle_disconnect() (Íñigo Huguet) [2136122] - wifi: cfg80211: Fix validating BSS pointers in __cfg80211_connect_result (Íñigo Huguet) [2136122] - wifi: rtw88: check the return value of alloc_workqueue() (Íñigo Huguet) [2136122] - wifi: rtw89: 8852a: adjust IMR for SER L1 (Íñigo Huguet) [2136122] - wifi: rtw89: 8852a: update RF radio A/B R56 (Íñigo Huguet) [2136122] - wifi: brcmfmac: prevent double-free on hardware-reset (Íñigo Huguet) [2136122] - wifi: brcmfmac: support brcm,ccode-map-trivial DT property (Íñigo Huguet) [2136122] - wifi: brcmfmac: Replace default (not configured) MAC with a random MAC (Íñigo Huguet) [2136122] - wifi: brcmfmac: Add brcmf_c_set_cur_etheraddr() helper (Íñigo Huguet) [2136122] - wifi: brcmfmac: Remove #ifdef guards for PM related functions (Íñigo Huguet) [2136122] - wifi: brcmfmac: use strreplace() in brcmf_of_probe() (Íñigo Huguet) [2136122] - wifi: wilc1000: use existing iftype variable to store the interface type (Íñigo Huguet) [2136122] - wifi: wilc1000: add 'isinit' flag for SDIO bus similar to SPI (Íñigo Huguet) [2136122] - wifi: wilc1000: cancel the connect operation during interface down (Íñigo Huguet) [2136122] - wifi: wilc1000: get correct length of string WID from received config packet (Íñigo Huguet) [2136122] - wifi: wilc1000: set station_info flag only when signal value is valid (Íñigo Huguet) [2136122] - wifi: wilc1000: set correct value of 'close' variable in failure case (Íñigo Huguet) [2136122] - wifi: wilc1000: add WID_TX_POWER WID in g_cfg_byte array (Íñigo Huguet) [2136122] - wifi: rtl8xxxu: Fix the error handling of the probe function (Íñigo Huguet) [2136122] - wifi: mwifiex: clean up one inconsistent indenting (Íñigo Huguet) [2136122] - wifi: rtlwifi: Remove duplicate word and Fix typo (Íñigo Huguet) [2136122] - wifi: mwifiex: Fix comment typo (Íñigo Huguet) [2136122] - wifi: iwlwifi: mvm: fix clang -Wformat warnings (Íñigo Huguet) [2136122] - Revert "ath11k: add support for hardware rfkill for QCA6390" (Íñigo Huguet) [2136122] - wifi: ath11k: Fix register write failure on QCN9074 (Íñigo Huguet) [2136122] - mac80211: tracing: Use the new __vstring() helper (Íñigo Huguet) [2136122] - wifi: mac80211: fix link data leak (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: fix disassoc with MLO (Íñigo Huguet) [2136122] - wifi: mac80211: add macros to loop over active links (Íñigo Huguet) [2136122] - wifi: mac80211: remove erroneous sband/link validation (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: transmit assoc frame with address translation (Íñigo Huguet) [2136122] - wifi: mac80211: verify link addresses are different (Íñigo Huguet) [2136122] - wifi: mac80211: rx: track link in RX data (Íñigo Huguet) [2136122] - wifi: mac80211: optionally implement MLO multicast TX (Íñigo Huguet) [2136122] - wifi: mac80211: expand ieee80211_mgmt_tx() for MLO (Íñigo Huguet) [2136122] - wifi: nl80211: add MLO link ID to the NL80211_CMD_FRAME TX API (Íñigo Huguet) [2136122] - wifi: mac80211: report link ID to cfg80211 on mgmt RX (Íñigo Huguet) [2136122] - wifi: cfg80211: report link ID in NL80211_CMD_FRAME (Íñigo Huguet) [2136122] - wifi: mac80211: add hardware timestamps for RX and TX (Íñigo Huguet) [2136122] - wifi: cfg80211: add hardware timestamps to frame RX info (Íñigo Huguet) [2136122] - wifi: cfg80211/nl80211: move rx management data into a struct (Íñigo Huguet) [2136122] - wifi: cfg80211: add a function for reporting TX status with hardware timestamps (Íñigo Huguet) [2136122] - wifi: nl80211: add RX and TX timestamp attributes (Íñigo Huguet) [2136122] - wifi: ieee80211: add helper functions for detecting TM/FTM frames (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: handle links for wmediumd/virtio (Íñigo Huguet) [2136122] - wifi: mac80211: sta_info: fix link_sta insertion (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: fix link_sta setup (Íñigo Huguet) [2136122] - wifi: nl80211/mac80211: clarify link ID in control port TX (Íñigo Huguet) [2136122] - wifi: mac80211: return error from control port TX for drops (Íñigo Huguet) [2136122] - wifi: nl80211: require MLD address on link STA add/modify (Íñigo Huguet) [2136122] - wifi: mac80211: more station handling sanity checks (Íñigo Huguet) [2136122] - wifi: mac80211: fix link sta hash table handling (Íñigo Huguet) [2136122] - wifi: mac80211: validate link address doesn't change (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: set sta.mlo to mlo state (Íñigo Huguet) [2136122] - wifi: mac80211: fast-xmit: handle non-MLO clients (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: fix address translation for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: fix RX MLD address translation (Íñigo Huguet) [2136122] - wifi: mac80211: fix NULL pointer deref with non-MLD STA (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: fix override calculation (Íñigo Huguet) [2136122] - wifi: mac80211: tx: use AP address in some places for MLO (Íñigo Huguet) [2136122] - net: add missing includes and forward declarations under net/ (Íñigo Huguet) [2136122] - wifi: rtl8192se: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: rtlwifi: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: rt2x00: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: brcmsmac: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: brcmfmac: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: mac80211: do not abuse fq.lock in ieee80211_do_stop() (Íñigo Huguet) [2136122] - wifi: mt7601u: fix clang -Wformat warning (Íñigo Huguet) [2136122] - wifi: mt7601u: eeprom: fix clang -Wformat warning (Íñigo Huguet) [2136122] - wifi: ath: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: ath11k: mac: fix long line (Íñigo Huguet) [2136122] - tracing/iwlwifi: Use the new __vstring() helper (Íñigo Huguet) [2136122] - tracing/brcm: Use the new __vstring() helper (Íñigo Huguet) [2136122] - tracing/ath: Use the new __vstring() helper (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: set sta.mlo correctly (Íñigo Huguet) [2136122] - wifi: mac80211: remove stray printk (Íñigo Huguet) [2136122] - wifi: mac80211: support MLO authentication/association with one link (Íñigo Huguet) [2136122] - wifi: mac80211: add API to parse multi-link element (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: fix TX link selection (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: use MLO link ID for TX (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: do rc update per link (Íñigo Huguet) [2136122] - wifi: mac80211: do link->MLD address translation on RX (Íñigo Huguet) [2136122] - wifi: mac80211: select link when transmitting to non-MLO stations (Íñigo Huguet) [2136122] - wifi: mac80211: fix up link station creation/insertion (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: implement sta_state for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: limit A-MSDU subframes for client too (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor ieee80211_set_associated() (Íñigo Huguet) [2136122] - wifi: cfg80211: add cfg80211_get_iftype_ext_capa() (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: look up beacon elems only if needed (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor assoc link setup (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: remove address arg to ieee80211_mark_sta_auth() (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor assoc success handling (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor ieee80211_prep_channel() a bit (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor assoc req element building (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: switch some things back to deflink (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: change flags in ieee80211_determine_chantype() (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: shift some code around (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor link station setup (Íñigo Huguet) [2136122] - wifi: mac80211: move IEEE80211_SDATA_OPERATING_GMODE to link (Íñigo Huguet) [2136122] - wifi: mac80211: make ieee80211_check_rate_mask() link-aware (Íñigo Huguet) [2136122] - wifi: mac80211: add multi-link element to AUTH frames (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: clean up supported channels element code (Íñigo Huguet) [2136122] - wifi: mac80211: release channel context on link stop (Íñigo Huguet) [2136122] - wifi: mac80211: prohibit DEAUTH_NEED_MGD_TX_PREP in MLO (Íñigo Huguet) [2136122] - wifi: nl80211: fix some attribute policy entries (Íñigo Huguet) [2136122] - wifi: nl80211: reject fragmented and non-inheritance elements (Íñigo Huguet) [2136122] - wifi: nl80211: reject link specific elements on assoc link (Íñigo Huguet) [2136122] - wifi: cfg80211: set country_elem to NULL (Íñigo Huguet) [2136122] - wifi: mac80211: remove link_id parameter from link_info_changed() (Íñigo Huguet) [2136122] - wifi: mac80211: replace link_id with link_conf in switch/(un)assign_vif_chanctx() (Íñigo Huguet) [2136122] - wifi: nl80211: advertise MLO support (Íñigo Huguet) [2136122] - wifi: mac80211: Support multi link in ieee80211_recalc_min_chandef() (Íñigo Huguet) [2136122] - wifi: mac80211: don't check carrier in chanctx code (Íñigo Huguet) [2136122] - wifi: nl80211: allow link ID in set_wiphy with frequency (Íñigo Huguet) [2136122] - wifi: mac80211: Allow EAPOL tx from specific link (Íñigo Huguet) [2136122] - wifi: mac80211: Allow EAPOL frames from link addresses (Íñigo Huguet) [2136122] - wifi: cfg80211/mac80211: Support control port TX from specific link (Íñigo Huguet) [2136122] - wifi: nl80211: Support MLD parameters in nl80211_set_station() (Íñigo Huguet) [2136122] - wifi: nl80211: check MLO support in authenticate (Íñigo Huguet) [2136122] - wifi: mac80211: add a helper to fragment an element (Íñigo Huguet) [2136122] - wifi: mac80211: skip rate statistics for MLD STAs (Íñigo Huguet) [2136122] - wifi: nl80211: set BSS to NULL if IS_ERR() (Íñigo Huguet) [2136122] - wifi: nl80211: add EML/MLD capabilities to per-iftype capabilities (Íñigo Huguet) [2136122] - wifi: nl80211: better validate link ID for stations (Íñigo Huguet) [2136122] - wifi: mac80211: fix link manipulation (Íñigo Huguet) [2136122] - wifi: mac80211: tighten locking check (Íñigo Huguet) [2136122] - wifi: cfg80211: clean up links appropriately (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: simplify adding ht/vht/he/eht elements (Íñigo Huguet) [2136122] - wifi: mac80211: refactor adding custom elements (Íñigo Huguet) [2136122] - wifi: mac80211: refactor adding rates to assoc request (Íñigo Huguet) [2136122] - wifi: mac80211: use only channel width in ieee80211_parse_bitrates() (Íñigo Huguet) [2136122] - wifi: cfg80211: add ieee80211_chanwidth_rate_flags() (Íñigo Huguet) [2136122] - wifi: mac80211: remove redundant condition (Íñigo Huguet) [2136122] - wifi: mac80211: don't set link address for station (Íñigo Huguet) [2136122] - wifi: mac80211: fix multi-BSSID element parsing (Íñigo Huguet) [2136122] - wifi: mac80211: move tdls_chan_switch_prohibited to link data (Íñigo Huguet) [2136122] - wifi: mac80211: don't re-parse elems in ieee80211_assoc_success() (Íñigo Huguet) [2136122] - wifi: mac80211: replace link_id with link_conf in start/stop_ap() (Íñigo Huguet) [2136122] - wifi: mac80211: refactor elements parsing with parameter struct (Íñigo Huguet) [2136122] - wifi: cfg80211: extend cfg80211_rx_assoc_resp() for MLO (Íñigo Huguet) [2136122] - wifi: cfg80211: put cfg80211_rx_assoc_resp() arguments into a struct (Íñigo Huguet) [2136122] - wifi: cfg80211: adjust assoc comeback for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: unify assoc data event sending (Íñigo Huguet) [2136122] - wifi: cfg80211: prepare association failure APIs for MLO (Íñigo Huguet) [2136122] - wifi: cfg80211: remove BSS pointer from cfg80211_disassoc_request (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: use correct link_sta (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: remove sta argument from ieee80211_config_bw (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: use ieee80211_get_link_sband() (Íñigo Huguet) [2136122] - wifi: mac80211: split IEEE80211_STA_DISABLE_WMM to link data (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: first adjustments for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: do IEEE80211_STA_RESET_SIGNAL_AVE per link (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: track AP (MLD) address separately (Íñigo Huguet) [2136122] - wifi: mac80211: remove unused bssid variable (Íñigo Huguet) [2136122] - wifi: mac80211: change QoS settings API to take link into account (Íñigo Huguet) [2136122] - wifi: mac80211: expect powersave handling in driver for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: move ps setting to vif config (Íñigo Huguet) [2136122] - wifi: mac80211: provide link ID in link_conf (Íñigo Huguet) [2136122] - wifi: mac80211: set up/tear down client vif links properly (Íñigo Huguet) [2136122] - wifi: mac80211: move ieee80211_request_smps_mgd_work (Íñigo Huguet) [2136122] - wifi: nl80211: acquire wdev mutex for dump_survey (Íñigo Huguet) [2136122] - wifi: mac80211: fix key lookup (Íñigo Huguet) [2136122] - wifi: mac80211: separate out connection downgrade flags (Íñigo Huguet) [2136122] - wifi: mac80211: Align with Draft P802.11be_D2.0 (Íñigo Huguet) [2136122] - wifi: mac80211: Align with Draft P802.11be_D1.5 (Íñigo Huguet) [2136122] - wifi: mac80211: skip powersave recalc if driver SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2136122] - wifi: mac80211: debug: omit link if non-MLO connection (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: Ack link addressed frames (Íñigo Huguet) [2136122] - wifi: cfg80211: drop BSS elements from assoc trace for now (Íñigo Huguet) [2136122] - wifi: cfg80211: make cfg80211_auth_request::key_idx signed (Íñigo Huguet) [2136122] - wifi: nl80211: enable setting the link address at new station (Íñigo Huguet) [2136122] - wifi: mac80211: RCU-ify link/link_conf pointers (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex for station APIs (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex for channel switch APIs (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex in add/mod/del link station (Íñigo Huguet) [2136122] - wifi: mac80211: implement callbacks for <add/mod/del>_link_station (Íñigo Huguet) [2136122] - wifi: cfg80211/mac80211: separate link params from station params (Íñigo Huguet) [2136122] - wifi: cfg80211: add API to add/modify/remove a link station (Íñigo Huguet) [2136122] - wifi: mac80211: add an ieee80211_get_link_sband (Íñigo Huguet) [2136122] - wifi: mac80211: Remove AP SMPS leftovers (Íñigo Huguet) [2136122] - wifi: cfg80211: Allow MLO TX with link source address (Íñigo Huguet) [2136122] - wifi: mac80211: Consider MLO links in offchannel logic (Íñigo Huguet) [2136122] - wifi: mac80211: rx: accept link-addressed frames (Íñigo Huguet) [2136122] - wifi: mac80211: consistently use sdata_dereference() (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: Support link channel matching on rx (Íñigo Huguet) [2136122] - wifi: mac80211: fix mesh airtime link metric estimating (Íñigo Huguet) [2136122] - wifi: mac80211: make 4addr null frames using min_rate for WDS (Íñigo Huguet) [2136122] - wifi: cfg80211: use strscpy to replace strlcpy (Íñigo Huguet) [2136122] - wifi: mac80211: exclude multicast packets from AQL pending airtime (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: use 32-bit skb cookie (Íñigo Huguet) [2136122] - mt76: mt7921: Let PCI core handle power state and use pm_sleep_ptr() (Íñigo Huguet) [2136122] - mediatek: mt76: mac80211: Fix missing of_node_put() in mt76_led_init() (Íñigo Huguet) [2136122] - mt76: get rid of mt76_wcid_hw routine (Íñigo Huguet) [2136122] - mt76: convert MT_TX_HW_QUEUE_EXT_PHY to MT_TX_HW_QUEUE_PHY (Íñigo Huguet) [2136122] - mt76: add phy_idx to mt76_wcid (Íñigo Huguet) [2136122] - mt76: introduce phys array in mt76_dev structure (Íñigo Huguet) [2136122] - mt76: add phy_idx in mt76_rx_status (Íñigo Huguet) [2136122] - mt76: introduce MT_RXQ_BAND2 and MT_RXQ_BAND2_WA in mt76_rxq_id (Íñigo Huguet) [2136122] - mt76: add len parameter to __mt76_mcu_msg_alloc signature (Íñigo Huguet) [2136122] - mt76: mt7915 add ht mpdu density (Íñigo Huguet) [2136122] - mt76: mt7921: reduce the mutex lock scope during reset (Íñigo Huguet) [2136122] - mt76: mt7921: reduce log severity levels for informative messages (Íñigo Huguet) [2136122] - mt76: connac: move tx initialization/cleanup in mt76_connac module (Íñigo Huguet) [2136122] - mt76: mt7921: make mt7921_pci_driver static (Íñigo Huguet) [2136122] - mt76: mt7921: enable HW beacon filter in the initialization stage (Íñigo Huguet) [2136122] - mt76: mt7921: enable HW beacon filter not depending on PM flag (Íñigo Huguet) [2136122] - mt76: remove q->qid (Íñigo Huguet) [2136122] - mt76: do not use skb_set_queue_mapping for internal purposes (Íñigo Huguet) [2136122] - mt76: pass original queue id from __mt76_tx_queue_skb to the driver (Íñigo Huguet) [2136122] - mt76: allow receiving frames with invalid CCMP PN via monitor interfaces (Íñigo Huguet) [2136122] - mt76: mt76x02: improve reliability of the beacon hang check (Íñigo Huguet) [2136122] - mt76: mt7615: add sta_rec with EXTRA_INFO_NEW for the first time only (Íñigo Huguet) [2136122] - mt76: mt7915: update the maximum size of beacon offload (Íñigo Huguet) [2136122] - mt76: do not check the ccmp pn for ONLY_MONITOR frame (Íñigo Huguet) [2136122] - mt76: mt7915: add sta_rec with EXTRA_INFO_NEW for the first time only (Íñigo Huguet) [2136122] - mt76: mt7915: update mpdu density in 6g capability (Íñigo Huguet) [2136122] - mt76: mt7915: do not copy ieee80211_ops pointer in mt7915_mmio_probe (Íñigo Huguet) [2136122] - mt76: mt7915: disable UL MU-MIMO for mt7915 (Íñigo Huguet) [2136122] - mt76: move mt76_connac2_mcu_fill_message in mt76_connac module (Íñigo Huguet) [2136122] - mt76: move mcu_txd/mcu_rxd structures in shared code (Íñigo Huguet) [2136122] - mt76: mt7915: rely on mt76_connac_tx_free (Íñigo Huguet) [2136122] - mt76: connac: move mt7615_txp_skb_unmap in common code (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_write_hw_txp in shared code (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_tx_complete_skb in shared code (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_tx_free in shared code (Íñigo Huguet) [2136122] - mt76: move mt7615_txp_ptr in mt76_connac module (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_fw_txp in common module (Íñigo Huguet) [2136122] - mt76: mt7915: get rid of unnecessary new line in mt7915_mac_write_txwi (Íñigo Huguet) [2136122] - mt76: mt7921s: remove unnecessary goto in mt7921s_mcu_drv_pmctrl (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_mac_fill_rx_rate in connac module (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_reverse_frag0_hdr_trans in mt76-connac module (Íñigo Huguet) [2136122] - mt76: connac: move HE radiotap parsing in connac module (Íñigo Huguet) [2136122] - mt76: mt7921: fix command timeout in AP stop period (Íñigo Huguet) [2136122] - mt76: mt7921: not support beacon offload disable command (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_mac_add_txs_skb in connac module (Íñigo Huguet) [2136122] - mt76: connac: move connac2_mac_write_txwi in mt76_connac module (Íñigo Huguet) [2136122] - mt76: connac: move mac connac2 defs in mt76_connac2_mac.h (Íñigo Huguet) [2136122] - mt76: mt7915: rely on mt76_dev in mt7915_mac_write_txwi signature (Íñigo Huguet) [2136122] - mt76: mt7921: rely on mt76_dev in mt7921_mac_write_txwi signature (Íñigo Huguet) [2136122] - mt76: enable the VHT extended NSS BW feature (Íñigo Huguet) [2136122] - mt76: mt7663: rely on mt76_connac2_fw_trailer (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_load_patch in connac module (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_load_ram in connac module (Íñigo Huguet) [2136122] - mt76: mt7921: move fw toggle in mt7921_load_firmware (Íñigo Huguet) [2136122] - mt76: connac: move shared fw structures in connac module (Íñigo Huguet) [2136122] - mt76: mt7921: get rid of mt7921_mcu_exit (Íñigo Huguet) [2136122] - mt76: mt7921: add missing bh-disable around rx napi schedule (Íñigo Huguet) [2136122] - mt76: mt7615: add missing bh-disable around rx napi schedule (Íñigo Huguet) [2136122] - mt76: mt7915: add missing bh-disable around tx napi enable/schedule (Íñigo Huguet) [2136122] - mt76: mt7921: add PATCH_FINISH_REQ cmd response handling (Íñigo Huguet) [2136122] - mt76: add DBDC rxq handlings into mac_reset_work (Íñigo Huguet) [2136122] - mt76: mt7915: add more ethtool stats (Íñigo Huguet) [2136122] - mt76: mt7921: introduce ACPI SAR config in tx power (Íñigo Huguet) [2136122] - mt76: mt7921: introduce ACPI SAR support (Íñigo Huguet) [2136122] - mt76: add 6 GHz band support in mt76_sar_freq_ranges (Íñigo Huguet) [2136122] - mt76: mt7915: fix endian bug in mt7915_rf_regval_set() (Íñigo Huguet) [2136122] - mt76: mt76x02u: fix possible memory leak in __mt76x02u_mcu_send_msg (Íñigo Huguet) [2136122] - mt76: mt7915: fix endianness in mt7915_rf_regval_get (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: add back erroneously removed cast (Íñigo Huguet) [2136122] - wifi: mac80211: fix queue selection for mesh/OCB interfaces (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: fix race condition in pending packet (Íñigo Huguet) [2136122] - wifi: mac80211: Use the bitmap API to allocate bitmaps (Íñigo Huguet) [2136122] - wifi: mac80211: fix center freq calculation in ieee80211_chandef_downgrade (Íñigo Huguet) [2136122] - wifi: nl80211: fix sending link ID info of associated BSS (Íñigo Huguet) [2136122] - wifi: cfg80211: fix a comment in cfg80211_mlme_mgmt_tx() (Íñigo Huguet) [2136122] - wifi: nl80211: Fix reading NL80211_ATTR_MLO_LINK_ID in nl80211_pre_doit (Íñigo Huguet) [2136122] - bus: mhi: ep: Check dev_set_name() return value (Íñigo Huguet) [2136122] - ath9k: remove unexpected words "the" in comments (Íñigo Huguet) [2136122] - ath11k: Fix typo in comments (Íñigo Huguet) [2136122] - wifi: nl80211: retrieve EHT related elements in AP mode (Íñigo Huguet) [2136122] - wifi: cfg80211: Increase akm_suites array size in cfg80211_crypto_settings (Íñigo Huguet) [2136122] - wifi: cfg80211: remove chandef check in cfg80211_cac_event() (Íñigo Huguet) [2136122] - wifi: nl80211: relax wdev mutex check in wdev_chandef() (Íñigo Huguet) [2136122] - wifi: nl80211: acquire wdev mutex earlier in start_ap (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex for tid config (Íñigo Huguet) [2136122] - wifi: cfg80211: handle IBSS in channel switch (Íñigo Huguet) [2136122] - wifi: mac80211: properly skip link info driver update (Íñigo Huguet) [2136122] - wifi: mac80211: only accumulate airtime deficit for active clients (Íñigo Huguet) [2136122] - wifi: mac80211: add debugfs file to display per-phy AQL pending airtime (Íñigo Huguet) [2136122] - wifi: mac80211: add a per-PHY AQL limit to improve fairness (Íñigo Huguet) [2136122] - wifi: mac80211: keep recently active tx queues in scheduling list (Íñigo Huguet) [2136122] - wifi: mac80211: consider aql_tx_pending when checking airtime deficit (Íñigo Huguet) [2136122] - wifi: mac80211: make sta airtime deficit field s32 instead of s64 (Íñigo Huguet) [2136122] - wifi: mac80211: fix a kernel-doc complaint (Íñigo Huguet) [2136122] - wifi: cfg80211: remove redundant documentation (Íñigo Huguet) [2136122] - wifi: mac80211: sta_info: fix a missing kernel-doc struct element (Íñigo Huguet) [2136122] - wifi: mac80211: add a missing comma at kernel-doc markup (Íñigo Huguet) [2136122] - wifi: cfg80211: fix kernel-doc warnings all over the file (Íñigo Huguet) [2136122] - wifi: ieee80211: s1g action frames are not robust (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Add another Foxconn T99W175 (Íñigo Huguet) [2136122] - wifi: cfg80211: Allow P2P client interface to indicate port authorization (Íñigo Huguet) [2136122] - wifi: mac80211: do not wake queues on a vif that is being stopped (Íñigo Huguet) [2136122] - wifi: mac80211: check skb_shared in ieee80211_8023_xmit() (Íñigo Huguet) [2136122] - wifi: mac80211: add gfp_t parameter to ieeee80211_obss_color_collision_notify (Íñigo Huguet) [2136122] - bus: mhi: host: Move IRQ allocation to controller registration phase (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Add Cinterion MV31-W with new baseline (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Add support for Quectel EM120 FCCL modem (Íñigo Huguet) [2136122] - wifi: rtw89: disable invalid phy reports for all ICs (Íñigo Huguet) [2136122] - wifi: rtw89: pci: fix PCI doesn't reclaim TX BD properly (Íñigo Huguet) [2136122] - wifi: rtw89: fix long RX latency in low power mode (Íñigo Huguet) [2136122] - wifi: rtw89: drop invalid TX rate report of legacy rate (Íñigo Huguet) [2136122] - wifi: rtw89: add UNEXP debug mask to keep monitor messages unexpected to happen frequently (Íñigo Huguet) [2136122] - wifi: rtw89: enable VO TX AMPDU (Íñigo Huguet) [2136122] - wifi: rtw89: fix potential TX stuck (Íñigo Huguet) [2136122] - wifi: rtw89: support TDLS (Íñigo Huguet) [2136122] - wifi: rtw89: allocate BSSID CAM per TDLS peer (Íñigo Huguet) [2136122] - wifi: rtw89: separate BSSID CAM operations (Íñigo Huguet) [2136122] - wifi: rtw89: allocate address CAM and MAC ID to TDLS peer (Íñigo Huguet) [2136122] - cfg80211: Indicate MLO connection info in connect and roam callbacks (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: print the link id (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: support creating MLO-capable radios (Íñigo Huguet) [2136122] - wifi: nl80211: expose link ID for associated BSSes (Íñigo Huguet) [2136122] - wifi: nl80211: expose link information for interfaces (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: send a beacon per link (Íñigo Huguet) [2136122] - wifi: mac80211: set STA deflink addresses (Íñigo Huguet) [2136122] - wifi: mac80211: maintain link-sta hash table (Íñigo Huguet) [2136122] - wifi: mac80211: RCU-ify link STA pointers (Íñigo Huguet) [2136122] - wifi: mac80211: ethtool: use deflink for now (Íñigo Huguet) [2136122] - wifi: mac80211: move ieee80211_bssid_match() function (Íñigo Huguet) [2136122] - wifi: mac80211: return a beacon for a specific link (Íñigo Huguet) [2136122] - wifi: mac80211: pass the link id in start/stop ap (Íñigo Huguet) [2136122] - wifi: mac80211: use link in start/stop ap (Íñigo Huguet) [2136122] - wifi: mac80211: implement add/del interface link callbacks (Íñigo Huguet) [2136122] - wifi: cfg80211: add optional link add/remove callbacks (Íñigo Huguet) [2136122] - wifi: cfg80211: sort trace.h (Íñigo Huguet) [2136122] - wifi: mac80211: add sta link addition/removal (Íñigo Huguet) [2136122] - wifi: mac80211: add MLO link ID to TX frame metadata (Íñigo Huguet) [2136122] - wifi: mac80211: remove band from TX info in MLO (Íñigo Huguet) [2136122] - wifi: mac80211: add vif link addition/removal (Íñigo Huguet) [2136122] - wifi: nl80211: support MLO in auth/assoc (Íñigo Huguet) [2136122] - wifi: mac80211: ignore IEEE80211_CONF_CHANGE_SMPS in chanctx mode (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: split bss_info_changed to vif/link info_changed (Íñigo Huguet) [2136122] - wifi: cfg80211: simplify cfg80211_mlme_auth() prototype (Íñigo Huguet) [2136122] - wifi: ieee80211: add definitions for multi-link element (Íñigo Huguet) [2136122] - wifi: nl80211: refactor BSS lookup in nl80211_associate() (Íñigo Huguet) [2136122] - wifi: cfg80211: mlme: get BSS entry outside cfg80211_mlme_assoc() (Íñigo Huguet) [2136122] - wifi: mac80211: tx: simplify chanctx_conf handling (Íñigo Huguet) [2136122] - wifi: mac80211: status: look up band only where needed (Íñigo Huguet) [2136122] - wifi: mac80211: sort trace.h file (Íñigo Huguet) [2136122] - wifi: mac80211: correct link config data in tracing (Íñigo Huguet) [2136122] - wifi: mac80211: make ieee80211_he_cap_ie_to_sta_he_cap() MLO-aware (Íñigo Huguet) [2136122] - wifi: mac80211: make some SMPS code MLD-aware (Íñigo Huguet) [2136122] - wifi: mac80211: HT: make ieee80211_ht_cap_ie_to_sta_ht_cap() MLO-aware (Íñigo Huguet) [2136122] - wifi: mac80211: add link_id to eht.c code for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: add link_id to vht.c code for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: refactor some link setup code (Íñigo Huguet) [2136122] - wifi: mac80211: validate some driver features for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: use IEEE80211_MLD_MAX_NUM_LINKS (Íñigo Huguet) [2136122] - wifi: mac80211: refactor some sta_info link handling (Íñigo Huguet) [2136122] - wifi: mac80211: remove sta_info_tx_streams() (Íñigo Huguet) [2136122] - wifi: mac80211: make channel context code MLO-aware (Íñigo Huguet) [2136122] - wifi: mac80211: pass link ID where already present (Íñigo Huguet) [2136122] - wifi: mac80211: add per-link configuration pointer (Íñigo Huguet) [2136122] - wifi: mac80211: split bss_info_changed method (Íñigo Huguet) [2136122] - wifi: mac80211: reorg some iface data structs for MLD (Íñigo Huguet) [2136122] - wifi: mac80211: move interface config to new struct (Íñigo Huguet) [2136122] - wifi: mac80211: move some future per-link data to bss_conf (Íñigo Huguet) [2136122] - wifi: cfg80211: do some rework towards MLO link APIs (Íñigo Huguet) [2136122] - wifi: mac80211: reject WEP or pairwise keys with key ID > 3 (Íñigo Huguet) [2136122] - brcmfmac: Switch to appropriate helper to load EFI variable contents (Íñigo Huguet) [2136122] - iwlwifi: Switch to proper EFI variable store interface (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: Directly use ida_alloc()/free() (Íñigo Huguet) [2136122] - wifi: mac80211: refactor some key code (Íñigo Huguet) [2136122] - wifi: mac80211: remove cipher scheme support (Íñigo Huguet) [2136122] - wifi: nl80211: fix typo in comment (Íñigo Huguet) [2136122] - wifi: virt_wifi: fix typo in comment (Íñigo Huguet) [2136122] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_391.RULE (Íñigo Huguet) [2136122] - rtw88: 8821c: fix access const table of channel parameters (Íñigo Huguet) [2136122] - rtw89: add new state to CFO state machine for UL-OFDMA (Íñigo Huguet) [2136122] - rtw89: 8852c: add trigger frame counter (Íñigo Huguet) [2136122] - ieee80211: add trigger frame definition (Íñigo Huguet) [2136122] - ath10k: fix recently introduced checkpatch warning (Íñigo Huguet) [2136122] - wifi: rtw89: support MULTI_BSSID and correct BSSID mask of H2C (Íñigo Huguet) [2136122] - wifi: ray_cs: Drop useless status variable in parse_addr() (Íñigo Huguet) [2136122] - wifi: ray_cs: Utilize strnlen() in parse_addr() (Íñigo Huguet) [2136122] - wifi: rtw88: use %%*ph to print small buffer (Íñigo Huguet) [2136122] - ath11k: Fix warnings reported by checkpatch (Íñigo Huguet) [2136122] - ath11k: Fix LDPC config in set_bitrate_mask hook (Íñigo Huguet) [2136122] - wifi: mac80211: fix use-after-free in chanctx code (Íñigo Huguet) [2136122] - ath10k: fix regdomain info of iw reg set/get (Íñigo Huguet) [2136122] - ath11k: support avg signal in station dump (Íñigo Huguet) [2136122] - wifi: wilc1000: add IGTK support (Íñigo Huguet) [2136122] - wifi: wilc1000: add WPA3 SAE support (Íñigo Huguet) [2136122] - wifi: wilc1000: remove WEP security support (Íñigo Huguet) [2136122] - wifi: wilc1000: use correct sequence of RESET for chip Power-UP/Down (Íñigo Huguet) [2136122] - wifi: rtlwifi: fix error codes in rtl_debugfs_set_write_h2c() (Íñigo Huguet) [2136122] - wifi: rtw88: Fix Sparse warning for rtw8821c_hw_spec (Íñigo Huguet) [2136122] - wifi: rtw88: Fix Sparse warning for rtw8723d_hw_spec (Íñigo Huguet) [2136122] - wifi: rtw88: Fix Sparse warning for rtw8822c_hw_spec (Íñigo Huguet) [2136122] - wifi: rtw88: Fix sparse warning for rtw8822b_hw_spec (Íñigo Huguet) [2136122] - rtw88: fix null vif pointer when hw_scan fails (Íñigo Huguet) [2136122] - rtw89: sar: adjust and support SAR on 6GHz band (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: re-calibrate RX DCK once thermal changes a lot (Íñigo Huguet) [2136122] - rtw89: pci: handle hardware watchdog timeout interrupt status (Íñigo Huguet) [2136122] - rtw89: fix null vif pointer when hw_scan fails (Íñigo Huguet) [2136122] - rtw89: fix channel inconsistency during hw_scan (Íñigo Huguet) [2136122] - wifi: rtw88: add a work to correct atomic scheduling warning of ::set_tim (Íñigo Huguet) [2136122] - wifi: iwlwifi: pcie: rename CAUSE macro (Íñigo Huguet) [2136122] - wifi: brcmfmac: work around a GCC 12 -Warray-bounds warning (Íñigo Huguet) [2136122] - wifi: iwlwifi: use unsigned to silence a GCC 12 warning (Íñigo Huguet) [2136122] - wifi: rtlwifi: remove always-true condition pointed out by GCC 12 (Íñigo Huguet) [2136122] - wifi: ath9k: silence array-bounds warning on GCC 12 (Íñigo Huguet) [2136122] - ath9k: replace ternary operator with max() (Íñigo Huguet) [2136122] - ath11k: Init hw_params before setting up AHB resources (Íñigo Huguet) [2136122] - ath11k: Fix warning on variable 'sar' dereference before check (Íñigo Huguet) [2136122] - ath10k: fix misreported tx bandwidth for 160Mhz (Íñigo Huguet) [2136122] - ath10k: add encapsulation offloading support (Íñigo Huguet) [2136122] - ath10k: turn rawmode into frame_mode (Íñigo Huguet) [2136122] - ath10k: improve tx status reporting (Íñigo Huguet) [2136122] - ath10k: do not enforce interrupt trigger type (Íñigo Huguet) [2136122] - iwlwifi: mei: fix potential NULL-ptr deref (Íñigo Huguet) [2136122] - iwlwifi: mei: clear the sap data header before sending (Íñigo Huguet) [2136122] - iwlwifi: mvm: remove vif_count (Íñigo Huguet) [2136122] - iwlwifi: mvm: always tell the firmware to accept MCAST frames in BSS (Íñigo Huguet) [2136122] - iwlwifi: mvm: add OTP info in case of init failure (Íñigo Huguet) [2136122] - iwlwifi: mvm: fix assert 1F04 upon reconfig (Íñigo Huguet) [2136122] - iwlwifi: mvm: clean up authorized condition (Íñigo Huguet) [2136122] - iwlwifi: mvm: use NULL instead of ERR_PTR when parsing wowlan status (Íñigo Huguet) [2136122] - iwlwifi: pcie: simplify MSI-X cause mapping (Íñigo Huguet) [2136122] - ath11k: update missing MU-MIMO and OFDMA stats (Íñigo Huguet) [2136122] - rtw89: pci: only mask out INT indicator register for disable interrupt v1 (Íñigo Huguet) [2136122] - rtw89: convert rtw89_band to nl80211_band precisely (Íñigo Huguet) [2136122] - rtw89: 8852c: update txpwr tables to HALRF_027_00_052 (Íñigo Huguet) [2136122] - rtw89: cfo: check mac_id to avoid out-of-bounds (Íñigo Huguet) [2136122] - rtw89: 8852c: set TX antenna path (Íñigo Huguet) [2136122] - rtw89: add ieee80211::sta_rc_update ops (Íñigo Huguet) [2136122] - wireless: Fix Makefile to be in alphabetical order (Íñigo Huguet) [2136122] - mac80211: refactor freeing the next_beacon (Íñigo Huguet) [2136122] - cfg80211: fix kernel-doc for cfg80211_beacon_data (Íñigo Huguet) [2136122] - mac80211: minstrel_ht: support ieee80211_rate_status (Íñigo Huguet) [2136122] - mac80211: extend current rate control tx status API (Íñigo Huguet) [2136122] - mac80211: minstrel_ht: fill all requested rates (Íñigo Huguet) [2136122] - mac80211: disable BSS color collision detection in case of no free colors (Íñigo Huguet) [2136122] - nl80211: Parse NL80211_ATTR_HE_BSS_COLOR as a part of nl80211_parse_beacon (Íñigo Huguet) [2136122] - mac80211: mlme: track assoc_bss/associated separately (Íñigo Huguet) [2136122] - mac80211: remove useless bssid copy (Íñigo Huguet) [2136122] - mac80211: remove unused argument to ieee80211_sta_connection_lost() (Íñigo Huguet) [2136122] - mac80211: mlme: use local SSID copy (Íñigo Huguet) [2136122] - mac80211: use ifmgd->bssid instead of ifmgd->associated->bssid (Íñigo Huguet) [2136122] - mac80211: mlme: move in RSSI reporting code (Íñigo Huguet) [2136122] - mac80211: remove stray multi_sta_back_32bit docs (Íñigo Huguet) [2136122] - mac80211: fix typo in documentation (Íñigo Huguet) [2136122] - mac80211: unify CCMP/GCMP AAD construction (Íñigo Huguet) [2136122] - mt76: mt7921: add ipv6 NS offload support (Íñigo Huguet) [2136122] - mt76: add gfp to mt76_mcu_msg_alloc signature (Íñigo Huguet) [2136122] - mt76: mt7915: add more statistics from fw_util debugfs knobs (Íñigo Huguet) [2136122] - mt76: mt7915: improve error handling for fw_debug knobs (Íñigo Huguet) [2136122] - mt76: mt7615/mt7915: do reset_work with mt76's work queue (Íñigo Huguet) [2136122] - mt76: mt7915: add support for 6G in-band discovery (Íñigo Huguet) [2136122] - mt76: mt7915: add Wireless Ethernet Dispatch support (Íñigo Huguet) [2136122] - net: ethernet: mtk_eth_soc: add support for Wireless Ethernet Dispatch (WED) (Íñigo Huguet) [2136122] - mt76: make number of tokens configurable dynamically (Íñigo Huguet) [2136122] - mt76: add support for overriding the device used for DMA mapping (Íñigo Huguet) [2136122] - mt76: dma: add wrapper macro for accessing queue registers (Íñigo Huguet) [2136122] - mt76: mt7915: move MT_INT_MASK_CSR to init.c (Íñigo Huguet) [2136122] - mt76: mt7915: introduce mt7915_mac_severe_check() (Íñigo Huguet) [2136122] - mt76: mt7915: rework SER debugfs knob (Íñigo Huguet) [2136122] - mt76: mt7915: limit minimum twt duration (Íñigo Huguet) [2136122] - mt76: mt7915: reject duplicated twt flows (Íñigo Huguet) [2136122] - mt76: mt7915: update mt7986 patch in mt7986_wmac_adie_patch_7976() (Íñigo Huguet) [2136122] - mt76: connac: use skb_put_data instead of open coding (Íñigo Huguet) [2136122] - mt76: mt7915: configure soc clocks in mt7986_wmac_init (Íñigo Huguet) [2136122] - mt76: fix encap offload ethernet type check (Íñigo Huguet) [2136122] - mt76: mt7915: disable RX_HDR_TRANS_SHORT (Íñigo Huguet) [2136122] - mt76: mt7603: move spin_lock_bh() to spin_lock() (Íñigo Huguet) [2136122] - mt76: mt7915: add debugfs knob for RF registers read/write (Íñigo Huguet) [2136122] - mt76: mt7921: make read-only array ppet16_ppet8_ru3_ru0 static const (Íñigo Huguet) [2136122] - mt76: mt7915: make read-only array ppet16_ppet8_ru3_ru0 static const (Íñigo Huguet) [2136122] - mt76: mt7915: remove SCS feature (Íñigo Huguet) [2136122] - mt76: fix rx reordering with non explicit / psmp ack policy (Íñigo Huguet) [2136122] - mt76: mt7921: Add AP mode support (Íñigo Huguet) [2136122] - mt76: mt7915: use 0xff to initialize bitrate_mask in mt7915_init_bitrate_mask (Íñigo Huguet) [2136122] - mt76: mt7915: always call mt7915_wfsys_reset() during init (Íñigo Huguet) [2136122] - mt76: mt7921: rely on mt76_dev rxfilter in mt7921_configure_filter (Íñigo Huguet) [2136122] - mt76: mt7921u: add suspend/resume support (Íñigo Huguet) [2136122] - mt76: fix MBSS index condition in DBDC mode (Íñigo Huguet) [2136122] - mt76: fix use-after-free by removing a non-RCU wcid pointer (Íñigo Huguet) [2136122] - mt76: mt7921: accept rx frames with non-standard VHT MCS10-11 (Íñigo Huguet) [2136122] - mt76: mt7915: accept rx frames with non-standard VHT MCS10-11 (Íñigo Huguet) [2136122] - mt76: dma: use kzalloc instead of devm_kzalloc for txwi (Íñigo Huguet) [2136122] - mt76: reduce tx queue lock hold time (Íñigo Huguet) [2136122] - mt76: mt7915: rework hardware/phy initialization (Íñigo Huguet) [2136122] - rtlwifi: Use pr_warn instead of WARN_ONCE (Íñigo Huguet) [2136122] - rtw89: add debug entry to dump BSSID CAM (Íñigo Huguet) [2136122] - rtw89: add debug select to dump MAC pages 0x30 to 0x33 (Íñigo Huguet) [2136122] - rtw89: correct CCA control (Íñigo Huguet) [2136122] - rtw89: correct setting of RX MPDU length (Íñigo Huguet) [2136122] - rtw89: 8852c: add settings to decrease the effect of DC (Íñigo Huguet) [2136122] - brcmfmac: allow setting wlan MAC address using device tree (Íñigo Huguet) [2136122] - wilc1000: add valid vmm_entry check before fetching from TX queue (Íñigo Huguet) [2136122] - wilc1000: use 'u64' datatype for cookie variable (Íñigo Huguet) [2136122] - wilc1000: fix crash observed in AP mode with cfg80211_register_netdevice() (Íñigo Huguet) [2136122] - wilc1000: use fixed function base register value to access SDIO_FBR_ENABLE_CSA (Íñigo Huguet) [2136122] - wilc1000: increase firmware version array size (Íñigo Huguet) [2136122] - ath11k: remove redundant assignment to variables vht_mcs and he_mcs (Íñigo Huguet) [2136122] - ath11k: Reuse the available memory after firmware reload (Íñigo Huguet) [2136122] - ath11k: Designating channel frequency when sending management frames (Íñigo Huguet) [2136122] - ath11k: Implement remain-on-channel support (Íñigo Huguet) [2136122] - ath11k: Handle keepalive during WoWLAN suspend and resume (Íñigo Huguet) [2136122] - bus: mhi: host: Add support for Foxconn T99W373 and T99W368 (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: add Telit FN990 (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: add Telit FN980 v1 hardware revision (Íñigo Huguet) [2136122] - bus: mhi: replace snprintf with sysfs_emit (Íñigo Huguet) [2136122] - rtw88: adjust adaptivity option to 1 (Íñigo Huguet) [2136122] - ath11k: Fix RX de-fragmentation issue on WCN6750 (Íñigo Huguet) [2136122] - ath10k: support bus and device specific API 1 BDF selection (Íñigo Huguet) [2136122] - ath10k: mac: fix too long lines (Íñigo Huguet) [2136122] - ath11k: mac: fix too long line (Íñigo Huguet) [2136122] - mac80211: support disabling EHT mode (Íñigo Huguet) [2136122] - cfg80211: support disabling EHT mode (Íñigo Huguet) [2136122] - mac80211: upgrade passive scan to active scan on DFS channels after beacon rx (Íñigo Huguet) [2136122] - mac80211: tx: delete a redundant if statement in ieee80211_check_fast_xmit() (Íñigo Huguet) [2136122] - mac80211: consider Order bit to fill CCMP AAD (Íñigo Huguet) [2136122] - cfg80211: declare MODULE_FIRMWARE for regulatory.db (Íñigo Huguet) [2136122] - nl80211: rework internal_flags usage (Íñigo Huguet) [2136122] - nl80211: don't hold RTNL in color change request (Íñigo Huguet) [2136122] - cfg80211: remove cfg80211_get_chan_state() (Íñigo Huguet) [2136122] - ath11k: Add support for targets without trustzone (Íñigo Huguet) [2136122] - rtw89: 8852c: add 8852ce to Makefile and Kconfig (Íñigo Huguet) [2136122] - rtw89: 8852c: fix warning of FIELD_PREP() mask type (Íñigo Huguet) [2136122] - rtw89: 8852c: correct register definitions used by 8852c (Íñigo Huguet) [2136122] - rtw89: correct AID settings of beamformee (Íñigo Huguet) [2136122] - rtw89: ps: fine tune polling interval while changing low power mode (Íñigo Huguet) [2136122] - rtw89: 8852c: add basic and remaining chip_info (Íñigo Huguet) [2136122] - rtw89: 8852c: add chip_ops::bb_ctrl_btc_preagc (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: get calibrated channels to notify firmware (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add DPK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add IQK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add RX DCK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add RCK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add TSSI (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add LCK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add DACK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add RFK tables (Íñigo Huguet) [2136122] - ath11k: Add support for WCN6750 device (Íñigo Huguet) [2136122] - ath11k: Datapath changes to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: HAL changes to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: Add QMI changes for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Fetch device information via QMI for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Add register access logic for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Add HW params for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Move parameters in bus_params to hw_params (Íñigo Huguet) [2136122] - ath11k: change management tx queue to avoid connection timed out (Íñigo Huguet) [2136122] - ath11k: fix warning of not found station for bssid in message (Íñigo Huguet) [2136122] - rtw88: fix hw scan may cause disconnect issue (Íñigo Huguet) [2136122] - rtw88: fix not disabling beacon filter after disconnection (Íñigo Huguet) [2136122] - rtw88: add HT MPDU density value for each chip (Íñigo Huguet) [2136122] - ath11k: Don't use GFP_KERNEL in atomic context (Íñigo Huguet) [2136122] - ath10k: skip ath10k_halt during suspend for driver state RESTARTING (Íñigo Huguet) [2136122] - rtw89: remove unneeded semicolon (Íñigo Huguet) [2136122] - ath10k: simplify if-if to if-else (Íñigo Huguet) [2136122] - ath11k: read country code from SMBIOS for WCN6855/QCA6390 (Íñigo Huguet) [2136122] - ath11k: disable spectral scan during spectral deinit (Íñigo Huguet) [2136122] - ath11k: Update WBM idle ring HP after FW mode on (Íñigo Huguet) [2136122] - ath11k: WMI changes to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: Do not put HW in DBS mode for WCN6750 (Íñigo Huguet) [2136122] - rtlwifi: btcoex: fix if == else warning (Íñigo Huguet) [2136122] - rtw88: use the correct bit in the REG_HCI_OPT_CTRL register (Íñigo Huguet) [2136122] - mwifiex: Add SD8997 SDIO-UART firmware (Íñigo Huguet) [2136122] - mwifiex: Select firmware based on strapping (Íñigo Huguet) [2136122] - rtw89: 8852c: add chip_ops related to BTC (Íñigo Huguet) [2136122] - rtw89: 8852c: fill freq and band of RX status by PPDU report (Íñigo Huguet) [2136122] - rtw89: 8852c: implement chip_ops::get_thermal (Íñigo Huguet) [2136122] - rtw89: 8852c: implement chip_ops related to TX power (Íñigo Huguet) [2136122] - rtw89: 8852c: configure default BB TX/RX path (Íñigo Huguet) [2136122] - rtw89: add RF H2C to notify firmware (Íñigo Huguet) [2136122] - rtw89: don't flush hci queues and send h2c if power is off (Íñigo Huguet) [2136122] - rtw89: pci: allow to process RPP prior to TX BD (Íñigo Huguet) [2136122] - rtw89: ps: access TX/RX rings via another registers in low power mode (Íñigo Huguet) [2136122] - rtw89: ser: re-enable interrupt in threadfn if under_recovery (Íñigo Huguet) [2136122] - rtw89: pci: add a separate interrupt handler for low power mode (Íñigo Huguet) [2136122] - rtw89: pci: reclaim TX BD only if it really need (Íñigo Huguet) [2136122] - rtw89: pci: add variant RPWM/CPWM to enter low power mode (Íñigo Huguet) [2136122] - rtw89: pci: add variant IMR/ISR and configure functions (Íñigo Huguet) [2136122] - bus: mhi: host: Add support for Cinterion MV32-WA/MV32-WB (Íñigo Huguet) [2136122] - bus: mhi: host: Optimize and update MMIO register write method (Íñigo Huguet) [2136122] - bus: mhi: host: Bail on writing register fields if read fails (Íñigo Huguet) [2136122] - bus: mhi: host: Wait for ready state after reset (Íñigo Huguet) [2136122] - bus: mhi: host: Add soc_reset sysfs (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Sort mhi_pci_id_table based on the PID (Íñigo Huguet) [2136122] - bus: mhi: host: Use cached values for calculating the shared write pointer (Íñigo Huguet) [2136122] - rtw88: pci: 8821c: Disable 21ce completion timeout (Íñigo Huguet) [2136122] - rtw88: fix uninitialized 'tim_offset' warning (Íñigo Huguet) [2136122] - rtw89: 8852c: add help function of set channel (Íñigo Huguet) [2136122] - rtw89: 8852c: add set channel of BB part (Íñigo Huguet) [2136122] - rtw89: 8852c: set channel of MAC part (Íñigo Huguet) [2136122] - rtw89: 8852c: add set channel function of RF part (Íñigo Huguet) [2136122] - rtw89: 8852c: add HFC parameters (Íñigo Huguet) [2136122] - rtw89: 8852c: add efuse gain offset parser (Íñigo Huguet) [2136122] - rtw89: 8852c: support bb gain info (Íñigo Huguet) [2136122] - rtw89: 8852c: add BB initial and reset functions (Íñigo Huguet) [2136122] - rtw89: 8852c: phy: configure TSSI bandedge (Íñigo Huguet) [2136122] - rtw89: 8852c: add TX power track tables (Íñigo Huguet) [2136122] - rtw89: 8852c: add TX power by rate and limit tables (Íñigo Huguet) [2136122] - rtw89: 8852c: add BB and RF parameters tables (Íñigo Huguet) [2136122] - ath9k: fix QCA9561 PA bias level (Íñigo Huguet) [2136122] - ath9k_htc: fix potential out of bounds access with invalid rxstatus->rs_keyix (Íñigo Huguet) [2136122] - ath11k: Fix build warning without CONFIG_IPV6 (Íñigo Huguet) [2136122] - ath11k: fix missing unlock on error in ath11k_wow_op_resume() (Íñigo Huguet) [2136122] - ath11k: Add support for SAR (Íñigo Huguet) [2136122] - ath11k: add support for extended wmi service bit (Íñigo Huguet) [2136122] - rtw89: pci: correct return value handling of rtw89_write16_mdio_mask() (Íñigo Huguet) [2136122] - rtw89: configure security CAM for V1 chip (Íñigo Huguet) [2136122] - rtw89: add new H2C to configure security CAM via DCTL for V1 chip (Íñigo Huguet) [2136122] - rtw89: extend H2C of CMAC control info (Íñigo Huguet) [2136122] - rtlwifi: rtl8192cu: Fix spelling mistake "writting" -> "writing" (Íñigo Huguet) [2136122] - rtlwifi: Fix spelling mistake "cacluated" -> "calculated" (Íñigo Huguet) [2136122] - rtw88: 8821ce: Disable PCIe ASPM L1 for 8821CE using chip ID (Íñigo Huguet) [2136122] - rtw88: 8821ce: add support for device ID 0xb821 (Íñigo Huguet) [2136122] - rtw88: 8821c: fix debugfs rssi value (Íñigo Huguet) [2136122] - rtw88: do PHY calibration while starting AP (Íñigo Huguet) [2136122] - rtw88: 8821c: Enable TX report for management frames (Íñigo Huguet) [2136122] - rtw88: Add update beacon flow for AP mode (Íñigo Huguet) [2136122] - rtw88: fix incorrect frequency reported (Íñigo Huguet) [2136122] - rtw88: add ieee80211:sta_rc_update ops (Íñigo Huguet) [2136122] - rtw89: rtw89_ser: add const to struct state_ent and event_ent (Íñigo Huguet) [2136122] - rtw89: 8852c: add 8852c specific BT-coexistence initial function (Íñigo Huguet) [2136122] - rtw89: Skip useless dig gain and igi related settings for 8852C (Íñigo Huguet) [2136122] - rtw89: 8852c: disable firmware watchdog if CPU disabled (Íñigo Huguet) [2136122] - rtw89: reset BA CAM (Íñigo Huguet) [2136122] - rtw89: change station scheduler setting for hardware TX mode (Íñigo Huguet) [2136122] - rtw89: ser: configure top ERR IMR for firmware to recover (Íñigo Huguet) [2136122] - rtw89: ser: configure C-MAC interrupt mask (Íñigo Huguet) [2136122] - rtw89: ser: configure D-MAC interrupt mask (Íñigo Huguet) [2136122] - rtw89: ser: fix unannotated fall-through (Íñigo Huguet) [2136122] - ath9k: Remove unnecessary print function dev_err() (Íñigo Huguet) [2136122] - ath11k: Fix spelling mistake "reseting" -> "resetting" (Íñigo Huguet) [2136122] - ath9k: fix ath_get_rate_txpower() to respect the rate list end tag (Íñigo Huguet) [2136122] - mac80211: prepare sta handling for MLO support (Íñigo Huguet) [2136122] - mac80211: minstrel_ht: fix where rate stats are stored (fixes debugfs output) (Íñigo Huguet) [2136122] - nl80211: show SSID for P2P_GO interfaces (Íñigo Huguet) [2136122] - mac80211: introduce BSS color collision detection (Íñigo Huguet) [2136122] - mac80211: Improve confusing comment around tx_info clearing (Íñigo Huguet) [2136122] - mac80211: protect ieee80211_assign_beacon with next_beacon check (Íñigo Huguet) [2136122] - rtl8xxxu: fill up txrate info for gen1 chips (Íñigo Huguet) [2136122] - rtl8xxxu: feed antenna information for cfg80211 (Íñigo Huguet) [2136122] - rtlwifi: replace usage of found with dedicated list iterator variable (Íñigo Huguet) [2136122] - brcmfmac: Avoid keeping power to SDIO card unless WOWL is used (Íñigo Huguet) [2136122] - mwifiex: add mutex lock for call in mwifiex_dfs_chan_sw_work_queue (Íñigo Huguet) [2136122] - rtw89: fix misconfiguration on hw_scan channel time (Íñigo Huguet) [2136122] - rtw89: coex: Add case for scan offload (Íñigo Huguet) [2136122] - rtw89: packet offload handler to avoid warning (Íñigo Huguet) [2136122] - rtw89: change idle mode condition during hw_scan (Íñigo Huguet) [2136122] - rtw89: update ptcl_init (Íñigo Huguet) [2136122] - rtw89: update TMAC parameters (Íñigo Huguet) [2136122] - rtw89: initialize NAV control (Íñigo Huguet) [2136122] - rtw89: update scheduler setting (Íñigo Huguet) [2136122] - rtw89: 8852c: update security engine setting (Íñigo Huguet) [2136122] - rtw89: Turn on CR protection of CMAC (Íñigo Huguet) [2136122] - rtw89: add chip_ops::{enable,disable}_bb_rf to support v1 chip (Íñigo Huguet) [2136122] - rtw89: update STA scheduler parameters for v1 chip (Íñigo Huguet) [2136122] - rtw89: extend dmac_pre_init to support 8852C (Íñigo Huguet) [2136122] - rtw89: pci: add L1 settings (Íñigo Huguet) [2136122] - rtw89: pci: add deglitch setting (Íñigo Huguet) [2136122] - rtw89: pci: set address info registers depends on chips (Íñigo Huguet) [2136122] - rtw89: pci: add LTR setting for v1 chip (Íñigo Huguet) [2136122] - rtw89: pci: refine pci pre_init function (Íñigo Huguet) [2136122] - rtw89: pci: add pci attributes to configure operating mode (Íñigo Huguet) [2136122] - rtw89: pci: add register definition to rtw89_pci_info to generalize pci code (Íñigo Huguet) [2136122] - ath11k: mhi: remove unnecessary goto from ath11k_mhi_start() (Íñigo Huguet) [2136122] - ath11k: mhi: add error handling for suspend and resume (Íñigo Huguet) [2136122] - ath11k: mhi: remove state machine (Íñigo Huguet) [2136122] - rtw89: handle potential uninitialized variable (Íñigo Huguet) [2136122] - rtw89: read RX bandwidth from v1 type RX descriptor (Íñigo Huguet) [2136122] - rtw89: support hardware generate security header (Íñigo Huguet) [2136122] - rtw89: support variant of fill_txdesc (Íñigo Huguet) [2136122] - rtw89: pci: support variant of fill_txaddr_info (Íñigo Huguet) [2136122] - rtw89: add chip_info::h2c_desc_size/fill_txdesc_fwcmd to support new chips (Íñigo Huguet) [2136122] - rtw89: disabled IGI configuration for unsupported hardware (Íñigo Huguet) [2136122] - rtw89: packed IGI configuration flow into function for DIG feature (Íñigo Huguet) [2136122] - rtw89: regd: update mapping table to R59-R32 (Íñigo Huguet) [2136122] - rtw89: regd: consider 6G band (Íñigo Huguet) [2136122] - rtw89: 8852a: update txpwr tables to HALRF_027_00_038 (Íñigo Huguet) [2136122] - rtw89: add UK to regulation type (Íñigo Huguet) [2136122] - rtw89: reduce export symbol number of mac size and quota (Íñigo Huguet) [2136122] - rtw89: support FW crash simulation (Íñigo Huguet) [2136122] - rtw89: reconstruct fw feature (Íñigo Huguet) [2136122] - rtw89: ser: dump fw backtrace while L2 reset (Íñigo Huguet) [2136122] - rtw89: ser: dump memory for fw payload engine while L2 reset (Íñigo Huguet) [2136122] - rtw89: ser: control hci interrupts on/off by state (Íñigo Huguet) [2136122] - rtw89: mac: correct decision on error status by scenario (Íñigo Huguet) [2136122] - rtw89: mac: move table of mem base addr to common (Íñigo Huguet) [2136122] - rtw89: ser: fix CAM leaks occurring in L2 reset (Íñigo Huguet) [2136122] - rtw88: change idle mode condition during hw_scan (Íñigo Huguet) [2136122] - iwlwifi: mei: Replace zero-length array with flexible-array member (Íñigo Huguet) [2136122] - iwlwifi: fw: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2136122] - ath11k: fix driver initialization failure with WoW unsupported hw (Íñigo Huguet) [2136122] - ath11k: Remove unnecessary delay in ath11k_core_suspend (Íñigo Huguet) [2136122] - ath11k: Change max no of active probe SSID and BSSID to fw capability (Íñigo Huguet) [2136122] - ath11k: Remove core PCI references from PCI common code (Íñigo Huguet) [2136122] - ath11k: Refactor MSI logic to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: Choose MSI config based on HW revision (Íñigo Huguet) [2136122] - ath11k: Refactor PCI code to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: PCI changes to support WCN6750 (Íñigo Huguet) [2136122] - bus: mhi: ep: Add uevent support for module autoloading (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for suspending and resuming channels (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for queueing SKBs to the host (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for processing channel rings (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for reading from the host (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for processing command rings (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for handling SYS_ERR condition (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for handling MHI_RESET (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for powering down the MHI endpoint stack (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for powering up the MHI endpoint stack (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for processing MHI endpoint interrupts (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for managing MHI state machine (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for sending events to the host (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for ring management (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for managing MMIO registers (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for creating and destroying MHI EP devices (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for registering MHI endpoint client drivers (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for registering MHI endpoint controllers (Íñigo Huguet) [2136122] - ath9k: make is2ghz consistent in ar9003_eeprom (Íñigo Huguet) [2136122] - ath10k: add support for MSDU IDs for USB devices (Íñigo Huguet) [2136122] - ath11k: add support to search regdb data in board-2.bin for WCN6855 (Íñigo Huguet) [2136122] - ath11k: store and send country code to firmware after recovery (Íñigo Huguet) [2136122] - ath9k: add functions to get paprd rate mask (Íñigo Huguet) [2136122] - ath9k: refactor ar9003_hw_spur_mitigate_ofdm (Íñigo Huguet) [2136122] - ath9k: fix ar9003_get_eepmisc (Íñigo Huguet) [2136122] - ath9k: use AR9300_MAX_CHAINS when appropriate (Íñigo Huguet) [2136122] - ath9k: split set11nRateFlags and set11nChainSel (Íñigo Huguet) [2136122] - ath9k: make ATH_SREV macros more consistent (Íñigo Huguet) [2136122] - ath11k: Add peer rhash table support (Íñigo Huguet) [2136122] - ath11k: add read variant from SMBIOS for download board data (Íñigo Huguet) [2136122] - ath11k: add fallback board name without variant while searching board-2.bin (Íñigo Huguet) [2136122] - ath11k: enable PLATFORM_CAP_PCIE_GLOBAL_RESET QMI host capability (Íñigo Huguet) [2136122] - ath11k: Add hw-restart option to simulate_fw_crash (Íñigo Huguet) [2136122] - ath11k: add synchronization operation between reconfigure of mac80211 and ath11k_base (Íñigo Huguet) [2136122] - ath11k: add support for device recovery for QCA6390/WCN6855 (Íñigo Huguet) [2136122] - ath11k: disable regdb support for QCA6390 (Íñigo Huguet) [2136122] - ath11k: remove unused ATH11K_BD_IE_BOARD_EXT (Íñigo Huguet) [2136122] - ath11k: change fw build id format in driver init log (Íñigo Huguet) [2136122] - ath11k: Refactor the peer delete (Íñigo Huguet) [2136122] - ath10k: Use of_device_get_match_data() helper (Íñigo Huguet) [2136122] - ath10k: Trigger sta disconnect on hardware restart (Íñigo Huguet) [2136122] - ath9k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2136122] - ath11k: support GTK rekey offload (Íñigo Huguet) [2136122] - ath11k: support ARP and NS offload (Íñigo Huguet) [2136122] - ath11k: purge rx pktlog when entering WoW (Íñigo Huguet) [2136122] - ath11k: implement hardware data filter (Íñigo Huguet) [2136122] - ath11k: Add WoW net-detect functionality (Íñigo Huguet) [2136122] - ath11k: Add basic WoW functionalities (Íñigo Huguet) [2136122] Resolves: rhbz#2154283, rhbz#2104470, rhbz#2138389, rhbz#2136122, rhbz#2139482 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-23 13:47:27 +00:00
# CONFIG_MHI_BUS_EP is not set
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_MHI_BUS=m
CONFIG_MHI_BUS_PCI_GENERIC=m
CONFIG_MHI_NET=m
CONFIG_MHI_WWAN_CTRL=m
kernel-5.14.0-345.el9 * Tue Jul 25 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-345.el9] - i2c: imx: Only DMA messages with I2C_M_DMA_SAFE flag set (Steve Best) [2184312] - i2c: imx: use devm_platform_get_and_ioremap_resource() (Steve Best) [2184312] - i2c: imx: If pm_runtime_get_sync() returned 1 device access is possible (Steve Best) [2184312] - i2c: imx: Make sure to unregister adapter on remove() (Steve Best) [2184312] - clk: imx: Remove the snvs clock (Steve Best) [2184094] - clk: imx: add mcore_booted module paratemter (Steve Best) [2184094] - clk: imx8mq: add 27m phy pll ref clock (Steve Best) [2184094] - clk: imx8m: fix clock tree update of TF-A managed clocks (Steve Best) [2184094] - Documentation: gpio: Remove text about ARCH_NR_GPIOS (Shaoqin Huang) [2183344] - dt-bindings: timestamp: Deprecate nvidia,slices property (Shaoqin Huang) [2183344] - gpiolib: fix allocation of mixed dynamic/static GPIOs (Shaoqin Huang) [2183344] - tools: gpio: fix debounce_period_us output of lsgpio (Shaoqin Huang) [2183344] - hte: Use device_match_of_node() (Shaoqin Huang) [2183344] - hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() (Shaoqin Huang) [2183344] - hte: tegra-194: Use proper includes (Shaoqin Huang) [2183344] - gpio: tegra186: Add Tegra234 hte support (Shaoqin Huang) [2183344] - hte: handle nvidia,gpio-controller property (Shaoqin Huang) [2183344] - hte: Deprecate nvidia,slices property (Shaoqin Huang) [2183344] - hte: Add Tegra234 provider (Shaoqin Huang) [2183344] - dt-bindings: timestamp: Add Tegra234 support (Shaoqin Huang) [2183344] - hte: Use of_property_present() for testing DT property presence (Shaoqin Huang) [2183344] - gpio: gpiolib: Simplify gpiochip_add_data_with_key() fwnode (Shaoqin Huang) [2183344] - gpiolib: Add gpiochip_set_data() helper (Shaoqin Huang) [2183344] - gpiolib: Move gpiochip_get_data() higher in the code (Shaoqin Huang) [2183344] - gpiolib: Check array_info for NULL only once in gpiod_get_array() (Shaoqin Huang) [2183344] - gpiolib: Replace open coded krealloc() (Shaoqin Huang) [2183344] - gpio: mm-lantiq: Fix typo in the newly added header filename (Shaoqin Huang) [2183344] - gpiolib: acpi: use the fwnode in acpi_gpiochip_find() (Shaoqin Huang) [2183344] - sh: mach-x3proto: Add missing #include <linux/gpio/driver.h> (Shaoqin Huang) [2183344] - gpiolib: acpi: Move ACPI device NULL check to acpi_get_driver_gpio_data() (Shaoqin Huang) [2183344] - powerpc/40x: Add missing select OF_GPIO_MM_GPIOCHIP (Shaoqin Huang) [2183344] - gpiolib: Use IRQ hardware number getter instead of direct access (Shaoqin Huang) [2183344] - gpiolib: Remove duplicative check in gpiod_find_and_request() (Shaoqin Huang) [2183344] - gpiolib: Move gpiodevice_*() to gpiodev namespace (Shaoqin Huang) [2183344] - gpio: Use of_property_read_bool() for boolean properties (Shaoqin Huang) [2183344] - gpiolib: Get rid of devprop prefix in one function (Shaoqin Huang) [2183344] - gpio: sim: Deactivate device in reversed order (Shaoqin Huang) [2183344] - mips: ar7: include linux/gpio/driver.h (Shaoqin Huang) [2183344] - gpiolib: Get rid of gpio_bus_match() forward declaration (Shaoqin Huang) [2183344] - gpiolib: Access device's fwnode via dev_fwnode() (Shaoqin Huang) [2183344] - gpiolib: Clean up headers (Shaoqin Huang) [2183344] - gpiolib: Group forward declarations in consumer.h (Shaoqin Huang) [2183344] - gpiolib: Deduplicate forward declarations in consumer.h (Shaoqin Huang) [2183344] - gpiolib: Drop unused forward declaration from driver.h (Shaoqin Huang) [2183344] - gpio: regmap: Add missing header(s) (Shaoqin Huang) [2183344] - gpiolib: split of_mm_gpio_chip out of linux/of_gpio.h (Shaoqin Huang) [2183344] - gpiolib: remove legacy gpio_export() (Shaoqin Huang) [2183344] - gpiolib: remove gpio_set_debounce() (Shaoqin Huang) [2183344] - gpiolib: remove asm-generic/gpio.h (Shaoqin Huang) [2183344] - gpiolib: coldfire: remove custom asm/gpio.h (Shaoqin Huang) [2183344] - hte: tegra: fix 'struct of_device_id' build error (Shaoqin Huang) [2183344] - gpiolib: Make the legacy <linux/gpio.h> consumer-only (Shaoqin Huang) [2183344] - gpio: GPIO_REGMAP: select REGMAP instead of depending on it (Shaoqin Huang) [2183344] - kbuild, gpio: gpio-aspeed-sgpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344] - kbuild, gpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344] - gpio: sim: fix a memory leak (Shaoqin Huang) [2183344] - gpio: sim: Use %%pfwP specifier instead of calling fwnode API directly (Shaoqin Huang) [2183344] - gpiolib: Clear the gpio_device's fwnode initialized flag before adding (Shaoqin Huang) [2183344] - gpio: tegra186: remove unneeded loop in tegra186_gpio_init_route_mapping() (Shaoqin Huang) [2183344] - gpiolib: of: Move enum of_gpio_flags to its only user (Shaoqin Huang) [2183344] - gpio: Get rid of gpio_to_chip() (Shaoqin Huang) [2183344] - gpio: omap: use dynamic allocation of base (Shaoqin Huang) [2183344] - gpiolib: Remove unused of_mm_gpiochip_add() (Shaoqin Huang) [2183344] - gpiolib: Do not mention legacy API in the code (Shaoqin Huang) [2183344] - gpio: Remove unused and obsoleted irq_to_gpio() (Shaoqin Huang) [2183344] - gpio: regmap: use new regmap_might_sleep() (Shaoqin Huang) [2183344] - gpio: Remove unused and obsoleted gpio_export_link() (Shaoqin Huang) [2183344] - gpiolib: sort header inclusion alphabetically (Shaoqin Huang) [2183344] - gpiolib: Get rid of not used of_node member (Shaoqin Huang) [2183344] - gpiolib: Introduce gpio_device_get() and gpio_device_put() (Shaoqin Huang) [2183344] - gpiolib: of: remove [devm_]gpiod_get_from_of_node() APIs (Shaoqin Huang) [2183344] - gpiolib: of: remove of_get_gpio[_flags]() and of_get_named_gpio_flags() (Shaoqin Huang) [2183344] - gpiolib: of: remove obsolete comment for of_gpio_get_count() (Shaoqin Huang) [2183344] - gpiolib: of: stop exporting of_gpio_named_count() (Shaoqin Huang) [2183344] - gpiolib: of: remove of_gpio_count() (Shaoqin Huang) [2183344] - gpio: regmap: Always set gpio_chip get_direction (Shaoqin Huang) [2183344] - gpiolib: use irq_domain_alloc_irqs() (Shaoqin Huang) [2183344] - tools: gpio: fix -c option of gpio-event-mon (Shaoqin Huang) [2183344] - Revert "gpiolib: of: Introduce hook for missing gpio-ranges" (Shaoqin Huang) [2183344] - pinctrl: bcm2835: Switch to use ->add_pin_ranges() (Shaoqin Huang) [2183344] - gpiolib: Check "gpio-ranges" before calling ->add_pin_ranges() (Shaoqin Huang) [2183344] - gpiolib: acpi: Allow ignoring wake capability on pins that aren't in _AEI (Shaoqin Huang) [2183344] - gpiolib: Fix using uninitialized lookup-flags on ACPI platforms (Shaoqin Huang) [2183344] - gpio: sim: set a limit on the number of GPIOs (Shaoqin Huang) [2183344] - gpiolib: protect the GPIO device against being dropped while in use by user-space (Shaoqin Huang) [2183344] - gpiolib: cdev: fix NULL-pointer dereferences (Shaoqin Huang) [2183344] - gpiolib: Provide to_gpio_device() helper (Shaoqin Huang) [2183344] - gpiolib: Unify access to the device properties (Shaoqin Huang) [2183344] - gpio: Do not include <linux/kernel.h> when not really needed. (Shaoqin Huang) [2183344] - gpiolib: fix memory leak in gpiochip_setup_dev() (Shaoqin Huang) [2183344] - drm/tegra: Switch to using devm_fwnode_gpiod_get() (Shaoqin Huang) [2183344] - gpiolib: ensure that fwnode is properly set (Shaoqin Huang) [2183344] - gpiolib: of: Use correct fwnode for DT-probed chips (Shaoqin Huang) [2183344] - gpiolib: of: Drop redundant check in of_mm_gpiochip_remove() (Shaoqin Huang) [2183344] - gpiolib: of: Prepare of_mm_gpiochip_add_data() for fwnode (Shaoqin Huang) [2183344] - gpiolib: add support for software nodes (Shaoqin Huang) [2183344] - gpiolib: consolidate GPIO lookups (Shaoqin Huang) [2183344] - gpiolib: acpi: avoid leaking ACPI details into upper gpiolib layers (Shaoqin Huang) [2183344] - gpiolib: acpi: teach acpi_find_gpio() to handle data-only nodes (Shaoqin Huang) [2183344] - gpiolib: acpi: change acpi_find_gpio() to accept firmware node (Shaoqin Huang) [2183344] - gpiolib: of: change of_find_gpio() to accept device node (Shaoqin Huang) [2183344] - gpiolib: of: Integrate of_gpiochip_init_valid_mask() into gpiochip_init_valid_mask() (Shaoqin Huang) [2183344] - gpiolib: of: Prepare of_gpiochip_add() / of_gpiochip_remove() for fwnode (Shaoqin Huang) [2183344] - gpiolib: remove devm_fwnode_get_[index_]gpiod_from_child() (Shaoqin Huang) [2183344] - gpiolib: of: add polarity quirk for Freescale PCIe controller (Shaoqin Huang) [2183344] - gpiolib: of: factor out quirk setting polarity via separate property (Shaoqin Huang) [2183344] - gpiolib: of: Make use of device_match_of_node() (Shaoqin Huang) [2183344] - PCI: tegra: Switch to using devm_fwnode_gpiod_get (Shaoqin Huang) [2183344] - gpiolib: cdev: Fix typo in kernel doc for struct line (Shaoqin Huang) [2183344] - gpiolib: acpi: Use METHOD_NAME__AEI macro for acpi_walk_resources (Shaoqin Huang) [2183344] - gpiolib: Clean up headers (Shaoqin Huang) [2183344] - gpiolib: cdev: Add missing header(s) (Shaoqin Huang) [2183344] - gpio: reg: Add missing header(s) (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for reset line polarity for Himax LCDs (Shaoqin Huang) [2183344] - gpiolib: of: add quirk for phy reset polarity for Freescale Ethernet (Shaoqin Huang) [2183344] - gpiolib: of: factor out code overriding gpio line polarity (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for legacy names in MOXA ART RTC (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for reset line for Cirrus CS42L56 codec (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for reset line for Marvell NFC controller (Shaoqin Huang) [2183344] - gpiolib: of: add quirk for locating reset lines with legacy bindings (Shaoqin Huang) [2183344] - gpiolib: of: tighten selection of gpio renaming quirks (Shaoqin Huang) [2183344] - gpiolib: of: consolidate simple renames into a single quirk (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for legacy names in Mediatek mt2701-cs42448 (Shaoqin Huang) [2183344] - gpio: tegra: Convert to immutable irq chip (Shaoqin Huang) [2183344] - gpio: aspeed: Add missing header(s) (Shaoqin Huang) [2183344] - gpiolib: Get rid of ARCH_NR_GPIOS (Shaoqin Huang) [2183344] - gpiolib: Warn on drivers still using static gpiobase allocation (Shaoqin Huang) [2183344] - gpiolib: cdev: add fdinfo output for line request file descriptors (Shaoqin Huang) [2183344] - mtd: rawnand: Replace of_gpio_named_count() by gpiod_count() (Shaoqin Huang) [2183344] - gpiolib: cdev: Set lineevent_state::irq after IRQ register successfully (Shaoqin Huang) [2183344] - gpiolib: fix OOB access in quirk callbacks (Shaoqin Huang) [2183344] - net: phy: spi_ks8895: switch to using gpiod API (Shaoqin Huang) [2183344] - gpiolib: of: factor out conversion from OF flags (Shaoqin Huang) [2183344] - gpiolib: rework quirk handling in of_find_gpio() (Shaoqin Huang) [2183344] - gpiolib: of: make Freescale SPI quirk similar to all others (Shaoqin Huang) [2183344] - gpiolib: of: do not ignore requested index when applying quirks (Shaoqin Huang) [2183344] - gpiolib: acpi: Add support to ignore programming an interrupt (Shaoqin Huang) [2183344] - gpiolib: make fwnode_get_named_gpiod() static (Shaoqin Huang) [2183344] - gpiolib: acpi: support bias pull disable (Shaoqin Huang) [2183344] - gpiolib: of: support bias pull disable (Shaoqin Huang) [2183344] - gpiolib: add support for bias pull disable (Shaoqin Huang) [2183344] - gpiolib: cdev: compile out HTE unless CONFIG_HTE selected (Shaoqin Huang) [2183344] - gpiolib: cdev: consolidate edge detector configuration flags (Shaoqin Huang) [2183344] - gpiolib: cdev: simplify line event identification (Shaoqin Huang) [2183344] - gpiolib: cdev: replace if-else chains with switches (Shaoqin Huang) [2183344] - gpiolib: cdev: simplify parameter in call to hte_edge_setup (Shaoqin Huang) [2183344] - gpiolib: cdev: simplify linereq_free (Shaoqin Huang) [2183344] - gpio: gpiolib-of: Fix refcount bugs in of_mm_gpiochip_add_data() (Shaoqin Huang) [2183344] - gpiolib: of: Use device_match_of_node() helper (Shaoqin Huang) [2183344] - gpiolib: devres: Get rid of unused devm_gpio_free() (Shaoqin Huang) [2183344] - gpio: gpiolib: Allow free() callback to be overridden (Shaoqin Huang) [2183344] - hte: Fix possible use-after-free in tegra_hte_test_remove() (Shaoqin Huang) [2183344] - hte: Remove unused including <linux/version.h> (Shaoqin Huang) [2183344] - pinctrl: ocelot: Fix interrupt parsing (Shaoqin Huang) [2183344] - pinctrl: Get rid of duplicate of_node assignment in the drivers (Shaoqin Huang) [2183344] - pinctrl: ocelot: update pinctrl to automatic base address (Shaoqin Huang) [2183344] - powerpc/iommu: Limit number of TCEs to 512 for H_STUFF_TCE hcall (Mamatha Inamdar) [2222935] - net: wwan: mark t7xx driver as tech preview (Jose Ignacio Tornos Martinez) [2110561] - net: wwan: enable t7xx driver (Jose Ignacio Tornos Martinez) [2110561] - net: wwan: t7xx: Add AP CLDMA (Jose Ignacio Tornos Martinez) [2110561 2140372] - redhat/configs: disable CONFIG_USB_DWC2 and CONFIG_USB_DWC3 on x86 (Desnes Nunes) [2110796] - irqchip/irq-imx-gpcv2: Mark fwnode device as not initialized (Steve Best) [2184110] - irqchip/imx-gpcv2: Mark imx_gpcv2_instance with __ro_after_init (Steve Best) [2184110] - irqchip/imx-irqsteer: Add runtime PM support (Steve Best) [2184110] - irqchip/imx-irqsteer: Constify irq_chip struct (Steve Best) [2184110] - selftests/ftrace: Stop tracing while reading the trace file by default (Jerome Marchand) [2138363] - dt-bindings: iio: adc: Add NXP IMX93 ADC (Steve Best) [2181783] - iio: adc: imx93: Fix spelling mistake "geting" -> "getting" (Steve Best) [2181783] - iio: adc: add imx93 adc support (Steve Best) [2181783] - net: wwan: mark Qualcomm driver as tech preview (Jose Ignacio Tornos Martinez) [2222914] - net: wwan: enable Qualcomm driver (Jose Ignacio Tornos Martinez) [2222914] Resolves: rhbz#2110561, rhbz#2110796, rhbz#2138363, rhbz#2140372, rhbz#2181783, rhbz#2183344, rhbz#2184094, rhbz#2184110, rhbz#2184312, rhbz#2222914, rhbz#2222935 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-25 15:02:23 +00:00
CONFIG_MHI_WWAN_MBIM=m
CONFIG_MICREL_KS8995MA=m
CONFIG_MICREL_PHY=m
CONFIG_MICROCHIP_PHY=m
# CONFIG_MICROCHIP_PIT64B is not set
CONFIG_MICROCHIP_T1_PHY=m
kernel-5.14.0-310.el9 * Tue May 09 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-310.el9] - mm: take a page reference when removing device exclusive entries (Donald Dutile) [2184200] - mm: convert lock_page_or_retry() to folio_lock_or_retry() (Donald Dutile) [2184200] - mm: convert do_swap_page() to use a folio (Donald Dutile) [2184200] - shmem: convert shmem_replace_page() to use folios throughout (Donald Dutile) [2184200] - shmem: convert shmem_delete_from_page_cache() to take a folio (Donald Dutile) [2184200] - shmem: convert shmem_writepage() to use a folio throughout (Donald Dutile) [2184200] - mm: add folio_add_lru_vma() (Donald Dutile) [2184200] - mm/migrate_device: return number of migrating pages in args->cpages (Donald Dutile) [2159905] - mm/memory: return vm_fault_t result from migrate_to_ram() callback (Donald Dutile) [2159905] - nouveau: Fix migrate_to_ram() for faulting page (Donald Dutile) [2159905] - hmm-tests: add test for migrate_device_range() (Donald Dutile) [2159905] - nouveau/dmem: evict device private memory during release (Donald Dutile) [2159905] - nouveau/dmem: refactor nouveau_dmem_fault_copy_one() (Donald Dutile) [2159905] - mm/migrate_device.c: add migrate_device_range() (Donald Dutile) [2159905] - mm/migrate_device.c: refactor migrate_vma and migrate_deivce_coherent_page() (Donald Dutile) [2159905] - mm/memremap.c: take a pgmap reference on page allocation (Donald Dutile) [2159905] - mm: free device private pages have zero refcount (Donald Dutile) [2159905] - mm/memory.c: fix race when faulting a device private page (Donald Dutile) [2159905] - PM / devfreq: imx: Register i.MX8MP interconnect device (Steve Best) [2184175] - PM / devfreq: imx-bus: use NULL to pass a null pointer rather than zero (Steve Best) [2184175] - enic: define constants for legacy interrupts offset (Michal Schmidt) [2101496] - enic: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_168.RULE (part 1) (Michal Schmidt) [2101496] - enic: Use irq_update_affinity_hint() (Michal Schmidt) [2101496] - enic: Remove usage of the deprecated "pci-dma-compat.h" API (Michal Schmidt) [2101496] - enic: Use dma_set_mask_and_coherent() (Michal Schmidt) [2101496] - net: cisco: Fix a function name in comments (Michal Schmidt) [2101496] - ethernet: enic: use eth_hw_addr_set() (Michal Schmidt) [2101496] - enic: constify references to netdev->dev_addr in drivers (Michal Schmidt) [2101496] - enic: use eth_hw_addr_set() for dev->addr_len cases (Michal Schmidt) [2101496] - mm: memory-failure: make action_result() return int (Aristeu Rozanski) [2184858] - mm: memory-failure: avoid pfn_valid() twice in soft_offline_page() (Aristeu Rozanski) [2184858] - mm: memory-failure: make put_ref_page() more useful (Aristeu Rozanski) [2184858] - hugetlbfs: don't delete error page from pagecache (Aristeu Rozanski) [2184858] - mm, hwpoison: cleanup some obsolete comments (Aristeu Rozanski) [2184858] - mm, hwpoison: check PageTable() explicitly in hwpoison_user_mappings() (Aristeu Rozanski) [2184858] - mm, hwpoison: avoid unneeded page_mapped_in_vma() overhead in collect_procs_anon() (Aristeu Rozanski) [2184858] - mm, hwpoison: use num_poisoned_pages_sub() to decrease num_poisoned_pages (Aristeu Rozanski) [2184858] - mm, hwpoison: use __PageMovable() to detect non-lru movable pages (Aristeu Rozanski) [2184858] - mm, hwpoison: use ClearPageHWPoison() in memory_failure() (Aristeu Rozanski) [2184858] - mm: memory-failure: kill __soft_offline_page() (Aristeu Rozanski) [2184858] - mm: memory-failure: kill soft_offline_free_page() (Aristeu Rozanski) [2184858] - mm, hwpoison: avoid trying to unpoison reserved page (Aristeu Rozanski) [2184858] - mm, hwpoison: kill procs if unmap fails (Aristeu Rozanski) [2184858] - mm, hwpoison: fix possible use-after-free in mf_dax_kill_procs() (Aristeu Rozanski) [2184858] - mm, hwpoison: fix page refcnt leaking in unpoison_memory() (Aristeu Rozanski) [2184858] - mm, hwpoison: fix page refcnt leaking in try_memory_failure_hugetlb() (Aristeu Rozanski) [2184858] - mm: memory-failure: cleanup try_to_split_thp_page() (Aristeu Rozanski) [2184858] - mmc: sdhci-esdhc-imx: only enable DAT[0] and CMD line auto tuning for SDIO device (Steve Best) [2183358] - mmc: sdhci-esdhc-imx: simplify the auto tuning logic (Steve Best) [2183358] - mmc: sdhci-esdhc-imx: correct the tuning start tap and step setting (Steve Best) [2183358] - mmc: sdhci-esdhc-imx: reset the tuning logic before execute tuning (Steve Best) [2183358] - mmc: host: Fix repeated words in comments (Steve Best) [2183358] - mmc: sdhci-esdhc-imx: improve imxrt1050 data (Steve Best) [2183358] - mmc: sdhci-esdhc-imx: correct CQHCI exit halt state check (Steve Best) [2183358] - mmc: sdhci-esdhc-imx: use the correct host caps for MMC_CAP_8_BIT_DATA (Steve Best) [2183358] - mms: sdhci-esdhc-imx: Fix SDHCI_RESET_ALL for CQHCI (Steve Best) [2183358] - mmc: sdhci-esdhc-imx: Propagate ESDHC_FLAG_HS400* only on 8bit bus (Steve Best) [2183358] - scsi: mpt3sas: Fix an issue when driver is being removed (Tomas Henzl) [2166221] - scsi: mpt3sas: Remove HBA BIOS version in the kernel log (Tomas Henzl) [2166221] - scsi: mpt3sas: Don't print sense pool info twice (Tomas Henzl) [2166221] - scsi: mpt3sas: Fix NULL pointer access in mpt3sas_transport_port_add() (Tomas Henzl) [2166221] - scsi: mpt3sas: Fix a memory leak (Tomas Henzl) [2166221] - scsi: mpt3sas: Demote log level for trace buffer allocation to info (Tomas Henzl) [2166221] - scsi: mpt3sas: Fix possible resource leaks in mpt3sas_transport_port_add() (Tomas Henzl) [2166221] - scsi: mpt3sas: Remove usage of dma_get_required_mask() API (Tomas Henzl) [2166221] - scsi: mpt3sas: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Tomas Henzl) [2166221] - x86: don't use REP_GOOD or ERMS for small memory clearing (Myron Stowe) [2190487] - x86/cpufeatures: Add macros for Intel's new fast rep string features (Myron Stowe) [2190487] - x86/microcode/intel: Do not retry microcode reloading on the APs (David Arcari) [1971938] - x86/microcode/intel: Do not print microcode revision and processor flags (David Arcari) [1971938] - platform/x86/intel/ifs: Add missing kernel-doc entry (David Arcari) [1971938] - use less confusing names for iov_iter direction initializers (David Arcari) [1971938] - Revert "platform/x86/intel/ifs: Mark as BROKEN" (David Arcari) [1971938] - platform/x86/intel/ifs: Add current_batch sysfs entry (David Arcari) [1971938] - platform/x86/intel/ifs: Remove reload sysfs entry (David Arcari) [1971938] - platform/x86/intel/ifs: Add metadata validation (David Arcari) [1971938] - platform/x86/intel/ifs: Use generic microcode headers and functions (David Arcari) [1971938] - platform/x86/intel/ifs: Add metadata support (David Arcari) [1971938] - x86/microcode/intel: Use a reserved field for metasize (David Arcari) [1971938] - x86/microcode/intel: Add hdr_type to intel_microcode_sanity_check() (David Arcari) [1971938] - x86/microcode/intel: Reuse microcode_sanity_check() (David Arcari) [1971938] - x86/microcode/intel: Use appropriate type in microcode_sanity_check() (David Arcari) [1971938] - x86/microcode/intel: Reuse find_matching_signature() (David Arcari) [1971938] - platform/x86/intel/ifs: Remove memory allocation from load path (David Arcari) [1971938] - platform/x86/intel/ifs: Remove image loading during init (David Arcari) [1971938] - platform/x86/intel/ifs: Return a more appropriate error code (David Arcari) [1971938] - platform/x86/intel/ifs: Remove unused selection (David Arcari) [1971938] - x86/microcode: Drop struct ucode_cpu_info.valid (David Arcari) [1971938] - x86/microcode: Do some minor fixups (David Arcari) [1971938] - x86/microcode: Kill refresh_fw (David Arcari) [1971938] - x86/microcode: Simplify init path even more (David Arcari) [1971938] - x86/microcode: Rip out the subsys interface gunk (David Arcari) [1971938] - x86/microcode/AMD: Track patch allocation size explicitly (David Arcari) [1971938] - x86/microcode: Print previous version of microcode after reload (David Arcari) [1971938] - x86/microcode: Remove ->request_microcode_user() (David Arcari) [1971938] - x86/microcode: Document the whole late loading problem (David Arcari) [1971938] - misc: Mark MICROCODE_MINOR unused (David Arcari) [1971938] - x86/microcode: Remove unnecessary perf callback (David Arcari) [1971938] - x86/microcode: Taint and warn on late loading (David Arcari) [1971938] - x86/microcode: avoid unused variable warning (David Arcari) [1971938] - x86/microcode: Default-disable late loading (David Arcari) [1971938] - redhat/configs: disable CONFIG_MICROCODE_LATE_LOADING (David Arcari) [1971938] - x86/microcode: Rip out the OLD_INTERFACE (David Arcari) [1971938] - x86/microcode/intel: Expose collect_cpu_info_early() for IFS (David Arcari) [1971938] - x86/cpu: Load microcode during restore_processor_state() (David Arcari) [1971938] - treewide: Replace zero-length arrays with flexible-array members (David Arcari) [1971938] - platform/x86/intel/ifs: Mark as BROKEN (David Arcari) [1971938] - platform/x86/intel/ifs: Add CPU_SUP_INTEL dependency (David Arcari) [1971938] - Documentation: In-Field Scan (David Arcari) [1971938] - trace: platform/x86/intel/ifs: Add trace point to track Intel IFS operations (David Arcari) [1971938] - platform/x86/intel/ifs: Add IFS sysfs interface (David Arcari) [1971938] - platform/x86/intel/ifs: Add scan test support (David Arcari) [1971938] - stop_machine: Add stop_core_cpuslocked() for per-core operations (David Arcari) [1971938] - platform/x86/intel/ifs: Authenticate and copy to secured memory (David Arcari) [1971938] - platform/x86/intel/ifs: Check IFS Image sanity (David Arcari) [1971938] - platform/x86/intel/ifs: Read IFS firmware image (David Arcari) [1971938] - redhat/configs: enable INTEL_IFS (David Arcari) [1971938] - platform/x86/intel/ifs: Add stub driver for In-Field Scan (David Arcari) [1971938] - x86/msr-index: Define INTEGRITY_CAPABILITIES MSR (David Arcari) [1971938] - RDMA/irdma: Add ipv4 check to irdma_find_listener() (Kamal Heib) [2118840 2136324] - RDMA/irdma: Increase iWARP CM default rexmit count (Kamal Heib) [2118840 2136324] - RDMA/irdma: Fix memory leak of PBLE objects (Kamal Heib) [2118840 2136324] - RDMA/irdma: Do not generate SW completions for NOPs (Kamal Heib) [2118840 2136324] - RDMA/irdma: Remove a redundant irdma_arp_table() call (Kamal Heib) [2118840 2136324] - xfs: don't use BMBT btree split workers for IO completion (Carlos Maiolino) [2180457] Resolves: rhbz#1971938, rhbz#2101496, rhbz#2118840, rhbz#2136324, rhbz#2159905, rhbz#2166221, rhbz#2180457, rhbz#2183358, rhbz#2184175, rhbz#2184200, rhbz#2184858, rhbz#2190487 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-09 09:46:19 +00:00
# CONFIG_MICROCODE_LATE_LOADING is not set
# CONFIG_MICROCODE_OLD_INTERFACE is not set
CONFIG_MICROSEMI_PHY=m
CONFIG_MIGRATION=y
CONFIG_MII=m
# CONFIG_MINIX_FS is not set
CONFIG_MINIX_SUBPARTITION=y
# CONFIG_MISC_ALCOR_PCI is not set
CONFIG_MISC_FILESYSTEMS=y
CONFIG_MISC_RTSX_PCI=m
CONFIG_MISC_RTSX_USB=m
# CONFIG_MLX4_CORE_GEN2 is not set
CONFIG_MLX4_EN_DCB=y
CONFIG_MLX4_EN=m
CONFIG_MLX4_INFINIBAND=m
CONFIG_MLX5_CLS_ACT=y
CONFIG_MLX5_CORE_EN_DCB=y
CONFIG_MLX5_CORE_EN=y
CONFIG_MLX5_CORE_IPOIB=y
CONFIG_MLX5_CORE=m
CONFIG_MLX5_EN_ARFS=y
CONFIG_MLX5_EN_IPSEC=y
kernel-5.14.0-340.el9 * Mon Jul 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-340.el9] - soc/tegra: fuse: Remove nvmem root only access (Steve Best) [2218664] - Revert "net/mlx5e: Don't use termination table when redundant" (Mohammad Kabat) [2165363] - net/mlx5e: xsk: Set napi_id to support busy polling on XSK RQ (Mohammad Kabat) [2165363] - net/mlx5: Query hca_cap_2 only when supported (Mohammad Kabat) [2165363] - net/mlx5: fw_tracer, Zero consumer index when reloading the tracer (Mohammad Kabat) [2165363] - net/mlx5: fw_tracer, Clear load bit when freeing string DBs buffers (Mohammad Kabat) [2165363] - net/mlx5: Expose SF firmware pages counter (Mohammad Kabat) [2165363] - net/mlx5: Store page counters in a single array (Mohammad Kabat) [2165363] - net/mlx5e: IPoIB, Show unknown speed instead of error (Mohammad Kabat) [2165363] - net/mlx5: Bridge, fix ageing of peer FDB entries (Mohammad Kabat) [2165363] - net/mlx5: DR, Fix potential race in dr_rule_create_rule_nic (Mohammad Kabat) [2165363] - net/mlx5e: Update rx ring hw mtu upon each rx-fcs flag change (Mohammad Kabat) [2165363] - net/mlx5: E-switch, Coverity: overlapping copy (Mohammad Kabat) [2165363] - net/mlx5e: Don't support encap rules with gbp option (Mohammad Kabat) [2165363] - net/mlx5e: Fix memory leak on updating vport counters (Mohammad Kabat) [2165363] - net/mlx5: DR, Fix 'stack frame size exceeds limit' error in dr_rule (Mohammad Kabat) [2164764 2165363] - net/mlx5: Expose steering dropped packets counter (Mohammad Kabat) [2165363] - net/mlx5: Refactor and expand rep vport stat group (Mohammad Kabat) [2164764 2165363] - net/mlx5e: multipath, support routes with more than 2 nexthops (Mohammad Kabat) [2165363] - RDMA/mlx5: Remove not-used IB_FLOW_SPEC_IB define (Mohammad Kabat) [2165363] - net/mlx5: E-Switch, Implement devlink port function cmds to control migratable (Mohammad Kabat) [2165363] - net/mlx5: E-Switch, Implement devlink port function cmds to control RoCE (Mohammad Kabat) [2165363] - net/mlx5: Add generic getters for other functions caps (Mohammad Kabat) [2165363] - net/mlx5: Introduce IFC bits for migratable (Mohammad Kabat) [2165363] - net/mlx5: Introduce ifc bits for pre_copy (Mohammad Kabat) [2165363] - RDMA/mlx5: no need to kfree NULL pointer (Mohammad Kabat) [2165363] - net/mlx5e: Support devlink reload of IPsec core (Mohammad Kabat) [2165363] - net/mlx5e: TC, Add offload support for trap with additional actions (Mohammad Kabat) [2165363] - net/mlx5e: Do early return when setup vports dests for slow path flow (Mohammad Kabat) [2165363] - net/mlx5: Remove redundant check (Mohammad Kabat) [2165363] - net/mlx5e: Delete always true DMA check (Mohammad Kabat) [2165363] - net/mlx5e: Don't access directly DMA device pointer (Mohammad Kabat) [2165363] - net/mlx5e: Don't use termination table when redundant (Mohammad Kabat) [2165363] - net/mlx5: Use generic definition for UMR KLM alignment (Mohammad Kabat) [2165363] - net/mlx5: Generalize name of UMR alignment definition (Mohammad Kabat) [2165363] - net/mlx5: Remove unused UMR MTT definitions (Mohammad Kabat) [2165363] - net/mlx5e: Add padding when needed in UMR WQEs (Mohammad Kabat) [2165363] - net/mlx5: Remove unused ctx variables (Mohammad Kabat) [2165363] - net/mlx5e: Remove unneeded io-mapping.h #include (Mohammad Kabat) [2165363] - net/mlx5e: ethtool: get_link_ext_stats for PHY down events (Mohammad Kabat) [2165363] - net/mlx5e: CT, optimize pre_ct table lookup (Mohammad Kabat) [2165363] - net/mlx5e: kTLS, Use a single async context object per a callback bulk (Mohammad Kabat) [2165363] - net/mlx5e: kTLS, Remove unnecessary per-callback completion (Mohammad Kabat) [2165363] - net/mlx5e: kTLS, Remove unused work field (Mohammad Kabat) [2165363] - net/mlx5e: TC, Remove redundant WARN_ON() (Mohammad Kabat) [2165363] - net/mlx5e: Add error flow when failing update_rx (Mohammad Kabat) [2165363] - net/mlx5e: Move params kernel log print to probe function (Mohammad Kabat) [2165363] - net/mlx5e: Support enhanced CQE compression (Mohammad Kabat) [2165363] - net/mlx5e: Use clamp operation instead of open coding it (Mohammad Kabat) [2165363] - net/mlx5e: remove unused list in arfs (Mohammad Kabat) [2165363] - net/mlx5: Expose vhca_id to debugfs (Mohammad Kabat) [2165363] - net/mlx5: Fix spelling mistake "destoy" -> "destroy" (Mohammad Kabat) [2165363] - net/mlx5: Bridge, Use debug instead of warn if entry doesn't exists (Mohammad Kabat) [2165363] - ptp: mlx5: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165363] - net/mlx5: DR, Remove the buddy used_list (Mohammad Kabat) [2165363] - net/mlx5: DR, Keep track of hot ICM chunks in an array instead of list (Mohammad Kabat) [2165363] - net/mlx5: DR, Lower sync threshold for ICM hot memory (Mohammad Kabat) [2165363] - net/mlx5: DR, Allocate htbl from its own slab allocator (Mohammad Kabat) [2165363] - net/mlx5: DR, Allocate icm_chunks from their own slab allocator (Mohammad Kabat) [2165363] - net/mlx5: DR, Manage STE send info objects in pool (Mohammad Kabat) [2165363] - net/mlx5: DR, In rehash write the line in the entry immediately (Mohammad Kabat) [2165363] - net/mlx5: DR, Handle domain memory resources init/uninit separately (Mohammad Kabat) [2165363] - net/mlx5: DR, Initialize chunk's ste_arrays at chunk creation (Mohammad Kabat) [2165363] - net/mlx5: DR, For short chains of STEs, avoid allocating ste_arr dynamically (Mohammad Kabat) [2165363] - net/mlx5: DR, Remove unneeded argument from dr_icm_chunk_destroy (Mohammad Kabat) [2165363] - net/mlx5: DR, Check device state when polling CQ (Mohammad Kabat) [2165363] - net/mlx5: DR, Fix the SMFS sync_steering for fast teardown (Mohammad Kabat) [2165363] - net/mlx5: DR, In destroy flow, free resources even if FW command failed (Mohammad Kabat) [2165363] - net/mlx5e: Overcome slow response for first macsec ASO WQE (Mohammad Kabat) [2165323] - RHEL-only: redhat/configs: Enable CONFIG_MLX5_EN_MACSEC on all archs (Mohammad Kabat) [2165355] - net/mlx5e: Fix macsec ASO context alignment (Mohammad Kabat) [2165355] - net/mlx5e: Remove redundant xsk pointer check in mlx5e_mpwrq_validate_xsk (Mohammad Kabat) [2165355] - net/mlx5e: Fix macsec possible null dereference when updating MAC security entity (SecY) (Mohammad Kabat) [2165355] - net/mlx5e: Fix macsec ssci attribute handling in offload path (Mohammad Kabat) [2165355] - net/mlx5: Lag, fix failure to cancel delayed bond work (Mohammad Kabat) [2165355] - net/mlx5e: Fix RX reporter for XSK RQs (Mohammad Kabat) [2165355] - Revert "net/mlx5e: MACsec, remove replay window size limitation in offload path" (Mohammad Kabat) [2165355] - net/mlx5e: MACsec, block offload requests with encrypt off (Mohammad Kabat) [2165323 2165355] - net/mlx5e: MACsec, fix Tx SA active field update (Mohammad Kabat) [2165323 2165355] - net/mlx5e: MACsec, remove replay window size limitation in offload path (Mohammad Kabat) [2165323 2165355] - net/mlx5e: MACsec, fix add Rx security association (SA) rule memory leak (Mohammad Kabat) [2165323 2165355] - net/mlx5e: MACsec, fix mlx5e_macsec_update_rxsa bail condition and functionality (Mohammad Kabat) [2165323 2165355] - net/mlx5e: MACsec, fix update Rx secure channel active field (Mohammad Kabat) [2165323 2165355] - net/mlx5e: MACsec, fix memory leak when MACsec device is deleted (Mohammad Kabat) [2165323 2165355] - net/mlx5e: MACsec, fix RX data path 16 RX security channel limit (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Use kvfree() in mlx5e_accel_fs_tcp_create() (Mohammad Kabat) [2165355] - net/mlx5e: Fix a couple error codes (Mohammad Kabat) [2165355] - net/mlx5e: Fix possible race condition in macsec extended packet number update routine (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Fix MACsec update SecY (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Fix MACsec SA initialization routine (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Remove leftovers from old XSK queues enumeration (Mohammad Kabat) [2165355] - net/mlx5e: Fix missing alignment in size of MTT/KLM entries (Mohammad Kabat) [2165355] - net/mlx5: Lag, avoid lockdep warnings (Mohammad Kabat) [2165355] - net/mlx5e: Fix usage of DMA sync API (Mohammad Kabat) [2165355] - net/mlx5e: Fix macsec sci endianness at rx sa update (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Fix wrong bitwise comparison usage in macsec_fs_rx_add_rule function (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Fix macsec rx security association (SA) update/delete (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Fix macsec coverity issue at rx sa update (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Cleanup MACsec uninitialization routine (Mohammad Kabat) [2165355] - net/mlx5: Make ASO poll CQ usable in atomic context (Mohammad Kabat) [2165355] - net/mlx5: E-Switch, Return EBUSY if can't get mode lock (Mohammad Kabat) [2165355] - net/mlx5: E-switch, Don't update group if qos is not enabled (Mohammad Kabat) [2165355] - net/mlx5: Set default grace period based on function type (Mohammad Kabat) [2165355] - net/mlx5: Start health poll at earlier stage of driver load (Mohammad Kabat) [2165355] - net/mlx5e: Expose rx_oversize_pkts_buffer counter (Mohammad Kabat) [2165355] - net/mlx5e: xsk: Optimize for unaligned mode with 3072-byte frames (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Print a warning in slow configurations (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use KLM to protect frame overrun in unaligned mode (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Improve MTT/KSM alignment (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use umr_mode to calculate striding RQ parameters (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Improve need_wakeup logic (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Include XSK skb_from_cqe callbacks in INDIRECT_CALL (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Set napi_id to support busy polling (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Flush RQ on XSK activation to save memory (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use queue indices starting from 0 for XSK queues (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Introduce the mlx5e_flush_rq function (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Support XDP metadata on XSK RQs (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Optimize RQ page deallocation (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Call mlx5e_page_release_dynamic directly where possible (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Use non-XSK page allocator in SHAMPO (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use xsk_buff_alloc_batch on striding RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use xsk_buff_alloc_batch on legacy RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Split out WQE allocation for legacy XSK RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Remove the outer loop when allocating legacy RQ WQEs (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use partial batches in legacy RQ with XSK (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Use partial batches in legacy RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Make the wqe_index_mask calculation more exact (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Introduce wqe_index_mask for legacy RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Drop the check for XSK state in mlx5e_xsk_wakeup (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use mlx5e_trigger_napi_icosq for XSK wakeup (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Move repeating clear_bit in mlx5e_rx_reporter_err_rq_cqe_recover (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Split out channel (de)activation in rx_res (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Remove mlx5e_xsk_page_alloc_pool (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Convert struct mlx5e_alloc_unit to a union (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Remove DMA address from mlx5e_alloc_unit (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Rename mlx5e_dma_info to prepare for removal of DMA address (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Optimize the page cache reducing its size 2x (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use KSM for unaligned XSK (Mohammad Kabat) [2164750 2165355] - net/mlx5: Add MLX5_FLEXIBLE_INLEN to safely calculate cmd inlen (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Keep a separate MKey for striding RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Use XSK frame size as striding RQ page size (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Use runtime page_shift for striding RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Use runtime values of striding RQ parameters in datapath (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Make dma_info array dynamic in struct mlx5e_mpw_info (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Improve the MTU change shortcut (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Fix SKB headroom calculation in validation (Mohammad Kabat) [2164750 2165355] - net/mlx5e: xsk: Remove dead code in validation (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Simplify stride size calculation for linear RQ (Mohammad Kabat) [2164750 2165355] - net/mlx5e: kTLS, Check ICOSQ WQE size in advance (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Use the aligned max TX MPWQE size (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Use mlx5e_stop_room_for_max_wqe where appropriate (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Let mlx5e_get_sw_max_sq_mpw_wqebbs accept mdev (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Validate striding RQ before enabling XDP (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Make mlx5e_verify_rx_mpwqe_strides static (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Remove unused fields from datapath structs (Mohammad Kabat) [2164750 2165355] - net/mlx5e: Convert mlx5e_get_max_sq_wqebbs to u8 (Mohammad Kabat) [2164750 2165355] - net/mlx5: Add the log_min_mkey_entity_size capability (Mohammad Kabat) [2164750 2165355] - net/mlx5: Remove from FPGA IFC file not-needed definitions (Mohammad Kabat) [2165355] - net/mlx5: Remove unused structs (Mohammad Kabat) [2165355] - net/mlx5: Remove unused functions (Mohammad Kabat) [2165355] - net/mlx5: detect and enable bypass port select flow table (Mohammad Kabat) [2165355] - net/mlx5: Lag, enable hash mode by default for all NICs (Mohammad Kabat) [2165355] - net/mlx5: Lag, set active ports if support bypass port select flow table (Mohammad Kabat) [2165355] - RDMA/mlx5: Don't set tx affinity when lag is in hash mode (Mohammad Kabat) [2165355] - net/mlx5: add IFC bits for bypassing port select flow table (Mohammad Kabat) [2165355] - net/mlx5: Add support for NPPS with real time mode (Mohammad Kabat) [2165355] - net/mlx5: Expose NPPS related registers (Mohammad Kabat) [2165355] - net/mlx5e: macsec: remove checks on the prepare phase (Mohammad Kabat) [2165355] - net/mlx5e: Support MACsec offload replay window (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Support MACsec offload extended packet number (EPN) (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Move MACsec initialization from profile init stage to profile enable stage (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Create advanced steering operation (ASO) object for MACsec (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Expose memory key creation (mkey) function (Mohammad Kabat) [2165323 2165355] - net/mlx5: Add ifc bits for MACsec extended packet number (EPN) and replay protection (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Fix MACsec initial packet number (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Fix MACsec initialization error path (Mohammad Kabat) [2165323 2165355] - net/mlx5: Fix fields name prefix in MACsec (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Ensure macsec_rule is always initiailized in macsec_fs_{r,t}x_add_rule() (Mohammad Kabat) [2165355] - net/mlx5e: Switch to kmemdup() when allocate dev_addr (Mohammad Kabat) [2165355] - net/mlx5e: add missing error code in error path (Mohammad Kabat) [2165355] - RDMA/mlx5: Remove duplicate assignment in umr_rereg_pas() (Mohammad Kabat) [2165355] - net/mlx5e: Add support to configure more than one macsec offload device (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Add MACsec stats support for Rx/Tx flows (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Add MACsec offload SecY support (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Implement MACsec Rx data path using MACsec skb_metadata_dst (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Add MACsec RX steering rules (Mohammad Kabat) [2165323 2165355] - net/mlx5: Add MACsec Rx tables support to fs_core (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Add MACsec offload Rx command support (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Implement MACsec Tx data path using MACsec skb_metadata_dst (Mohammad Kabat) [2165323 2165355] - net/mlx5e: Add MACsec TX steering rules (Mohammad Kabat) [2165323 2165355] - net/mlx5: Add MACsec Tx tables support to fs_core (Mohammad Kabat) [2165323 2165355] - net/mlx5: Add MACsec offload Tx command support (Mohammad Kabat) [2165323 2165355] - net/mlx5: Introduce MACsec Connect-X offload hardware bits and structures (Mohammad Kabat) [2165323 2165355] - net/mlx5: Generalize Flow Context for new crypto fields (Mohammad Kabat) [2165323 2165355] - net/mlx5: Removed esp_id from struct mlx5_flow_act (Mohammad Kabat) [2165323 2165355] - net/mlx5: Query ADV_VIRTUALIZATION capabilities (Mohammad Kabat) [2165355] - net/mlx5: Introduce ifc bits for page tracker (Mohammad Kabat) [2165355] - RDMA/mlx5: Move function mlx5_core_query_ib_ppcnt() to mlx5_ib (Mohammad Kabat) [2165355] - IB/mlx5: Support querying eswitch functions from DEVX (Mohammad Kabat) [2165355] - net/mlx5e: Do not use err uninitialized in mlx5e_rep_add_meta_tunnel_rule() (Mohammad Kabat) [2165355] - IB/mlx5: Remove duplicate header inclusion related to ODP (Mohammad Kabat) [2165355] - net/mlx5: TC, Add support for SF tunnel offload (Mohammad Kabat) [2165355] - net/mlx5: E-Switch, Move send to vport meta rule creation (Mohammad Kabat) [2165355] - net/mlx5: E-Switch, Split creating fdb tables into smaller chunks (Mohammad Kabat) [2165355] - net/mlx5: E-Switch, Add default drop rule for unmatched packets (Mohammad Kabat) [2165355] - net/mlx5e: Completely eliminate priv from fs.h (Mohammad Kabat) [2165355] - net/mlx5e: Make all ttc functions of en_fs get fs struct as argument (Mohammad Kabat) [2165355] - net/mlx5e: Make flow steering arfs independent of priv (Mohammad Kabat) [2165355] - net/mlx5e: Introduce flow steering debug macros (Mohammad Kabat) [2165355] - net/mlx5e: Separate ethtool_steering from fs.h and make private (Mohammad Kabat) [2165355] - net/mlx5e: Directly get flow_steering struct as input when init/cleanup ethtool steering (Mohammad Kabat) [2165355] - net/mlx5e: Convert ethtool_steering member of flow_steering struct to pointer (Mohammad Kabat) [2165355] - net/mlx5e: Drop priv argument of ptp function in en_fs (Mohammad Kabat) [2165355] - net/mlx5e: Decouple fs_tcp from en.h (Mohammad Kabat) [2165355] - net/mlx5e: Decouple fs_tt_redirect from en.h (Mohammad Kabat) [2165355] - net/mlx5e: Introduce flow steering API (Mohammad Kabat) [2165355] - IB/mlx5: Call io_stop_wc() after writing to WC MMIO (Mohammad Kabat) [2165355] - net: fix stack overflow when LRO is disabled for virtual interfaces (Hangbin Liu) [2218139] - ipv6: prevent router_solicitations for team port (Hangbin Liu) [2218139] - ipv6: Don't send rs packets to the interface of ARPHRD_TUNNEL (Hangbin Liu) [2218139] - teaming: deliver link-local packets with the link they arrive on (Hangbin Liu) [2218139] - cifs: fix negotiate context parsing (Ronnie Sahlberg) [2218388] - cifs: fix dentry lookups in directory handle cache (Ronnie Sahlberg) [2218388] - cifs: use the least loaded channel for sending requests (Ronnie Sahlberg) [2218388] - cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388] - cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388] - cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388] - smb3: fix unusable share after force unmount failure (Ronnie Sahlberg) [2218388] - cifs: print session id while listing open files (Ronnie Sahlberg) [2218388] - cifs: dump pending mids for all channels in DebugData (Ronnie Sahlberg) [2218388] - cifs: empty interface list when server doesn't support query interfaces (Ronnie Sahlberg) [2218388] - cifs: do not poll server interfaces too regularly (Ronnie Sahlberg) [2218388] - cifs: use tcon allocation functions even for dummy tcon (Ronnie Sahlberg) [2218388] - cifs: use bvec_set_page to initialize bvecs (Ronnie Sahlberg) [2218388] - cifs: generate signkey for the channel that's reconnecting (Ronnie Sahlberg) [2218388] - cifs: Fix smb2_set_path_size() (Ronnie Sahlberg) [2218388] - cifs: Move the in_send statistic to __smb_send_rqst() (Ronnie Sahlberg) [2218388] - cifs: don't try to use rdma offload on encrypted connections (Ronnie Sahlberg) [2218388] - cifs: split out smb3_use_rdma_offload() helper (Ronnie Sahlberg) [2218388] - cifs: introduce cifs_io_parms in smb2_async_writev() (Ronnie Sahlberg) [2218388] - use less confusing names for iov_iter direction initializers (Ronnie Sahlberg) [2218388] - cifs: do not include page data when checking signature (Ronnie Sahlberg) [2218388] - cifs: Fix race between hole punch and page fault (Ronnie Sahlberg) [2218388] - redhat/Makefile: Fix RHJOBS grep warning (Eric Chanudet) - NFSv4.1: freeze the session table upon receiving NFS4ERR_BADSESSION (Benjamin Coddington) [2217964] - sfc: use budget for TX completions (Íñigo Huguet) [2179545] - arm64: kaslr: don't pretend KASLR is enabled if offset < MIN_KIMG_ALIGN (Jennifer Berringer) [2190491] - KVM: x86/mmu: Refresh CR0.WP prior to checking for emulated permission faults (Paolo Bonzini) [2210042] - KVM: x86: Add helpers to query individual CR0/CR4 bits (Paolo Bonzini) [2210042] - KVM: x86: Preserve TDP MMU roots until they are explicitly invalidated (Paolo Bonzini) [2210042] - KVM: VMX: Make CR0.WP a guest owned bit (Paolo Bonzini) [2210042] - KVM: x86: Make use of kvm_read_cr*_bits() when testing bits (Paolo Bonzini) [2210042] - KVM: x86: Ignore CR0.WP toggles in non-paging mode (Paolo Bonzini) [2210042] - KVM: x86: Do not unload MMU roots when only toggling CR0.WP with TDP enabled (Paolo Bonzini) [2210042] - igc: Fix possible system crash when loading module (Corinna Vinschen) [2153371] - igc: Clean the TX buffer and TX descriptor ring (Corinna Vinschen) [2153371] - igc: Avoid transmit queue timeout for XDP (Corinna Vinschen) [2153371] - igc: read before write to SRRCTL register (Corinna Vinschen) [2153371] - igc: Enable and fix RX hash usage by netstack (Corinna Vinschen) [2153371] - igc: Remove obsolete DMA coalescing code (Corinna Vinschen) [2153371] - igc: fix the validation logic for taprio's gate list (Corinna Vinschen) [2153371] - igc: Add ndo_tx_timeout support (Corinna Vinschen) [2153371] - igc: return an error if the mac type is unknown in igc_ptp_systim_to_hwtstamp() (Corinna Vinschen) [2153371] - igc: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153371] - igc: Fix PPS delta between two synchronized end-points (Corinna Vinschen) [2153371] - igc: Remove reset adapter task for i226 during disable tsn config (Corinna Vinschen) [2153371] - igc: enable Qbv configuration for 2nd GCL (Corinna Vinschen) [2153371] - igc: remove I226 Qbv BaseTime restriction (Corinna Vinschen) [2153371] - igc: Set Qbv start_time and end_time to end_time if not being configured in GCL (Corinna Vinschen) [2153371] - igc: recalculate Qbv end_time by considering cycle time (Corinna Vinschen) [2153371] - igc: allow BaseTime 0 enrollment for Qbv (Corinna Vinschen) [2153371] - igc: Add checking for basetime less than zero (Corinna Vinschen) [2153371] - igc: Use strict cycles for Qbv scheduling (Corinna Vinschen) [2153371] - igc: Enhance Qbv scheduling by using first flag bit (Corinna Vinschen) [2153371] - xfrm: Linearize the skb after offloading if needed. (Sabrina Dubroca) [2218900] - xfrm: fix inbound ipv4/udp/esp packets to UDPv6 dualstack sockets (Sabrina Dubroca) [2218900] - xfrm: add missed call to delete offloaded policies (Sabrina Dubroca) [2218900] - af_key: Reject optional tunnel/BEET mode templates in outbound policies (Sabrina Dubroca) [2218900] - xfrm: Reject optional tunnel/BEET mode templates in outbound policies (Sabrina Dubroca) [2218900] - xfrm: Fix leak of dev tracker (Sabrina Dubroca) [2218900] - xfrm: release all offloaded policy memory (Sabrina Dubroca) [2218900] - xfrm: don't check the default policy if the policy allows the packet (Sabrina Dubroca) [2218900] - x86/bugs: Workaround for incorrectly set X86_BUG_RETBLEED under VMware (Waiman Long) [2189577] - sched/fair: Don't balance task to its current running CPU (Valentin Schneider) [2095206] Resolves: rhbz#2095206, rhbz#2153371, rhbz#2164750, rhbz#2164764, rhbz#2165323, rhbz#2165355, rhbz#2165363, rhbz#2179545, rhbz#2189577, rhbz#2190491, rhbz#2210042, rhbz#2217964, rhbz#2218139, rhbz#2218388, rhbz#2218664, rhbz#2218900 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-17 07:01:13 +00:00
CONFIG_MLX5_EN_MACSEC=y
CONFIG_MLX5_EN_RXNFC=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_MLX5_EN_TLS=y
CONFIG_MLX5_ESWITCH=y
CONFIG_MLX5_FPGA=y
CONFIG_MLX5_INFINIBAND=m
CONFIG_MLX5_MPFS=y
CONFIG_MLX5_SF=y
CONFIG_MLX5_SW_STEERING=y
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_MLX5_TC_CT=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_MLX5_TC_SAMPLE=y
kernel-5.14.0-213.el9 * Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-213.el9] - redhat: configs: disable vDPA on all archs except x86_64 (Laurent Vivier) [2140885] - pipe: Fix missing lock in pipe_resize_ring() (Ian Kent) [2141632] {CVE-2022-2959} - NFSD: Protect against send buffer overflow in NFSv3 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv3 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_encode's buflen calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_decode's end-of-buffer calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - block: change request end_io handler to pass back a return value (Eric Chanudet) [2139205] - block: Change the return type of blk_mq_map_queues() into void (Eric Chanudet) [2139205] - block: simplify disk shutdown (Eric Chanudet) [2139205] - scsi: ufs: Split the drivers/scsi/ufs directory (Eric Chanudet) [2139205] - blk-mq: remove the done argument to blk_execute_rq_nowait (Eric Chanudet) [2139205] - i40e: Fix error handling in i40e_init_module() (Stefan Assmann) [2104466] - i40e: Add appropriate error message logged for incorrect duplex setting (Stefan Assmann) [2104466] - i40e: Add i40e_napi_poll tracepoint (Stefan Assmann) [2104466] - i40e: Record number of RXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Record number TXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Store the irq number in i40e_q_vector (Stefan Assmann) [2104466] - ptp: introduce helpers to adjust by scaled parts per million (Stefan Assmann) [2104466] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Stefan Assmann) [2104466] - i40e: Fix flow-type by setting GL_HASH_INSET registers (Stefan Assmann) [2104466] - i40e: Fix VF hang when reset is triggered on another VF (Stefan Assmann) [2104466] - i40e: Fix ethtool rx-flow-hash setting for X722 (Stefan Assmann) [2104466] - i40e: Fix DMA mappings leak (Stefan Assmann) [2104466] - i40e: add description and modify interrupts configuration procedure (Stefan Assmann) [2104466] - i40e: Add basic support for I710 devices (Stefan Assmann) [2104466] - i40e: Fix set max_tx_rate when it is lower than 1 Mbps (Stefan Assmann) [2104466] - i40e: Fix VF set max MTU size (Stefan Assmann) [2104466] - i40e: Fix ADQ rate limiting for PF (Stefan Assmann) [2104466] - net: ethernet: move from strlcpy with unused retval to strscpy (Stefan Assmann) [2104466] - i40e: Fix incorrect address type for IPv6 flow rules (Stefan Assmann) [2104466] - i40e: Fix to stop tx_timeout recovery if GLOBR fails (Stefan Assmann) [2104466] - i40e: convert .adjfreq to .adjfine (Stefan Assmann) [2104466] - i40e: use mul_u64_u64_div_u64 for PTP frequency calculation (Stefan Assmann) [2104466] - i40e: Fix interface init with MSI interrupts (no MSI-X) (Stefan Assmann) [2104466] - i40e: Refactor tc mqprio checks (Stefan Assmann) [2104466] - i40e: Fix erroneous adapter reinitialization during recovery process (Stefan Assmann) [2104466] - i40e: Fix VF's MAC Address change on VM (Stefan Assmann) [2104466] - i40e: Fix dropped jumbo frames statistics (Stefan Assmann) [2104466] - intel/i40e:fix repeated words in comments (Stefan Assmann) [2104466] - intel: remove unused macros (Stefan Assmann) [2104466] - i40e: read the XDP program once per NAPI (Stefan Assmann) [2104466] - intel/i40e: delete if NULL check before dev_kfree_skb (Stefan Assmann) [2104466] - i40e: Remove unnecessary synchronize_irq() before free_irq() (Stefan Assmann) [2104466] - i40e: Add support for ethtool -s <interface> speed <speed in Mb> (Stefan Assmann) [2104466] - i40e: Add VF VLAN pruning (Stefan Assmann) [2104466] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2104466] Resolves: rhbz#2140885, rhbz#2141632, rhbz#2141770, rhbz#2139205, rhbz#2104466 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 15:28:06 +00:00
# CONFIG_MLX5_VDPA_NET is not set
kernel-5.14.0-355.el9 * Mon Aug 14 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-355.el9] - docs: Move the HTE documentation to driver-api/ (Charles Mirabile) [2213227] - hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() (Charles Mirabile) [2213227] - gpio: tegra186: Add Tegra234 hte support (Charles Mirabile) [2213227] - hte: handle nvidia,gpio-controller property (Charles Mirabile) [2213227] - hte: Deprecate nvidia,slices property (Charles Mirabile) [2213227] - hte: Add Tegra234 provider (Charles Mirabile) [2213227] - arm64: tegra: Add Tegra234 GTE nodes (Charles Mirabile) [2213227] - dt-bindings: timestamp: Deprecate nvidia,slices property (Charles Mirabile) [2213227] - dt-bindings: timestamp: Add Tegra234 support (Charles Mirabile) [2213227] - MAINTAINERS: Add HTE/timestamp subsystem details (Charles Mirabile) [2213227] - hte: Use of_property_present() for testing DT property presence (Charles Mirabile) [2213227] - hte: tegra-194: Use proper includes (Charles Mirabile) [2213227] - tools: gpio: fix -c option of gpio-event-mon (Charles Mirabile) [2213227] - gpio: tegra186: remove unneeded loop in tegra186_gpio_init_route_mapping() (Charles Mirabile) [2213227] - hte: tegra: fix 'struct of_device_id' build error (Charles Mirabile) [2213227] - hte: Use device_match_of_node() (Charles Mirabile) [2213227] - dt-bindings: timestamp: Correct id path (Charles Mirabile) [2213227] - dt-bindings: Renamed hte directory to timestamp (Charles Mirabile) [2213227] - hte: Fix possible use-after-free in tegra_hte_test_remove() (Charles Mirabile) [2213227] - hte: Remove unused including <linux/version.h> (Charles Mirabile) [2213227] - MAINTAINERS: Add HTE Subsystem (Charles Mirabile) [2213227] - tools: gpio: Add new hardware clock type (Charles Mirabile) [2213227] - dt-bindings: Add HTE bindings (Charles Mirabile) [2213227] - Documentation: Add HTE subsystem guide (Charles Mirabile) [2213227] - net/mlx5e: TC, CT: Offload ct clear only once (Mohammad Kabat) [2214574] - net/mlx5e: TC, Cleanup ct resources for nic flow (Mohammad Kabat) [2214574] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Mohammad Kabat) [2165364] - vfio-iommufd: Support iommufd for physical VFIO devices (Mohammad Kabat) [2214574] - net/mlx5e: TC, Add null pointer check for hardware miss support (Mohammad Kabat) [2214574] - net/mlx5e: TC, Remove special handling of CT action (Mohammad Kabat) [2214574] - net/mlx5: DR, Add missing mutex init/destroy in pattern manager (Mohammad Kabat) [2214574] - net/mlx5e: Do not update SBCM when prio2buffer command is invalid (Mohammad Kabat) [2214574] - net/mlx5e: Move Ethernet driver debugfs to profile init callback (Mohammad Kabat) [2214574] - net/mlx5e: Use query_special_contexts cmd only once per mdev (Mohammad Kabat) [2214574] - net/mlx5e: TC, Fix using eswitch mapping in nic mode (Mohammad Kabat) [2214574] - net/mlx5: Read embedded cpu after init bit cleared (Mohammad Kabat) [2214574] - net/mlx5e: Fix error handling in mlx5e_refresh_tirs (Mohammad Kabat) [2214574] - net/mlx5e: Don't attach netdev profile while handling internal error (Mohammad Kabat) [2214574] - net/mlx5: Fix post parse infra to only parse every action once (Mohammad Kabat) [2214574] - net/mlx5: fw_tracer, Fix event handling (Mohammad Kabat) [2214574] - net/mlx5: SF, Drain health before removing device (Mohammad Kabat) [2214574] - net/mlx5e: Consider internal buffers size in port buffer calculations (Mohammad Kabat) [2214574] - net/mlx5: Devcom, serialize devcom registration (Mohammad Kabat) [2214574] - net/mlx5: Devcom, fix error flow in mlx5_devcom_register_device (Mohammad Kabat) [2214574] - net/mlx5e: Fix SQ wake logic in ptp napi_poll context (Mohammad Kabat) [2214574] - net/mlx5e: Fix deadlock in tc route query code (Mohammad Kabat) [2214574] - net/mlx5: Fix error message when failing to allocate device memory (Mohammad Kabat) [2214574] - net/mlx5e: Use correct encap attribute during invalidation (Mohammad Kabat) [2214574] - net/mlx5: DR, Check force-loopback RC QP capability independently from RoCE (Mohammad Kabat) [2214574] - net/mlx5: DR, Fix crc32 calculation to work on big-endian (BE) CPUs (Mohammad Kabat) [2214574] - net/mlx5: Handle pairing of E-switch via uplink un/load APIs (Mohammad Kabat) [2214574] - net/mlx5: Collect command failures data only for known commands (Mohammad Kabat) [2214574] - net/mlx5e: do as little as possible in napi poll when budget is 0 (Mohammad Kabat) [2214574] - net/mlx5e: Fix error flow in representor failing to add vport rx rule (Mohammad Kabat) [2214574] - RDMA/mlx5: Fix flow counter query via DEVX (Mohammad Kabat) [2214574] - net/mlx5: Fix wrong comment (Mohammad Kabat) [2214574] - net/mlx5e: Coding style fix, add empty line (Mohammad Kabat) [2214574] - RDMA/mlx5: Coding style fix reported by checkpatch (Mohammad Kabat) [2214574] - net/mlx5: DR, Calculate sync threshold of each pool according to its type (Mohammad Kabat) [2015447] - net/mlx5: DR, Fix dumping of legacy modify_hdr in debug dump (Mohammad Kabat) [2015447] - net/mlx5: DR, Enable patterns and arguments for supporting devices (Mohammad Kabat) [2015447] - net/mlx5: DR, Add support for the pattern/arg parameters in debug dump (Mohammad Kabat) [2015447] - net/mlx5: DR, Modify header action of size 1 optimization (Mohammad Kabat) [2015447] - net/mlx5: DR, Support decap L3 action using pattern / arg mechanism (Mohammad Kabat) [2015447] - net/mlx5: DR, Apply new accelerated modify action and decapl3 (Mohammad Kabat) [2015447] - net/mlx5: DR, Add modify header argument pointer to actions attributes (Mohammad Kabat) [2015447] - net/mlx5: DR, Add modify header arg pool mechanism (Mohammad Kabat) [2015447] - net/mlx5: DR, Read ICM memory into dedicated buffer (Mohammad Kabat) [2015447] - net/mlx5: DR, Add support for writing modify header argument (Mohammad Kabat) [2015447] - net/mlx5: DR, Add create/destroy for modify-header-argument general object (Mohammad Kabat) [2015447] - net/mlx5: DR, Check for modify_header_argument device capabilities (Mohammad Kabat) [2015447] - net/mlx5: DR, Split chunk allocation to HW-dependent ways (Mohammad Kabat) [2015447] - net/mlx5: DR, Add cache for modify header pattern (Mohammad Kabat) [2015447] - net/mlx5: DR, Move ACTION_CACHE_LINE_SIZE macro to header (Mohammad Kabat) [2015447] - net/mlx5: DR, Add modify-header-pattern ICM pool (Mohammad Kabat) [2015447] - net/mlx5: DR, Prepare sending new WQE type (Mohammad Kabat) [2015447] - net/mlx5: Add new WQE for updating flow table (Mohammad Kabat) [2015447] - net/mlx5: Add mlx5_ifc bits for modify header argument (Mohammad Kabat) [2015447] - RHEL-only: redhat/configs: Enable CONFIG_MLX5_VFIO_PCI on all archs (Mohammad Kabat) [2165324] - vfio/mlx5: Fallback to STOP_COPY upon specific PRE_COPY error (Mohammad Kabat) [2165324] - vfio/mlx5: Consider temporary end of stream as part of PRE_COPY (Mohammad Kabat) [2165324] - vfio/mlx5: Enable MIGRATION_PRE_COPY flag (Mohammad Kabat) [2165324] - vfio/mlx5: fix error code in mlx5vf_precopy_ioctl() (Mohammad Kabat) [2165324] - vfio/mlx5: error pointer dereference in error handling (Mohammad Kabat) [2165324] - vfio/mlx5: Fix UBSAN note (Mohammad Kabat) [2165324] - vfio/mlx5: Allow loading of larger images than 512 MB (Mohammad Kabat) [2165324] - vfio/mlx5: Check whether VF is migratable (Mohammad Kabat) [2165324] - vfio/mlx5: Fix the report of dirty_bytes upon pre-copy (Mohammad Kabat) [2165324] - vfio/mlx5: Improve the source side flow upon pre_copy (Mohammad Kabat) [2165324] - vfio/mlx5: Improve the target side flow to reduce downtime (Mohammad Kabat) [2165324] - vfio/mlx5: Introduce multiple loads (Mohammad Kabat) [2165324] - vfio/mlx5: Fix range size calculation upon tracker creation (Mohammad Kabat) [2165324] - vfio/mlx5: Introduce vfio precopy ioctl implementation (Mohammad Kabat) [2165324] - vfio/mlx5: Introduce SW headers for migration states (Mohammad Kabat) [2165324] - vfio/mlx5: Introduce device transitions of PRE_COPY (Mohammad Kabat) [2165324] - vfio/mlx5: Refactor to use queue based data chunks (Mohammad Kabat) [2165324] - vfio/mlx5: Refactor migration file state (Mohammad Kabat) [2165324] - vfio/mlx5: Refactor MKEY usage (Mohammad Kabat) [2165324] - vfio/mlx5: Refactor PD usage (Mohammad Kabat) [2165324] - vfio/mlx5: Enforce a single SAVE command at a time (Mohammad Kabat) [2165324] - vfio/mlx5: Fix a typo in mlx5vf_cmd_load_vhca_state() (Mohammad Kabat) [2165324] - vfio: Add an option to get migration data size (Mohammad Kabat) [2165324] - vfio/mlx5: Switch to use module_pci_driver() macro (Mohammad Kabat) [2165324] - vfio/pci: Add driver_managed_dma to the new vfio_pci drivers (Mohammad Kabat) [2165324] - vfio/mlx5: Use the new device life cycle helpers (Mohammad Kabat) [2165324] - vfio/mlx5: Set the driver DMA logging callbacks (Mohammad Kabat) [2165324] - vfio/mlx5: Manage error scenarios on tracker (Mohammad Kabat) [2165324] - vfio/mlx5: Report dirty pages from tracker (Mohammad Kabat) [2165324] - vfio/mlx5: Create and destroy page tracker object (Mohammad Kabat) [2165324] - vfio/mlx5: Init QP based resources for dirty tracking (Mohammad Kabat) [2165324] - vfio: Split migration ops from main device ops (Mohammad Kabat) [2165324] - vfio/mlx5: Protect mlx5vf_disable_fds() upon close device (Mohammad Kabat) [2165324] - vfio/pci: Have all VFIO PCI drivers store the vfio_pci_core_device in drvdata (Mohammad Kabat) [2165324] - vfio/mlx5: Run the SAVE state command in an async mode (Mohammad Kabat) [2165324] - vfio/mlx5: Refactor to enable VFs migration in parallel (Mohammad Kabat) [2165324] - vfio/mlx5: Manage the VF attach/detach callback from the PF (Mohammad Kabat) [2165324] - vfio/mlx5: Fix to not use 0 as NULL pointer (Mohammad Kabat) [2165324] - vfio/mlx5: Use its own PCI reset_done error handler (Mohammad Kabat) [2165324] - vfio/mlx5: Implement vfio_pci driver for mlx5 devices (Mohammad Kabat) [2165324] - vfio/mlx5: Expose migration commands over mlx5 device (Mohammad Kabat) [2165324] - net/mlx5e: RX, Remove doubtful unlikely call (Mohammad Kabat) [2165364] - net/mlx5e: Remove redundant page argument in mlx5e_xdp_handle() (Mohammad Kabat) [2165364] - net/mlx5e: Remove redundant page argument in mlx5e_xmit_xdp_buff() (Mohammad Kabat) [2165364] - net/mlx5e: Support RX XDP metadata (Mohammad Kabat) [2165364] - net/mlx5e: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165364] - Revert "net/mlx5: Enable management PF initialization" (Mohammad Kabat) [2165364] - RDMA/mlx5: Use correct device num_ports when modify DC (Mohammad Kabat) [2165364] - net/mlx5e: Nullify table pointer when failing to create (Mohammad Kabat) [2165364] - net/mlx5: Use recovery timeout on sync reset flow (Mohammad Kabat) [2165364] - Revert "net/mlx5: Remove "recovery" arg from mlx5_load_one() function" (Mohammad Kabat) [2165364] - net/mlx5: Release tunnel device after tc update skb (Mohammad Kabat) [2165364] - net/mlx5: E-switch, Don't destroy indirect table in split rule (Mohammad Kabat) [2165364] - net/mlx5: E-switch, Create per vport table based on devlink encap mode (Mohammad Kabat) [2165364] - net/mlx5e: Release the label when replacing existing ct entry (Mohammad Kabat) [2165364] - net/mlx5e: Don't clone flow post action attributes second time (Mohammad Kabat) [2165364] - RDMA/mlx5: Check pcie_relaxed_ordering_enabled() in UMR (Mohammad Kabat) [2165364] - net/mlx5: DR, Fix QP continuous allocation (Mohammad Kabat) [2165364] - IB/mlx5: Add support for 400G_8X lane speed (Mohammad Kabat) [2165364] - net/mlx5: E-Switch, Fix an Oops in error handling code (Mohammad Kabat) [2165364] - net/mlx5: Read the TC mapping of all priorities on ETS query (Mohammad Kabat) [2165364] - net/mlx5e: Initialize link speed to zero (Mohammad Kabat) [2165364] - net/mlx5: Fix steering rules cleanup (Mohammad Kabat) [2165364] - net/mlx5e: Block entering switchdev mode with ns inconsistency (Mohammad Kabat) [2165364] - net/mlx5e: Set uplink rep as NETNS_LOCAL (Mohammad Kabat) [2165364] - net/mlx5e: TC, Remove error message log print (Mohammad Kabat) [2165364] - net/mlx5e: TC, fix cloned flow attribute (Mohammad Kabat) [2165364] - net/mlx5e: TC, fix missing error code (Mohammad Kabat) [2165364] - net/sched: TC, fix raw counter initialization (Mohammad Kabat) [2165364] - net/mlx5e: Lower maximum allowed MTU in XSK to match XDP prerequisites (Mohammad Kabat) [2165364] - net/mlx5: Set BREAK_FW_WAIT flag first when removing driver (Mohammad Kabat) [2165364] - net/mlx5e: kTLS, Fix missing error unwind on unsupported cipher type (Mohammad Kabat) [2165364] - net/mlx5e: Fix cleanup null-ptr deref on encap lock (Mohammad Kabat) [2165364] - net/mlx5: E-switch, Fix missing set of split_count when forward to ovs internal port (Mohammad Kabat) [2165364] - net/mlx5: E-switch, Fix wrong usage of source port rewrite in split rules (Mohammad Kabat) [2165364] - net/mlx5: Disable eswitch before waiting for VF pages (Mohammad Kabat) [2165364] - net/mlx5: Fix setting ec_function bit in MANAGE_PAGES (Mohammad Kabat) [2165364] - net/mlx5e: Don't cache tunnel offloads capability (Mohammad Kabat) [2165364] - net/mlx5: Geneve, Fix handling of Geneve object id as error code (Mohammad Kabat) [2165364] - net/mlx5e: Verify flow_source cap before using it (Mohammad Kabat) [2165364] - net/mlx5: ECPF, wait for VF pages only after disabling host PFs (Mohammad Kabat) [2165364] - mlx5: fix possible ptp queue fifo use-after-free (Mohammad Kabat) [2165364] - mlx5: fix skb leak while fifo resync and push (Mohammad Kabat) [2165364] - net/mlx5e: TC, fix return value check in mlx5e_tc_act_stats_create() (Mohammad Kabat) [2165364] - net/mlx5: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165364] - net/mlx5e: Remove hairpin write debugfs files (Mohammad Kabat) [2165364] - net/mlx5: Fix memory leak in IPsec RoCE creation (Mohammad Kabat) [2165364] - net/mlx5e: Align IPsec ASO result memory to be as required by hardware (Mohammad Kabat) [2165364] - net/mlx5e: TC, Set CT miss to the specific ct action instance (Mohammad Kabat) [2165364] - net/mlx5e: Rename CHAIN_TO_REG to MAPPED_OBJ_TO_REG (Mohammad Kabat) [2165364] - net/mlx5: Refactor tc miss handling to a single function (Mohammad Kabat) [2165364] - net/mlx5: Kconfig: Make tc offload depend on tc skb extension (Mohammad Kabat) [2165364] - IB/mlx5: Extend debug control for CC parameters (Mohammad Kabat) [2165364] - net/mlx5e: Fix outdated TLS comment (Mohammad Kabat) [2165364] - net/mlx5e: Remove unused function mlx5e_sq_xmit_simple (Mohammad Kabat) [2165364] - net/mlx5e: Allow offloading of ct 'new' match (Mohammad Kabat) [2164782 2165364] - net/mlx5e: Implement CT entry update (Mohammad Kabat) [2164782 2165364] - net/mlx5: Simplify eq list traversal (Mohammad Kabat) [2165364] - net/mlx5e: Switch to using napi_build_skb() (Mohammad Kabat) [2165364] - RDMA/mlx5: Use query_special_contexts for mkeys (Mohammad Kabat) [2165364] - net/mlx5e: Use query_special_contexts for mkeys (Mohammad Kabat) [2165364] - net/mlx5: Change define name for 0x100 lkey value (Mohammad Kabat) [2165364] - net/mlx5: Expose bits for querying special mkeys (Mohammad Kabat) [2165364] - RDMA/mlx5: Use rdma_umem_for_each_dma_block() (Mohammad Kabat) [2165364] - net/mlx5: Configure IPsec steering for egress RoCEv2 traffic (Mohammad Kabat) [2164766 2165364] - net/mlx5: Configure IPsec steering for ingress RoCEv2 traffic (Mohammad Kabat) [2164766 2165364] - net/mlx5: Add IPSec priorities in RDMA namespaces (Mohammad Kabat) [2164766 2165364] - net/mlx5: Implement new destination type TABLE_TYPE (Mohammad Kabat) [2164766 2165364] - net/mlx5: Introduce new destination type TABLE_TYPE (Mohammad Kabat) [2164766 2165364] - net/mlx5: Suspend auxiliary devices only in case of PCI device suspend (Mohammad Kabat) [2165364] - net/mlx5: Remove "recovery" arg from mlx5_load_one() function (Mohammad Kabat) [2165364] - net/mlx5e: Move devlink port registration to be done before netdev alloc (Mohammad Kabat) [2165364] - net/mlx5e: Move dl_port to struct mlx5e_dev (Mohammad Kabat) [2165364] - net/mlx5e: Replace usage of mlx5e_devlink_get_dl_port() by netdev->devlink_port (Mohammad Kabat) [2165364] - net/mlx5e: Pass mdev to mlx5e_devlink_port_register() (Mohammad Kabat) [2165364] - net/mlx5: Remove outdated comment (Mohammad Kabat) [2165364] - net/mlx5e: TC, Remove redundant parse_attr argument (Mohammad Kabat) [2164777 2165364] - net/mlx5e: Use a simpler comparison for uplink rep (Mohammad Kabat) [2164777 2165364] - net/mlx5: Lag, Add single RDMA device in multiport mode (Mohammad Kabat) [2164777 2165364] - net/mlx5: Lag, set different uplink vport metadata in multiport eswitch mode (Mohammad Kabat) [2164777 2165364] - net/mlx5: E-Switch, rename bond update function to be reused (Mohammad Kabat) [2164777 2165364] - net/mlx5e: TC, Add peer flow in mpesw mode (Mohammad Kabat) [2164777 2165364] - net/mlx5: Lag, Control MultiPort E-Switch single FDB mode (Mohammad Kabat) [2164777 2165364] - net/mlx5e: TC, support per action stats (Mohammad Kabat) [2165364] - net/mlx5e: TC, map tc action cookie to a hw counter (Mohammad Kabat) [2165364] - net/mlx5e: TC, store tc action cookies per attr (Mohammad Kabat) [2165364] - net/mlx5e: TC, add hw counter to branching actions (Mohammad Kabat) [2165364] - RDMA/mlx5: Track netdev to avoid deadlock during netdev notifier unregister (Mohammad Kabat) [2165364] - net/mlx5e: Propagate an internal event in case uplink netdev changes (Mohammad Kabat) [2165364] - net/mlx5e: Fix trap event handling (Mohammad Kabat) [2165364] - net/mlx5e: Improve remote NUMA preferences used for the IRQ affinity hints (Mohammad Kabat) [2165364] - net/mlx5: fw_tracer, Add support for unrecognized string (Mohammad Kabat) [2165364] - net/mlx5: fw_tracer, Add support for strings DB update event (Mohammad Kabat) [2165364] - net/mlx5: fw_tracer, allow 0 size string DBs (Mohammad Kabat) [2165364] - net/mlx5: fw_tracer: Fix debug print (Mohammad Kabat) [2165364] - net/mlx5: fs, Remove redundant assignment of size (Mohammad Kabat) [2165364] - net/mlx5: fs_core, Remove redundant variable err (Mohammad Kabat) [2165364] - net/mlx5: Fix memory leak in error flow of port set buffer (Mohammad Kabat) [2165364] - net/mlx5e: Remove incorrect debugfs_create_dir NULL check in TLS (Mohammad Kabat) [2165364] - net/mlx5e: Remove incorrect debugfs_create_dir NULL check in hairpin (Mohammad Kabat) [2165364] - net/mlx5: fs, Remove redundant vport_number assignment (Mohammad Kabat) [2165364] - net/mlx5e: Remove redundant code for handling vlan actions (Mohammad Kabat) [2165364] - net/mlx5e: Don't listen to remove flows event (Mohammad Kabat) [2165364] - net/mlx5: fw reset: Skip device ID check if PCI link up failed (Mohammad Kabat) [2165364] - net/mlx5: Remove redundant health work lock (Mohammad Kabat) [2165364] - mlx5: reduce stack usage in mlx5_setup_tc (Mohammad Kabat) [2165364] - RDMA/mlx5: Check reg_create() create for errors (Mohammad Kabat) [2165364] - RDMA/mlx5: Remove impossible check of mkey cache cleanup failure (Mohammad Kabat) [2165364] - RDMA/mlx5: Fix MR cache debugfs error in IB representors mode (Mohammad Kabat) [2165364] - net/mlx5e: Trigger NAPI after activating an SQ (Mohammad Kabat) [2165364] - net/mlx5e: IPsec, support upper protocol selector field offload (Mohammad Kabat) [2165364] - net/mlx5e: IPoIB, Add support for XDR speed (Mohammad Kabat) [2165364] - net/mlx5: Enhance debug print in page allocation failure (Mohammad Kabat) [2165364] - net/mlx5: Add firmware support for MTUTC scaled_ppm frequency adjustments (Mohammad Kabat) [2165364] - net/mlx5: Lag, Move mpesw related definitions to mpesw.h (Mohammad Kabat) [2165364] - net/mlx5: Lag, Use flag to check for shared FDB mode (Mohammad Kabat) [2165364] - net/mlx5: Lag, Remove redundant bool allocation on the stack (Mohammad Kabat) [2165364] - net/mlx5: Lag, Use mlx5_lag_dev() instead of derefering pointers (Mohammad Kabat) [2165364] - net/mlx5: Lag, Update multiport eswitch check to log an error (Mohammad Kabat) [2165364] - net/mlx5e: kTLS, Improve connection rate by using fast update encryption key (Mohammad Kabat) [2165364] - net/mlx5: Keep only one bulk of full available DEKs (Mohammad Kabat) [2165364] - net/mlx5: Add async garbage collector for DEK bulk (Mohammad Kabat) [2165364] - net/mlx5: Reuse DEKs after executing SYNC_CRYPTO command (Mohammad Kabat) [2165364] - net/mlx5: Use bulk allocation for fast update encryption key (Mohammad Kabat) [2165364] - net/mlx5: Add bulk allocation and modify_dek operation (Mohammad Kabat) [2165364] - net/mlx5: Add support SYNC_CRYPTO command (Mohammad Kabat) [2165364] - net/mlx5: Add new APIs for fast update encryption key (Mohammad Kabat) [2165364] - net/mlx5: Refactor the encryption key creation (Mohammad Kabat) [2165364] - net/mlx5: Add const to the key pointer of encryption key creation (Mohammad Kabat) [2165364] - net/mlx5: Prepare for fast crypto key update if hardware supports it (Mohammad Kabat) [2165364] - net/mlx5: Change key type to key purpose (Mohammad Kabat) [2165364] - net/mlx5: Add IFC bits and enums for crypto key (Mohammad Kabat) [2165364] - net/mlx5: Add IFC bits for general obj create param (Mohammad Kabat) [2165364] - net/mlx5: Header file for crypto (Mohammad Kabat) [2165364] - RDMA/mlx5: Add work to remove temporary entries from the cache (Mohammad Kabat) [2165364] - RDMA/mlx5: Cache all user cacheable mkeys on dereg MR flow (Mohammad Kabat) [2165364] - RDMA/mlx5: Introduce mlx5r_cache_rb_key (Mohammad Kabat) [2165364] - RDMA/mlx5: Change the cache structure to an RB-tree (Mohammad Kabat) [2165364] - RDMA/mlx5: Remove implicit ODP cache entry (Mohammad Kabat) [2165364] - RDMA/mlx5: Don't keep umrable 'page_shift' in cache entries (Mohammad Kabat) [2165364] - net/mlx5e: Fill IPsec state validation failure reason (Mohammad Kabat) [2165364] - net/mlx5e: Fill IPsec policy validation failure reason (Mohammad Kabat) [2165364] - xfrm: extend add policy callback to set failure reason (Mohammad Kabat) [2165364] - net/mlx5e: Use read lock for eswitch get callbacks (Mohammad Kabat) [2165364] - net/mlx5: E-Switch, Fix typo for egress (Mohammad Kabat) [2165364] - net/mlx5e: Warn when destroying mod hdr hash table that is not empty (Mohammad Kabat) [2165364] - net/mlx5e: TC, Use common function allocating flow mod hdr or encap mod hdr (Mohammad Kabat) [2165364] - net/mlx5e: TC, Add tc prefix to attach/detach hdr functions (Mohammad Kabat) [2165364] - net/mlx5e: TC, Pass flow attr to attach/detach mod hdr functions (Mohammad Kabat) [2165364] - net/mlx5e: Add warning when log WQE size is smaller than log stride size (Mohammad Kabat) [2165364] - net/mlx5e: Fail with messages when params are not valid for XSK (Mohammad Kabat) [2165364] - net/mlx5: E-switch, Remove redundant comment about meta rules (Mohammad Kabat) [2165364] - net/mlx5: Add hardware extended range support for PTP adjtime and adjphase (Mohammad Kabat) [2165364] - net/mlx5: Add adjphase function to support hardware-only offset control (Mohammad Kabat) [2165364] - net/mlx5: Suppress error logging on UCTX creation (Mohammad Kabat) [2165364] - net/mlx5e: Suppress Send WQEBB room warning for PAGE_SIZE >= 16KB (Mohammad Kabat) [2165364] - RDMA/mlx5: Print error syndrome in case of fatal QP errors (Mohammad Kabat) [2165364] - RDMA/mlx: Calling qp event handler in workqueue context (Mohammad Kabat) [2165364] - net/mlx5: Introduce CQE error syndrome (Mohammad Kabat) [2165364] - net/mlx5e: Use kzalloc() in mlx5e_accel_fs_tcp_create() (Mohammad Kabat) [2165364] - net/mlx5: remove redundant ret variable (Mohammad Kabat) [2165364] - net/mlx5e: Replace 0-length array with flexible array (Mohammad Kabat) [2165364] - net/mlx5e: Replace zero-length array with flexible-array member (Mohammad Kabat) [2165364] - net/mlx5: Prevent high-rate FW commands from populating all slots (Mohammad Kabat) [2165364] - net/mlx5: Introduce and use opcode getter in command interface (Mohammad Kabat) [2165364] - net/mlx5: Enable management PF initialization (Mohammad Kabat) [2165364] - net/mlx5e: Add hairpin debugfs files (Mohammad Kabat) [2165364] - net/mlx5e: Add flow steering debugfs directory (Mohammad Kabat) [2165364] - net/mlx5e: Add hairpin params structure (Mohammad Kabat) [2165364] - net/mlx5e: kTLS, Add debugfs (Mohammad Kabat) [2165364] - net/mlx5e: Add Ethernet driver debugfs (Mohammad Kabat) [2165364] - net/mlx5e: Update shared buffer along with device buffer changes (Mohammad Kabat) [2165364] - net/mlx5e: Add API to query/modify SBPR and SBCM registers (Mohammad Kabat) [2165364] - net/mlx5: Expose shared buffer registers bits and structs (Mohammad Kabat) [2165364] - net/mlx5e: Support 256 bit keys with kTLS device offload (Mohammad Kabat) [2164747] - net/mlx5e: Protect global IPsec ASO (Mohammad Kabat) [2165364] - net/mlx5e: Remove optimization which prevented update of ESN state (Mohammad Kabat) [2165364] - net/mlx5e: Open mlx5 driver to accept IPsec packet offload (Mohammad Kabat) [2165320] - net/mlx5e: Handle ESN update events (Mohammad Kabat) [2165320] - net/mlx5e: Handle hardware IPsec limits events (Mohammad Kabat) [2165320] - net/mlx5e: Update IPsec soft and hard limits (Mohammad Kabat) [2165320] - net/mlx5e: Store all XFRM SAs in Xarray (Mohammad Kabat) [2165320] - net/mlx5e: Provide intermediate pointer to access IPsec struct (Mohammad Kabat) [2165320] - net/mlx5e: Skip IPsec encryption for TX path without matching policy (Mohammad Kabat) [2165320] - net/mlx5e: Add statistics for Rx/Tx IPsec offloaded flows (Mohammad Kabat) [2165320] - net/mlx5e: Improve IPsec flow steering autogroup (Mohammad Kabat) [2165320] - net/mlx5e: Configure IPsec packet offload flow steering (Mohammad Kabat) [2165320] - net/mlx5e: Use same coding pattern for Rx and Tx flows (Mohammad Kabat) [2165320] - net/mlx5e: Add XFRM policy offload logic (Mohammad Kabat) [2165320] - net/mlx5e: Create IPsec policy offload tables (Mohammad Kabat) [2165364] - net/mlx5e: Generalize creation of default IPsec miss group and rule (Mohammad Kabat) [2165320] - net/mlx5e: Group IPsec miss handles into separate struct (Mohammad Kabat) [2165320] - net/mlx5e: Make clear what IPsec rx_err does (Mohammad Kabat) [2165320] - net/mlx5e: Flatten the IPsec RX add rule path (Mohammad Kabat) [2165320] - net/mlx5e: Refactor FTE setup code to be more clear (Mohammad Kabat) [2165320] - net/mlx5e: Move IPsec flow table creation to separate function (Mohammad Kabat) [2165320] - net/mlx5e: Create hardware IPsec packet offload objects (Mohammad Kabat) [2165320] - net/mlx5e: Create Advanced Steering Operation object for IPsec (Mohammad Kabat) [2165320] - net/mlx5e: Remove accesses to priv for low level IPsec FS code (Mohammad Kabat) [2165320] - net/mlx5e: Use mlx5 print routines for low level IPsec code (Mohammad Kabat) [2165320] - net/mlx5e: Create symmetric IPsec RX and TX flow steering structs (Mohammad Kabat) [2165320] - net/mlx5e: Remove extra layers of defines (Mohammad Kabat) [2165320] - net/mlx5e: Store replay window in XFRM attributes (Mohammad Kabat) [2165320] - net/mlx5e: Advertise IPsec packet offload support (Mohammad Kabat) [2165320] - net/mlx5: Add HW definitions for IPsec packet offload (Mohammad Kabat) [2165320] - net/mlx5: Return ready to use ASO WQE (Mohammad Kabat) [2165320] - scsi: storvsc: Fix handling of virtual Fibre Channel timeouts (Cathy Avery) [2228298] - net: extend drop reasons for multiple subsystems (Íñigo Huguet) [2215988] - net: deprecate PF_KEY (Sabrina Dubroca) [RHEL-1015] - memory: tegra: Add dummy implementation on Tegra194 (Charles Mirabile) [2184406] - memory: tegra: make icc_set_bw return zero if BWMGR not supported (Charles Mirabile) [2184406] - memory: tegra: add check if MRQ_EMC_DVFS_LATENCY is supported (Charles Mirabile) [2184406] - memory: tegra: Add clients used by DRM in Tegra234 (Charles Mirabile) [2184406] - memory: tegra: sort tegra234_mc_clients table as per register offsets (Charles Mirabile) [2184406] - PCI: tegra194: Add interconnect support in Tegra234 (Charles Mirabile) [2184406] - PCI: tegra194: Fix possible array out of bounds access (Charles Mirabile) [2184406] - memory: tegra: Make CPU cluster BW request a multiple of MC channels (Charles Mirabile) [2184406] - dt-bindings: tegra: Add ICC IDs for dummy memory clients (Charles Mirabile) [2184406] - memory: tegra: Add software memory clients in Tegra234 (Charles Mirabile) [2184406] - memory: tegra: Add memory clients for Tegra234 (Charles Mirabile) [2184406] - memory: tegra: Add interconnect support for DRAM scaling in Tegra234 (Charles Mirabile) [2184406] - scsi: zfcp: Defer fc_rport blocking until after ADISC response (Tobias Huschle) [2230243] - redhat/configs: aarch64: add disabled ROCKCHIP_ERRATUM_3588001 (Mark Salter) [2179060] - irqchip/gic: Correctly validate OF quirk descriptors (Mark Salter) [2179060] - irqchip/gic-v3: Disable pseudo NMIs on Mediatek devices w/ firmware issues (Mark Salter) [2179060] - irqchip/gic-v3: Add Rockchip 3588001 erratum workaround (Mark Salter) [2179060] - irqchip/gicv3: Workaround for NVIDIA erratum T241-FABRIC-4 (Mark Salter) [2179060] - genirq: GENERIC_IRQ_EFFECTIVE_AFF_MASK depends on SMP (Mark Salter) [2179060] - irqchip/gic: Drop support for board files (Mark Salter) [2179060] - arm64: irqflags: use alternative branches for pseudo-NMI logic (Mark Salter) [2179060] - arm64: add ARM64_HAS_GIC_PRIO_RELAXED_SYNC cpucap (Mark Salter) [2179060] - arm64: make ARM64_HAS_GIC_PRIO_MASKING depend on ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2179060] - arm64: rename ARM64_HAS_IRQ_PRIO_MASKING to ARM64_HAS_GIC_PRIO_MASKING (Mark Salter) [2179060] - arm64: rename ARM64_HAS_SYSREG_GIC_CPUIF to ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2179060] - irqchip/gic-v2m: Mark a few functions __init (Mark Salter) [2179060] - irqchip/gic-v2m: Include arm-gic-common.h (Mark Salter) [2179060] - irqchip/gic-v3: Fix typo in comment (Mark Salter) [2179060] - irqchip/gic-v3-its: Remove cpumask_var_t allocation (Mark Salter) [2179060] - irqchip/gic-v3: Fix comment typo (Mark Salter) [2179060] - irqchip/gic-v3: Fix refcount leak in gic_populate_ppi_partitions (Mark Salter) [2179060] - irqchip/gic-v3: Fix error handling in gic_populate_ppi_partitions (Mark Salter) [2179060] - irqchip/gic: Improved warning about incorrect type (Mark Salter) [2179060] - irqchip/gic-v3: Always trust the managed affinity provided by the core code (Mark Salter) [2179060] - genirq: Take the proposed affinity at face value if force==true (Mark Salter) [2179060] - genirq: Always limit the affinity to online CPUs (Mark Salter) [2179060] - RDMA/efa: Add EFA 0xefa2 PCI ID (Izabela Bakollari) [2170085] - RDMA/efa: Support CQ receive entries with source GID (Izabela Bakollari) [2170085] Resolves: rhbz#2015447, rhbz#2164747, rhbz#2164766, rhbz#2164777, rhbz#2164782, rhbz#2165320, rhbz#2165324, rhbz#2165364, rhbz#2170085, rhbz#2179060, rhbz#2184406, rhbz#2213227, rhbz#2214574, rhbz#2215988, rhbz#2228298, rhbz#2230243, RHEL-1015 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-14 12:15:59 +00:00
CONFIG_MLX5_VFIO_PCI=m
# CONFIG_MLX90614 is not set
# CONFIG_MLX90632 is not set
# CONFIG_MLXBF_BOOTCTL is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_MLXBF_GIGE is not set
# CONFIG_MLXBF_PMC is not set
# CONFIG_MLXBF_TMFIFO is not set
CONFIG_MLXFW=m
CONFIG_MLX_PLATFORM=m
CONFIG_MLXREG_HOTPLUG=m
CONFIG_MLXREG_IO=m
kernel-5.14.0-139.el9 * Mon Aug 01 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-139.el9] - RDMA/irdma: Fix sleep from invalid context BUG (Kamal Heib) [2097326] - RDMA/irdma: Do not advertise 1GB page size for x722 (Kamal Heib) [2097326] - RDMA/cm: Fix memory leak in ib_cm_insert_listen (Kamal Heib) [2097326] - RDMA/hfi1: Fix potential integer multiplication overflow errors (Kamal Heib) [2097326] - RDMA/hfi1: Prevent use of lock before it is initialized (Kamal Heib) [2097326] - KVM: selftests: Fix target thread to be migrated in rseq_test (Gavin Shan) [2106955] - net: mld: fix reference count leak in mld_{query | report}_work() (Hangbin Liu) [2067774] - vsock/virtio: add support for device suspend/resume (Stefano Garzarella) [2107589] - vsock/virtio: factor our the code to initialize and delete VQs (Stefano Garzarella) [2107589] - hv_sock: Add validation for untrusted Hyper-V values (Stefano Garzarella) [2107589] - vsock/virtio: enable VQs early on probe (Stefano Garzarella) [2107589] - vsock/virtio: read the negotiated features before using VQs (Stefano Garzarella) [2107589] - vsock/virtio: initialize vdev->priv before using VQs (Stefano Garzarella) [2107589] - af_vsock: SOCK_SEQPACKET broken buffer test (Stefano Garzarella) [2107589] - af_vsock: SOCK_SEQPACKET receive timeout test (Stefano Garzarella) [2107589] - vsock: each transport cycles only on its own sockets (Stefano Garzarella) [2107589] - vhost/vsock: don't check owner in vhost_vsock_stop() while releasing (Stefano Garzarella) [2107589] - vsock: remove vsock from connected table when connect is interrupted by a signal (Stefano Garzarella) [2107589] - platform: goldfish: pipe: Use platform_get_irq() to get the interrupt (Mark Langsdorf) [2068298] - MIPS: Loongson64: Add missing of_node_put() in ls2k_reset_init() (Mark Langsdorf) [2068298] - MIPS: Loongson64: Add Loongson-2K1000 reset platform driver (Mark Langsdorf) [2068298] - platform/mellanox: mlxbf-pmc: Fix an IS_ERR() vs NULL bug in mlxbf_pmc_map_counters (Mark Langsdorf) [2068298] - platform/mellanox: mlxreg-lc: fix error code in mlxreg_lc_create_static_devices() (Mark Langsdorf) [2068298] - redhat/configs: enable CONFIG_MLXREG_LC (Mark Langsdorf) [2068298] - platform/mellanox: mlxreg-lc: Add initial support for Nvidia line card devices (Mark Langsdorf) [2068298] - platform_data/mlxreg: Add new field for secured access (Mark Langsdorf) [2068298] - platform/mellanox: mlxreg-io: Extend number of hwmon attributes (Mark Langsdorf) [2068298] - platform/mellanox: mlxreg-hotplug: Extend logic for hotplug devices operations (Mark Langsdorf) [2068298] - platform_data/mlxreg: Add new type to support modular systems (Mark Langsdorf) [2068298] - platform/mellanox: mlxreg-io: Fix read access of n-bytes size attributes (Mark Langsdorf) [2068298] - platform/mellanox: mlxreg-io: Fix argument base in kstrtou32() call (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_debugfs: detach log reader wq from devm (Mark Langsdorf) [2068298] - platform: chrome: Split trace include file (Mark Langsdorf) [2068298] - platform/chrome: sensorhub: Add trace events for sample (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_typec: Update mux flags during partner removal (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_typec: Configure muxes at start of port update (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_typec: Get mux state inside configure_mux (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_typec: Move mux flag checks (Mark Langsdorf) [2068298] - platform/chrome: cros_ec: Make cros_ec_unregister() return void (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_typec: Check for EC device (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_typec: Make try power role optional (Mark Langsdorf) [2068298] - redhat/configs: don't enable ChromeOS privacy screen (Mark Langsdorf) [2068298] - platform/chrome: Add driver for ChromeOS privacy-screen (Mark Langsdorf) [2068298] - drm/privacy-screen: Fix sphinx warning (Mark Langsdorf) [2068298] - drm/privacy_screen: Add drvdata in drm_privacy_screen (Mark Langsdorf) [2068298] - drm/connector: Add a drm_connector privacy-screen helper functions (v2) (Mark Langsdorf) [2068298] - drm/privacy-screen: Add notifier support (v2) (Mark Langsdorf) [2068298] - drm/privacy-screen: Add X86 specific arch init code (Mark Langsdorf) [2068298] - drm: Add privacy-screen class (v4) (Mark Langsdorf) [2068298] - drm/connector: Add support for privacy-screen properties (v4) (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_proto: Add version for ec_command (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_proto: Make data pointers void (Mark Langsdorf) [2068298] - platform/chrome: cros_usbpd_notify: Move ec_command() (Mark Langsdorf) [2068298] - platform/chrome: cros_usbpd_notify: Rename cros_ec_pd_command() (Mark Langsdorf) [2068298] - platform/chrome: cros_ec: Fix spelling mistake "responsed" -> "response" (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_sensorhub: simplify getting .driver_data (Mark Langsdorf) [2068298] - platform/chrome: cros-ec-typec: Cleanup use of check_features (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_typec: Use existing feature check (Mark Langsdorf) [2068298] - platform/chrome: cros_ec_proto: Fix check_features ret val (Mark Langsdorf) [2068298] - drm/mgag200: Enable atomic gamma lut update (Jocelyn Falempe) [2078542] - drm/mgag200: Optimize damage clips (Jocelyn Falempe) [2078542] - drm/mgag200: Add FB_DAMAGE_CLIPS support (Jocelyn Falempe) [2078542] - cgroup: use irqsave in cgroup_rstat_flush_locked(). (Waiman Long) [2060150] - cgroup-v1: Correct privileges check in release_agent writes (Waiman Long) [2060150] - cgroup/cpuset: Fix a race between cpuset_attach() and cpu hotplug (Waiman Long) [2060150] - psi: Fix uaf issue when psi trigger is destroyed while being polled (Waiman Long) [2060150] - cgroup/cpuset: Make child cpusets restrict parents on v1 hierarchy (Waiman Long) [2060150] - cgroup/cpuset: Don't let child cpusets restrict parent in default hierarchy (Waiman Long) [2060150] - mm/page_alloc: detect allocation forbidden by cpuset and bail out early (Waiman Long) [2060150] - cgroup: Fix rootcg cpu.stat guest double counting (Waiman Long) [2060150] - cgroup: no need for cgroup_mutex for /proc/cgroups (Waiman Long) [2060150] - cgroup: remove cgroup_mutex from cgroupstats_build (Waiman Long) [2060150] - cgroup: reduce dependency on cgroup_mutex (Waiman Long) [2060150] - cgroup/cpuset: Change references of cpuset_mutex to cpuset_rwsem (Waiman Long) [2060150] Resolves: rhbz#2097326, rhbz#2106955, rhbz#2067774, rhbz#2107589, rhbz#2068298, rhbz#2078542, rhbz#2060150 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-08-01 14:36:01 +00:00
CONFIG_MLXREG_LC=m
CONFIG_MLXSW_CORE_HWMON=y
CONFIG_MLXSW_CORE=m
CONFIG_MLXSW_CORE_THERMAL=y
CONFIG_MLXSW_I2C=m
CONFIG_MLXSW_MINIMAL=m
CONFIG_MLXSW_PCI=m
CONFIG_MLXSW_SPECTRUM_DCB=y
CONFIG_MLXSW_SPECTRUM=m
kernel-5.14.0-73.el9 * Sun Mar 20 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-73.el9] - CI: Use 9.0-rt branch for rhel-9 realtime_check (Juri Lelli) - redhat: enable zstream release numbering for rhel 9.0 (Herton R. Krzesinski) - redhat: change kabi tarballs to use the package release (Herton R. Krzesinski) - redhat: generate distgit changelog in genspec.sh as well (Herton R. Krzesinski) - redhat: make genspec prefer metadata from git notes (Herton R. Krzesinski) - redhat: use tags from git notes for zstream to generate changelog (Herton R. Krzesinski) - CI: Add disttag setting (Veronika Kabatova) - CI: Drop c9s config (Veronika Kabatova) - virtio-net: fix pages leaking when building skb in big mode (Laurent Vivier) [2042559] - lib/iov_iter: initialize "flags" in new pipe_buffer (Carlos Maiolino) [2060869] {CVE-2022-0847} - redhat/configs: Make CRYPTO_ECDH algos built-in (Simo Sorce) [2062199] - crypto: api - Move cryptomgr soft dependency into algapi (Vladis Dronov) [2062199] - crypto: api - Fix boot-up crash when crypto manager is disabled (Vladis Dronov) [2062199] - crypto: api - Do not create test larvals if manager is disabled (Vladis Dronov) [2062199] - crypto: api - Export crypto_boot_test_finished (Vladis Dronov) [2062199] - crypto: api - Fix built-in testing dependency failures (Vladis Dronov) [2062199] - redhat/configs: Add CRYPTO_AES_ARM64 config explicitly (Vladis Dronov) [2062199] - crypto: arm64/aes-ce - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - crypto: arm64/aes-neonbs - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - redhat: change default dist suffix for RHEL 9.0 (Herton R. Krzesinski) - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2050813] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2050813] - block: kabi: reserve space for block layer public structure (Ming Lei) [2057238] - block: kabi: reserve space for blk-mq related structure (Ming Lei) [2057238] - block: kabi: reserve space for bsg related structure (Ming Lei) [2057238] - block: kabi: reserve space for integrity related structure (Ming Lei) [2057238] - scsi: lpfc: Fix pt2pt NVMe PRLI reject LOGO loop (Dick Kennedy) [2054866] - x86/MCE/AMD, EDAC/mce_amd: Support non-uniform MCA bank type enumeration (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD, EDAC/mce_amd: Add new SMCA bank types (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC/amd64: Add support for AMD Family 19h Models 10h-1Fh and A0h-AFh (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC: Add RDDR5 and LRDDR5 memory types (Aristeu Rozanski) [1898165 2047430 2047446] - drm/amdgpu: Register MCE notifier for Aldebaran RAS (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD: Export smca_get_bank_type symbol (Aristeu Rozanski) [1898165 2047430 2047446] - PCI/ACPI: Fix acpi_pci_osc_control_set() kernel-doc comment (Mark Langsdorf) [2049635] - PCI/ACPI: Check for _OSC support in acpi_pci_osc_control_set() (Mark Langsdorf) [2049635] - PCI/ACPI: Move _OSC query checks to separate function (Mark Langsdorf) [2049635] - PCI/ACPI: Move supported and control calculations to separate functions (Mark Langsdorf) [2049635] - PCI/ACPI: Remove OSC_PCI_SUPPORT_MASKS and OSC_PCI_CONTROL_MASKS (Mark Langsdorf) [2049635] - nvmet-tcp: fix missing unmainted messages (Chris Leech) [2054441] - dm stats: fix too short end duration_ns when using precise_timestamps (Benjamin Marzinski) [2051798] - dm: fix double accounting of flush with data (Benjamin Marzinski) [2051798] - dm: interlock pending dm_io and dm_wait_for_bios_completion (Benjamin Marzinski) [2051798] - dm: properly fix redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - dm: revert partial fix for redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - block: add bio_start_io_acct_time() to control start_time (Benjamin Marzinski) [2051798] - scsi: mpt3sas: Fix incorrect system timestamp (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix system going into read-only mode (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix kernel panic during drive powercycle test (Tomas Henzl) [2049631] - redhat/configs: enable mellanox platform drivers to support LED, fan & watchdog devices (Ivan Vecera) [2057491] - x86/cpu: Drop spurious underscore from RAPTOR_LAKE #define (David Arcari) [2040022] - x86/cpu: Add Raptor Lake to Intel family (David Arcari) [2040022] - powerpc/64: Move paca allocation later in boot (Desnes A. Nunes do Rosario) [2055566] - powerpc: Set crashkernel offset to mid of RMA region (Desnes A. Nunes do Rosario) [2055566] - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2060814] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2060814] - igb: refactor XDP registration (Corinna Vinschen) [2054379] - igc: avoid kernel warning when changing RX ring parameters (Corinna Vinschen) [2054379] - redhat/configs: Enable CONFIG_ACER_WIRELESS (Peter Georg) [2025985] Resolves: rhbz#2025985, rhbz#2054379, rhbz#2060814, rhbz#2055566, rhbz#2040022 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-20 08:42:21 +00:00
CONFIG_MLX_WDT=m
# CONFIG_MMA7455_I2C is not set
# CONFIG_MMA7455_SPI is not set
# CONFIG_MMA7660 is not set
# CONFIG_MMA8452 is not set
# CONFIG_MMA9551 is not set
# CONFIG_MMA9553 is not set
# CONFIG_MMC35240 is not set
CONFIG_MMC_BLOCK=m
CONFIG_MMC_BLOCK_MINORS=8
CONFIG_MMC_CB710=m
# CONFIG_MMC_DEBUG is not set
CONFIG_MMC_DW_BLUEFIELD=m
# CONFIG_MMC_DW_EXYNOS is not set
# CONFIG_MMC_DW_HI3798CV200 is not set
# CONFIG_MMC_DW_K3 is not set
# CONFIG_MMC_DW_PCI is not set
# CONFIG_MMC_HSQ is not set
CONFIG_MMC=m
# CONFIG_MMC_MTK is not set
CONFIG_MMC_REALTEK_PCI=m
CONFIG_MMC_REALTEK_USB=m
CONFIG_MMC_RICOH_MMC=y
CONFIG_MMC_SDHCI_ACPI=m
# CONFIG_MMC_SDHCI_AM654 is not set
# CONFIG_MMC_SDHCI_CADENCE is not set
# CONFIG_MMC_SDHCI_F_SDH30 is not set
CONFIG_MMC_SDHCI=m
# CONFIG_MMC_SDHCI_MILBEAUT is not set
# CONFIG_MMC_SDHCI_OF_ARASAN is not set
# CONFIG_MMC_SDHCI_OF_ASPEED is not set
# CONFIG_MMC_SDHCI_OF_AT91 is not set
# CONFIG_MMC_SDHCI_OF_DWCMSHC is not set
# CONFIG_MMC_SDHCI_OF_ESDHC is not set
# CONFIG_MMC_SDHCI_OF_HLWD is not set
# CONFIG_MMC_SDHCI_OMAP is not set
CONFIG_MMC_SDHCI_PCI=m
CONFIG_MMC_SDHCI_PLTFM=m
# CONFIG_MMC_SDHCI_XENON is not set
# CONFIG_MMC_SPI is not set
# CONFIG_MMC_STM32_SDMMC is not set
# CONFIG_MMC_TEST is not set
CONFIG_MMC_TIFM_SD=m
# CONFIG_MMC_TOSHIBA_PCI is not set
# CONFIG_MMC_USDHI6ROL0 is not set
CONFIG_MMC_USHC=m
CONFIG_MMC_VIA_SDMMC=m
CONFIG_MMC_VUB300=m
# CONFIG_MMC_WBSD is not set
CONFIG_MMIOTRACE=y
CONFIG_MMU=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_MODPROBE_PATH="/usr/sbin/modprobe"
kernel-5.14.0-200.el9 * Mon Nov 21 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-200.el9] - macvlan: enforce a consistent minimal mtu (Davide Caratti) [2133755] - ipvlan: Fix out-of-bound bugs caused by unset skb->mac_header (Davide Caratti) [2133755] - net: ipvtap - add __init/__exit annotations to module init/exit funcs (Davide Caratti) [2133755] - perf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - perf tools: Remove bpf_map__set_priv()/bpf_map__priv() usage (Jerome Marchand) [2120966] - perf tools: Remove bpf_program__set_priv/bpf_program__priv usage (Jerome Marchand) [2120966] - perf bpf: Stop using deprecated bpf_object__next() API (Jerome Marchand) [2120966] - perf bpf: Stop using deprecated bpf_load_program() API (Jerome Marchand) [2120966] - perf: Stop using bpf_object__open_buffer() API (Jerome Marchand) [2120966] - bpf/selftests: fix bpf selftests install (Jerome Marchand) [2120966] - bpf: Force cookies array to follow symbols sorting (Jerome Marchand) [2120966] - ftrace: Keep address offset in ftrace_lookup_symbols (Jerome Marchand) [2120966] - selftests/bpf: Shuffle cookies symbols in kprobe multi test (Jerome Marchand) [2120966] - bpf: Fix bpf_xdp_pointer return pointer (Jiri Benc) [2120966] - selftests/bpf: Fix tc_redirect_dtime (Jiri Benc) [2120966] - net: fix wrong network header length (Jiri Benc) [2120966] - skbuff: clean up inconsistent indenting (Jiri Benc) [2120966] - bpf: Disallow bpf programs call prog_run command. (Jerome Marchand) [2120966] - bpf, x86: fix freeing of not-finalized bpf_prog_pack (Jerome Marchand) [2120966] - selftests/bpf: Fix rare segfault in sock_fields prog test (Jerome Marchand) [2120966] - rethook: Reject getting a rethook if RCU is not watching (Jerome Marchand) [2120966] - selftests/bpf: Avoid skipping certain subtests (Jerome Marchand) [2120966] - bpf: Use safer kvmalloc_array() where possible (Jerome Marchand) [2120966] - bpf: Resolve symbols with ftrace_lookup_symbols for kprobe multi link (Jerome Marchand) [2120966] - ftrace: Add ftrace_lookup_symbols function (Jerome Marchand) [2120966] - kallsyms: Make kallsyms_on_each_symbol generally available (Jerome Marchand) [2120966] - bpf: Introduce bpf_arch_text_invalidate for bpf_prog_pack (Jerome Marchand) [2120966] - x86/alternative: Introduce text_poke_set (Jerome Marchand) [2120966] - bpf: Fill new bpf_prog_pack with illegal instructions (Jerome Marchand) [2120966] - scripts: dummy-tools, add pahole (Jerome Marchand) [2120966] - kprobes: Fix KRETPROBES when CONFIG_KRETPROBE_ON_RETHOOK is set (Jerome Marchand) [2120966] - bpf: Fix release of page_pool in BPF_PROG_RUN in test runner (Jerome Marchand) [2120966] - x86,bpf: Avoid IBT objtool warning (Jerome Marchand) [2120966] - bpf: Do write access check for kfunc and global func (Jerome Marchand) [2120966] - bpf: Adjust bpf_tcp_check_syncookie selftest to test dual-stack sockets (Jerome Marchand) [2120966] - bpf: selftests: Test fentry tracing a struct_ops program (Jerome Marchand) [2120966] - bpf: Resolve to prog->aux->dst_prog->type only for BPF_PROG_TYPE_EXT (Jerome Marchand) [2120966] - rethook: Fix to use WRITE_ONCE() for rethook:: Handler (Jerome Marchand) [2120966] - selftests/bpf: Fix warning comparing pointer to 0 (Jerome Marchand) [2120966] - bpf: Fix sparse warnings in kprobe_multi_resolve_syms (Jerome Marchand) [2120966] - bpftool: Explicit errno handling in skeletons (Jerome Marchand) [2120966] - selftests/bpf: Fix clang compilation errors (Jerome Marchand) [2120966] - x86,kprobes: Fix optprobe trampoline to generate complete pt_regs (Jerome Marchand) [2120966] - x86,rethook: Fix arch_rethook_trampoline() to generate a complete pt_regs (Jerome Marchand) [2120966] - x86,rethook,kprobes: Replace kretprobe with rethook on x86 (Jerome Marchand) [2120966] - kprobes: Use rethook for kretprobe if possible (Jerome Marchand) [2120966] - kprobes: Limit max data_size of the kretprobe instances (Jerome Marchand) [2120966] - bpftool: Fix generated code in codegen_asserts (Jerome Marchand) [2120966] - bpf: Fix maximum permitted number of arguments check (Jerome Marchand) [2120966] - bpf: Sync comments for bpf_get_stack (Jerome Marchand) [2120966] - fprobe: Fix sparse warning for acccessing __rcu ftrace_hash (Jerome Marchand) [2120966] - fprobe: Fix smatch type mismatch warning (Jerome Marchand) [2120966] - bpf/bpftool: Add unprivileged_bpf_disabled check against value of 2 (Jerome Marchand) [2120966] - selftests/bpf: Fix kprobe_multi test. (Jerome Marchand) [2120966] - Revert "rethook: x86: Add rethook x86 implementation" (Jerome Marchand) [2120966] - Revert "arm64: rethook: Add arm64 rethook implementation" (Jerome Marchand) [2120966] - Revert "powerpc: Add rethook support" (Jerome Marchand) [2120966] - Revert "ARM: rethook: Add rethook arm implementation" (Jerome Marchand) [2120966] - bpftool: Fix a bug in subskeleton code generation (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack when PMU_SIZE is not defined (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack for multi-node setup (Jerome Marchand) [2120966] - bpf: Fix warning for cast from restricted gfp_t in verifier (Jerome Marchand) [2120966] - libbpf: Close fd in bpf_object__reuse_map (Jerome Marchand) [2120966] - bpf: Fix kprobe_multi return probe backtrace (Jerome Marchand) [2120966] - Revert "bpf: Add support to inline bpf_get_func_ip helper on x86" (Jerome Marchand) [2120966] - bpf: Simplify check in btf_parse_hdr() (Jerome Marchand) [2120966] - selftests/bpf/test_lirc_mode2.sh: Exit with proper code (Jerome Marchand) [2120966] - bpf: Check for NULL return from bpf_get_btf_vmlinux (Jerome Marchand) [2120966] - selftests/bpf: Test skipping stacktrace (Jerome Marchand) [2120966] - bpf: Adjust BPF stack helper functions to accommodate skip > 0 (Jerome Marchand) [2120966] - bpf: Select proper size for bpf_prog_pack (Jerome Marchand) [2120966] - selftests/bpf: Fix test for 4-byte load from remote_port on big-endian (Jerome Marchand) [2120966] - selftests/bpf: Fix u8 narrow load checks for bpf_sk_lookup remote_port (Jerome Marchand) [2120966] - bpf: Treat bpf_sk_lookup remote_port as a 2-byte field (Jerome Marchand) [2120966] - selftests/bpf: Test for associating multiple elements with the local storage (Jerome Marchand) [2120966] - bpf: Enable non-atomic allocations in local storage (Jerome Marchand) [2120966] - libbpf: Avoid NULL deref when initializing map BTF info (Jerome Marchand) [2120966] - bpf: Always raise reference in btf_get_module_btf (Jerome Marchand) [2120966] - bpf: Factor out fd returning from bpf_btf_find_by_name_kind (Jerome Marchand) [2120966] - bpftool: Add BPF_TRACE_KPROBE_MULTI to attach type names table (Jerome Marchand) [2120966] - selftests/bpf: Fix test for 4-byte load from dst_port on big-endian (Jerome Marchand) [2120966] - selftests/bpf: Use constants for socket states in sock_fields test (Jerome Marchand) [2120966] - selftests/bpf: Check dst_port only on the client socket (Jerome Marchand) [2120966] - selftests/bpf: Fix error reporting from sock_fields programs (Jerome Marchand) [2120966] - selftests/bpf: Test subskeleton functionality (Jerome Marchand) [2120966] - bpftool: Add support for subskeletons (Jerome Marchand) [2120966] - libbpf: Add subskeleton scaffolding (Jerome Marchand) [2120966] - libbpf: Init btf_{key,value}_type_id on internal map open (Jerome Marchand) [2120966] - libbpf: .text routines are subprograms in strict mode (Jerome Marchand) [2120966] - selftests/bpf: Add cookie test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966] - selftests/bpf: Add attach test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966] - selftests/bpf: Add kprobe_multi bpf_cookie test (Jerome Marchand) [2120966] - selftests/bpf: Add kprobe_multi attach test (Jerome Marchand) [2120966] - libbpf: Add bpf_program__attach_kprobe_multi_opts function (Jerome Marchand) [2120966] - libbpf: Add bpf_link_create support for multi kprobes (Jerome Marchand) [2120966] - libbpf: Add libbpf_kallsyms_parse function (Jerome Marchand) [2120966] - bpf: Add cookie support to programs attached with kprobe multi link (Jerome Marchand) [2120966] - bpf: Add support to inline bpf_get_func_ip helper on x86 (Jerome Marchand) [2120966] - bpf: Add bpf_get_func_ip kprobe helper for multi kprobe link (Jerome Marchand) [2120966] - bpf: Add multi kprobe link (Jerome Marchand) [2120966] - kallsyms: Skip the name search for empty string (Jerome Marchand) [2120966] - lib/sort: Add priv pointer to swap function (Jerome Marchand) [2120966] - Enable CONFIG_FPROBE (Jerome Marchand) [2120966] - fprobe: Add a selftest for fprobe (Jerome Marchand) [2120966] - docs: fprobe: Add fprobe description to ftrace-use.rst (Jerome Marchand) [2120966] - fprobe: Introduce FPROBE_FL_KPROBE_SHARED flag for fprobe (Jerome Marchand) [2120966] - fprobe: Add sample program for fprobe (Jerome Marchand) [2120966] - fprobe: Add exit_handler support (Jerome Marchand) [2120966] - ARM: rethook: Add rethook arm implementation (Jerome Marchand) [2120966] - powerpc: Add rethook support (Jerome Marchand) [2120966] - arm64: rethook: Add arm64 rethook implementation (Jerome Marchand) [2120966] - rethook: x86: Add rethook x86 implementation (Jerome Marchand) [2120966] - rethook: Add a generic return hook (Jerome Marchand) [2120966] - fprobe: Add ftrace based probe APIs (Jerome Marchand) [2120966] - ftrace: Add ftrace_set_filter_ips function (Jerome Marchand) [2120966] - selftests/bpf: Test subprog jit when toggle bpf_jit_harden repeatedly (Jerome Marchand) [2120966] - bpf: Fix net.core.bpf_jit_harden race (Jerome Marchand) [2120966] - bpf, x86: Fall back to interpreter mode when extra pass fails (Jerome Marchand) [2120966] - bpf: selftests: Remove libcap usage from test_progs (Jerome Marchand) [2120966] - bpf: selftests: Remove libcap usage from test_verifier (Jerome Marchand) [2120966] - bpf: selftests: Add helpers to directly use the capget and capset syscall (Jerome Marchand) [2120966] - bpftool: man: Add missing top level docs (Jerome Marchand) [2120966] - bpftool: Add bpf_cookie to link output (Jerome Marchand) [2120966] - selftests/bpf: Clean up array_size.cocci warnings (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang compilation error for send_signal.c (Jerome Marchand) [2120966] - selftests/bpf: Add a test for maximum packet size in xdp_do_redirect (Jerome Marchand) [2120966] - bpf, test_run: Fix packet size check for live packet mode (Jerome Marchand) [2120966] - compiler_types: Refactor the use of btf_type_tag attribute. (Jerome Marchand) [2120966] - selftests/bpf: Check that bpf_kernel_read_file() denies reading IMA policy (Jerome Marchand) [2120966] - selftests/bpf: Add test for bpf_lsm_kernel_read_file() (Jerome Marchand) [2120966] - bpf-lsm: Make bpf_lsm_kernel_read_file() as sleepable (Jerome Marchand) [2120966] - selftests/bpf: Check if the digest is refreshed after a file write (Jerome Marchand) [2120966] - selftests/bpf: Add test for bpf_ima_file_hash() (Jerome Marchand) [2120966] - selftests/bpf: Move sample generation code to ima_test_common() (Jerome Marchand) [2120966] - bpf-lsm: Introduce new helper bpf_ima_file_hash() (Jerome Marchand) [2120966] - ima: Always return a file measurement in ima_file_hash() (Jerome Marchand) [2120966] - ima: Fix documentation-related warnings in ima_main.c (Jerome Marchand) [2120966] - bpftool: Ensure bytes_memlock json output is correct (Jerome Marchand) [2120966] - bpf: Use offsetofend() to simplify macro definition (Jerome Marchand) [2120966] - bpf: Fix comment for helper bpf_current_task_under_cgroup() (Jerome Marchand) [2120966] - bpf, lwt: Fix crash when using bpf_skb_set_tunnel_key() from bpf_xmit lwt hook (Jiri Benc) [2120966] - selftests/bpf: Fix tunnel remote IP comments (Jiri Benc) [2120966] - veth: Allow jumbo frames in xdp mode (Jiri Benc) [2120966] - veth: Rework veth_xdp_rcv_skb in order to accept non-linear skb (Jiri Benc) [2120966] - net: veth: Account total xdp_frame len running ndo_xdp_xmit (Jiri Benc) [2120966] - samples/bpf, xdpsock: Fix race when running for fix duration of time (Jiri Benc) [2120966] - bpf, sockmap: Fix double uncharge the mem of sk_msg (Jiri Benc) [2120966] - bpf, sockmap: Fix more uncharged while msg has more_data (Jiri Benc) [2120966] - bpf, sockmap: Fix memleak in tcp_bpf_sendmsg while sk msg is full (Jiri Benc) [2120966] - bpf, sockmap: Fix memleak in sk_psock_queue_msg (Jiri Benc) [2120966] - bpf: selftests: Update tests after s/delivery_time/tstamp/ change in bpf.h (Jiri Benc) [2120966] - bpf: Remove BPF_SKB_DELIVERY_TIME_NONE and rename s/delivery_time_/tstamp_/ (Jiri Benc) [2120966] - bpf: Simplify insn rewrite on BPF_WRITE __sk_buff->tstamp (Jiri Benc) [2120966] - bpf: Simplify insn rewrite on BPF_READ __sk_buff->tstamp (Jiri Benc) [2120966] - bpf: net: Remove TC_AT_INGRESS_OFFSET and SKB_MONO_DELIVERY_TIME_OFFSET macro (Jiri Benc) [2120966] - bpf: Add __sk_buff->delivery_time_type and bpf_skb_set_skb_delivery_time() (Jiri Benc) [2120966] - bpf: Keep the (rcv) timestamp behavior for the existing tc-bpf@ingress (Jiri Benc) [2120966] - net: Postpone skb_clear_delivery_time() until knowing the skb is delivered locally (Jiri Benc) [2120966] - net: Get rcv tstamp if needed in nfnetlink_{log, queue}.c (Jiri Benc) [2120966] - net: ipv6: Get rcv timestamp if needed when handling hop-by-hop IOAM option (Jiri Benc) [2120966] - net: ipv6: Handle delivery_time in ipv6 defrag (Jiri Benc) [2120966] - net: ip: Handle delivery_time in ip defrag (Jiri Benc) [2120966] - net: Set skb->mono_delivery_time and clear it after sch_handle_ingress() (Jiri Benc) [2120966] - net: Clear mono_delivery_time bit in __skb_tstamp_tx() (Jiri Benc) [2120966] - net: Handle delivery_time in skb->tstamp during network tapping with af_packet (Jiri Benc) [2120966] - net: Add skb_clear_tstamp() to keep the mono delivery_time (Jiri Benc) [2120966] - net: Add skb->mono_delivery_time to distinguish mono delivery_time from (rcv) timestamp (Jiri Benc) [2120966] - net: remove net_invalid_timestamp() (Jiri Benc) [2120966] - Documentation: update networking/page_pool.rst (Jiri Benc) [2120966] - page_pool: Add function to batch and return stats (Jiri Benc) [2120966] - page_pool: Add recycle stats (Jiri Benc) [2120966] - configs: disable CONFIG_PAGE_POOL_STATS (Jiri Benc) [2120966] - page_pool: Add allocation stats (Jiri Benc) [2120966] - selftest/bpf: Check invalid length in test_xdp_update_frags (Jiri Benc) [2120966] - skmsg: convert struct sk_msg_sg::copy to a bitmap (Jiri Benc) [2120966] - bpf, test_run: Use kvfree() for memory allocated with kvmalloc() (Jerome Marchand) [2120966] - bpf: Initialise retval in bpf_prog_test_run_xdp() (Jerome Marchand) [2120966] - bpftool: Restore support for BPF offload-enabled feature probing (Jerome Marchand) [2120966] - selftests/bpf: Add selftest for XDP_REDIRECT in BPF_PROG_RUN (Jiri Benc) [2120966] - selftests/bpf: Move open_netns() and close_netns() into network_helpers.c (Jiri Benc) [2120966] - libbpf: Support batch_size option to bpf_prog_test_run (Jerome Marchand) [2120966] - Documentation/bpf: Add documentation for BPF_PROG_RUN (Jerome Marchand) [2120966] - bpf: Add "live packet" mode for XDP in BPF_PROG_RUN (Jerome Marchand) [2120966] - bpf: selftests: test skb->tstamp in redirect_neigh (Jiri Benc) [2120966] - Improve stability of find_vma BPF test (Jerome Marchand) [2120966] - Improve send_signal BPF test stability (Jerome Marchand) [2120966] - Improve perf related BPF tests (sample_freq issue) (Jerome Marchand) [2120966] - bpf/docs: Update list of architectures supported. (Jerome Marchand) [2120966] - bpf/docs: Update vmtest docs for static linking (Jerome Marchand) [2120966] - bpf: Remove redundant slash (Jerome Marchand) [2120966] - libbpf: Fix array_size.cocci warning (Jerome Marchand) [2120966] - bpf: Replace strncpy() with strscpy() (Jerome Marchand) [2120966] - libbpf: Unmap rings when umem deleted (Jerome Marchand) [2120966] - bpf: Determine buf_info inside check_buffer_access() (Jerome Marchand) [2120966] - powerpc/bpf: Simplify bpf_to_ppc() and adopt it for powerpc64 (Jerome Marchand) [2120966] - powerpc64/bpf: Store temp registers' bpf to ppc mapping (Jerome Marchand) [2120966] - powerpc/bpf: Use _Rn macros for GPRs (Jerome Marchand) [2120966] - powerpc/bpf: Move bpf_jit64.h into bpf_jit_comp64.c (Jerome Marchand) [2120966] - powerpc/bpf: Cleanup bpf_jit.h (Jerome Marchand) [2120966] - powerpc64/bpf: Get rid of PPC_BPF_[LL|STL|STLU] macros (Jerome Marchand) [2120966] - powerpc64/bpf: Convert some of the uses of PPC_BPF_[LL|STL] to PPC_BPF_[LD|STD] (Jerome Marchand) [2120966] - powerpc/bpf: Rename PPC_BL_ABS() to PPC_BL() (Jerome Marchand) [2120966] - powerpc64/bpf: Optimize instruction sequence used for function calls (Jerome Marchand) [2120966] - powerpc64/bpf elfv1: Do not load TOC before calling functions (Jerome Marchand) [2120966] - powerpc64/bpf elfv2: Setup kernel TOC in r2 on entry (Jerome Marchand) [2120966] - powerpc64/bpf: Use r12 for constant blinding (Jerome Marchand) [2120966] - powerpc64/bpf: Do not save/restore LR on each call to bpf_stf_barrier() (Jerome Marchand) [2120966] - powerpc/bpf: Handle large branch ranges with BPF_EXIT (Jerome Marchand) [2120966] - powerpc/bpf: Emit a single branch instruction for known short branch ranges (Jerome Marchand) [2120966] - powerpc/bpf: Skip branch range validation during first pass (Jerome Marchand) [2120966] - bpf ppc32: Access only if addr is kernel address (Jerome Marchand) [2120966] - powerpc32/bpf: Fix codegen for bpf-to-bpf calls (Jerome Marchand) [2120966] - bpf ppc32: Add BPF_PROBE_MEM support for JIT (Jerome Marchand) [2120966] - selftests/bpf: Add a test for btf_type_tag "percpu" (Jerome Marchand) [2120966] - bpf: Reject programs that try to load __percpu memory. (Jerome Marchand) [2120966] - compiler_types: Define __percpu as __attribute__((btf_type_tag("percpu"))) (Jerome Marchand) [2120966] - bpf: Fix checking PTR_TO_BTF_ID in check_mem_access (Jerome Marchand) [2120966] - selftests/bpf: Add tests for kfunc register offset checks (Jerome Marchand) [2120966] - bpf: Replace __diag_ignore with unified __diag_ignore_all (Jerome Marchand) [2120966] - compiler_types.h: Add unified __diag_ignore_all for GCC/LLVM (Jerome Marchand) [2120966] - compiler-clang.h: Add __diag infrastructure for clang (Jerome Marchand) [2120966] - bpf: Harden register offset checks for release helpers and kfuncs (Jerome Marchand) [2120966] - bpf: Disallow negative offset in check_ptr_off_reg (Jerome Marchand) [2120966] - bpf: Fix PTR_TO_BTF_ID var_off check (Jerome Marchand) [2120966] - bpf: Add check_func_arg_reg_off function (Jerome Marchand) [2120966] - selftests/bpf: Add custom SEC() handling selftest (Jerome Marchand) [2120966] - libbpf: Support custom SEC() handlers (Jerome Marchand) [2120966] - libbpf: Allow BPF program auto-attach handlers to bail out (Jerome Marchand) [2120966] - bpf: Small BPF verifier log improvements (Jerome Marchand) [2120966] - libbpf: Add a check to ensure that page_cnt is non-zero (Jerome Marchand) [2120966] - bpf, test_run: Fix overflow in XDP frags bpf_test_finish (Jerome Marchand) [2120966] - bpf, selftests: Use raw_tp program for atomic test (Jerome Marchand) [2120966] - selftests/bpf: Do not export subtest as standalone test (Jerome Marchand) [2120966] - bpf: test_run: Fix overflow in bpf_test_finish frags parsing (Jerome Marchand) [2120966] - bpf: test_run: Fix overflow in xdp frags parsing (Jerome Marchand) [2120966] - bpf: test_run: Fix OOB access in bpf_prog_test_run_xdp (Jerome Marchand) [2120966] - libbpf: Deprecate bpf_prog_test_run_xattr and bpf_prog_test_run (Jerome Marchand) [2120966] - bpftool: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966] - selftests/bpf: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966] - selftests/bpf: Migrate from bpf_prog_test_run (Jerome Marchand) [2120966] - samples/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966] - selftests/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966] - libbpf: Deprecate xdp_cpumap, xdp_devmap and classifier sec definitions (Jerome Marchand) [2120966] - page_pool: Refactor page_pool to enable fragmenting after allocation (Jiri Benc) [2120966] - socket: Don't use u8 type in uapi socket.h (Jiri Benc) [2120966] - tcp: Change SYN ACK retransmit behaviour to account for rehash (Jiri Benc) [2120966] - bpf: Add SO_TXREHASH setsockopt (Jiri Benc) [2120966] - txhash: Add txrehash sysctl description (Jiri Benc) [2120966] - txhash: Add socket option to control TX hash rethink behavior (Jiri Benc) [2120966] - txhash: Make rethinking txhash behavior configurable via sysctl (Jiri Benc) [2120966] - selftests/bpf/test_xdp_redirect: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_meta: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_tcp_check_syncookie: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_lwt_seg6local: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_vlan: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_veth: use temp netns for testing (Jiri Benc) [2120966] - selftests/bpf/test_xdp_redirect_multi: use temp netns for testing (Jiri Benc) [2120966] - selftest/bpf: Fix a stale comment. (Jiri Benc) [2120966] - selftest/bpf: Test batching and bpf_(get|set)sockopt in bpf unix iter. (Jiri Benc) [2120966] - bpf: Support bpf_(get|set)sockopt() in bpf unix iter. (Jiri Benc) [2120966] - bpf: af_unix: Use batching algorithm in bpf unix iter. (Jiri Benc) [2120966] - af_unix: Refactor unix_next_socket(). (Jiri Benc) [2120966] - af_unix: Relax race in unix_autobind(). (Jiri Benc) [2120966] - af_unix: Replace the big lock with small locks. (Jiri Benc) [2120966] - af_unix: Save hash in sk_hash. (Jiri Benc) [2120966] - af_unix: Add helpers to calculate hashes. (Jiri Benc) [2120966] - af_unix: Remove UNIX_ABSTRACT() macro and test sun_path[0] instead. (Jiri Benc) [2120966] - af_unix: Allocate unix_address in unix_bind_(bsd|abstract)(). (Jiri Benc) [2120966] - af_unix: Remove unix_mkname(). (Jiri Benc) [2120966] - af_unix: Copy unix_mkname() into unix_find_(bsd|abstract)(). (Jiri Benc) [2120966] - af_unix: Cut unix_validate_addr() out of unix_mkname(). (Jiri Benc) [2120966] - af_unix: Return an error as a pointer in unix_find_other(). (Jiri Benc) [2120966] - af_unix: Factorise unix_find_other() based on address types. (Jiri Benc) [2120966] - af_unix: Pass struct sock to unix_autobind(). (Jiri Benc) [2120966] - af_unix: Use offsetof() instead of sizeof(). (Jiri Benc) [2120966] - selftests/bpf: Do not fail build if CONFIG_NF_CONNTRACK=m/n (Jerome Marchand) [2120966] - bpf, x86: Set header->size properly before freeing it (Jerome Marchand) [2120966] - x86: Disable HAVE_ARCH_HUGE_VMALLOC on 32-bit x86 (Jerome Marchand) [2120966] - selftests/bpf: Update btf_dump case for conflicting names (Jerome Marchand) [2120966] - libbpf: Skip forward declaration when counting duplicated type names (Jerome Marchand) [2120966] - bpf: Add some description about BPF_JIT_ALWAYS_ON in Kconfig (Jerome Marchand) [2120966] - bpf: Cache the last valid build_id (Jerome Marchand) [2120966] - libbpf: Fix BPF_MAP_TYPE_PERF_EVENT_ARRAY auto-pinning (Jerome Marchand) [2120966] - bpf, arm64: Support more atomic operations (Jerome Marchand) [2120966] - bpftool: Remove redundant slashes (Jerome Marchand) [2120966] - Disable CONFIG_MODULE_ALLOW_BTF_MISMATCH (Jerome Marchand) [2120966] - bpf: Add config to allow loading modules with BTF mismatches (Jerome Marchand) [2120966] - bpf: Remove Lorenz Bauer from L7 BPF maintainers (Jerome Marchand) [2120966] - bpf, arm64: Feed byte-offset into bpf line info (Jerome Marchand) [2120966] - bpf, arm64: Call build_prologue() first in first JIT pass (Jerome Marchand) [2120966] - bpf: Fix issue with bpf preload module taking over stdout/stdin of kernel. (Jerome Marchand) [2120966] - bpftool: Bpf skeletons assert type sizes (Jerome Marchand) [2120966] - bpf: Cleanup comments (Jerome Marchand) [2120966] - libbpf: Simplify the find_elf_sec_sz() function (Jerome Marchand) [2120966] - bpftool: Remove usage of reallocarray() (Jerome Marchand) [2120966] - scripts/pahole-flags.sh: Parse DWARF and generate BTF with multithreading. (Jerome Marchand) [2120966] - libbpf: Remove redundant check in btf_fixup_datasec() (Jerome Marchand) [2120966] - selftests/bpf: Add test for reg2btf_ids out of bounds access (Jerome Marchand) [2120966] - selftests/bpf: Fix btfgen tests (Jerome Marchand) [2120966] - bpf: Initialize ret to 0 inside btf_populate_kfunc_set() (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang deprecated-declarations compilation error (Jerome Marchand) [2120966] - bpf: Call maybe_wait_bpf_programs() only once from generic_map_delete_batch() (Jerome Marchand) [2120966] - bpf: bpf_prog_pack: Set proper size before freeing ro_header (Jerome Marchand) [2120966] - selftests/bpf: Fix crash in core_reloc when bpftool btfgen fails (Jerome Marchand) [2120966] - selftests/bpf: Fix vmtest.sh to launch smp vm. (Jerome Marchand) [2120966] - bpftool: Fix C++ additions to skeleton (Jerome Marchand) [2120966] - selftests/bpf: Test "bpftool gen min_core_btf" (Jerome Marchand) [2120966] - bpftool: Gen min_core_btf explanation and examples (Jerome Marchand) [2120966] - bpftool: Implement btfgen_get_btf() (Jerome Marchand) [2120966] - bpftool: Implement "gen min_core_btf" logic (Jerome Marchand) [2120966] - bpftool: Add gen min_core_btf command (Jerome Marchand) [2120966] - libbpf: Expose bpf_core_{add,free}_cands() to bpftool (Jerome Marchand) [2120966] - libbpf: Split bpf_core_apply_relo() (Jerome Marchand) [2120966] - bpf: Reject kfunc calls that overflow insn->imm (Jerome Marchand) [2120966] - selftests/bpf: Add Skeleton templated wrapper as an example (Jerome Marchand) [2120966] - bpftool: Add C++-specific open/load/etc skeleton wrappers (Jerome Marchand) [2120966] - selftests/bpf: Fix GCC11 compiler warnings in -O2 mode (Jerome Marchand) [2120966] - powerpc/bpf: Always reallocate BPF_REG_5, BPF_REG_AX and TMP_REG when possible (Jerome Marchand) [2120966] - bpftool: Update versioning scheme, align on libbpf's version number (Jerome Marchand) [2120966] - bpftool: Add libbpf's version number to "bpftool version" output (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack build for ppc64_defconfig (Jerome Marchand) [2120966] - bpf: Convert bpf_preload.ko to use light skeleton. (Jerome Marchand) [2120966] - bpf: Update iterators.lskel.h. (Jerome Marchand) [2120966] - bpftool: Generalize light skeleton generation. (Jerome Marchand) [2120966] - libbpf: Prepare light skeleton for the kernel. (Jerome Marchand) [2120966] - bpf: Extend sys_bpf commands for bpf_syscall programs. (Jerome Marchand) [2120966] - selftests/bpf: Cover 4-byte load from remote_port in bpf_sk_lookup (Jerome Marchand) [2120966] - bpf: Make remote_port field in struct bpf_sk_lookup 16-bit wide (Jerome Marchand) [2120966] - selftests/bpf: Test BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966] - libbpf: Add BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966] - libbpf: Fix accessing the first syscall argument on s390 (Jerome Marchand) [2120966] - libbpf: Fix accessing the first syscall argument on arm64 (Jerome Marchand) [2120966] - libbpf: Allow overriding PT_REGS_PARM1{_CORE}_SYSCALL (Jerome Marchand) [2120966] - selftests/bpf: Skip test_bpf_syscall_macro's syscall_arg1 on arm64 and s390 (Jerome Marchand) [2120966] - libbpf: Fix accessing syscall arguments on powerpc (Jerome Marchand) [2120966] - selftests/bpf: Use PT_REGS_SYSCALL_REGS in bpf_syscall_macro (Jerome Marchand) [2120966] - libbpf: Add PT_REGS_SYSCALL_REGS macro (Jerome Marchand) [2120966] - selftests/bpf: Fix an endianness issue in bpf_syscall_macro test (Jerome Marchand) [2120966] - bpf: Fix bpf_prog_pack build HPAGE_PMD_SIZE (Jerome Marchand) [2120966] - bpf: Fix leftover header->pages in sparc and powerpc code. (Jerome Marchand) [2120966] - bpf, x86_64: Fail gracefully on bpf_jit_binary_pack_finalize failures (Jerome Marchand) [2120966] - bpf, x86_64: Use bpf_jit_binary_pack_alloc (Jerome Marchand) [2120966] - bpf: Introduce bpf_jit_binary_pack_[alloc|finalize|free] (Jerome Marchand) [2120966] - bpf: Introduce bpf_prog_pack allocator (Jerome Marchand) [2120966] - bpf: Introduce bpf_arch_text_copy (Jerome Marchand) [2120966] - x86/alternative: Introduce text_poke_copy (Jerome Marchand) [2120966] - bpf: Use prog->jited_len in bpf_prog_ksym_set_addr() (Jerome Marchand) [2120966] - bpf: Use size instead of pages in bpf_binary_header (Jerome Marchand) [2120966] - bpf: Use bytes instead of pages for bpf_jit_[charge|uncharge]_modmem (Jerome Marchand) [2120966] - x86/Kconfig: Select HAVE_ARCH_HUGE_VMALLOC with HAVE_ARCH_HUGE_VMAP (Jerome Marchand) [2120966] - selftests/bpf: Fix strict mode calculation (Jerome Marchand) [2120966] - bpftool: Fix strict mode calculation (Jerome Marchand) [2120966] - libbpf: Remove mode check in libbpf_set_strict_mode() (Jerome Marchand) [2120966] - selftests/bpf: Fix tests to use arch-dependent syscall entry points (Jerome Marchand) [2120966] - libbpf: Fix build issue with llvm-readelf (Jerome Marchand) [2120966] - selftests/bpf: Test bpf_core_types_are_compat() functionality. (Jerome Marchand) [2120966] - bpf: Implement bpf_core_types_are_compat(). (Jerome Marchand) [2120966] - bpf, arm64: Enable kfunc call (Jerome Marchand) [2120966] - selftests/bpf: Add a selftest for invalid func btf with btf decl_tag (Jerome Marchand) [2120966] - libbpf: Deprecate priv/set_priv storage (Jerome Marchand) [2120966] - bpf, docs: Better document the atomic instructions (Jerome Marchand) [2120966] - bpf, docs: Better document the extended instruction format (Jerome Marchand) [2120966] - bpf, docs: Better document the legacy packet access instruction (Jerome Marchand) [2120966] - bpf, docs: Better document the regular load and store instructions (Jerome Marchand) [2120966] - bpf, docs: Document the byte swapping instructions (Jerome Marchand) [2120966] - libbpf: Deprecate forgotten btf__get_map_kv_tids() (Jerome Marchand) [2120966] - samples/bpf: Get rid of bpf_prog_load_xattr() use (Jerome Marchand) [2120966] - selftests/bpf: Redo the switch to new libbpf XDP APIs (Jerome Marchand) [2120966] - selftests/bpf: Remove usage of deprecated feature probing APIs (Jerome Marchand) [2120966] - bpftool: Fix uninit variable compilation warning (Jerome Marchand) [2120966] - bpftool: Stop supporting BPF offload-enabled feature probing (Jerome Marchand) [2120966] - libbpf: Stop using deprecated bpf_map__is_offload_neutral() (Jerome Marchand) [2120966] - Disable CONFIG_DEBUG_INFO_DWARF5 (Jerome Marchand) [2120966] - lib/Kconfig.debug: Allow BTF + DWARF5 with pahole 1.21+ (Jerome Marchand) [2120966] - lib/Kconfig.debug: Use CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966] - scripts/pahole-flags.sh: Use pahole-version.sh (Jerome Marchand) [2120966] - kbuild: Add CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966] - MAINTAINERS: Add scripts/pahole-flags.sh to BPF section (Jerome Marchand) [2120966] - bpf: Drop libbpf, libelf, libz dependency from bpf preload. (Jerome Marchand) [2120966] - bpf: Open code obj_get_info_by_fd in bpf preload. (Jerome Marchand) [2120966] - bpf: Convert bpf preload to light skeleton. (Jerome Marchand) [2120966] - bpf: Remove unnecessary setrlimit from bpf preload. (Jerome Marchand) [2120966] - libbpf: Open code raw_tp_open and link_create commands. (Jerome Marchand) [2120966] - libbpf: Open code low level bpf commands. (Jerome Marchand) [2120966] - libbpf: Add support for bpf iter in light skeleton. (Jerome Marchand) [2120966] - libbpf: Deprecate btf_ext rec_size APIs (Jerome Marchand) [2120966] - bpf: make bpf_copy_from_user_task() gpl only (Jerome Marchand) [2120966] - selftests/bpf: Extend verifier and bpf_sock tests for dst_port loads (Jerome Marchand) [2120966] - bpf: Make dst_port field in struct bpf_sock 16-bit wide (Jerome Marchand) [2120966] - docs/bpf: clarify how btf_type_tag gets encoded in the type chain (Jerome Marchand) [2120966] - selftests/bpf: specify pahole version requirement for btf_tag test (Jerome Marchand) [2120966] - selftests/bpf: add a selftest with __user tag (Jerome Marchand) [2120966] - selftests/bpf: rename btf_decl_tag.c to test_btf_decl_tag.c (Jerome Marchand) [2120966] - bpf: reject program if a __user tagged memory accessed in kernel way (Jerome Marchand) [2120966] - compiler_types: define __user as __attribute__((btf_type_tag("user"))) (Jerome Marchand) [2120966] - cgroup/bpf: fast path skb BPF filtering (Jerome Marchand) [2120966] - selftests/bpf: fix a clang compilation error (Jerome Marchand) [2120966] - bpf, x86: Remove unnecessary handling of BPF_SUB atomic op (Jerome Marchand) [2120966] - bpf: remove unused static inlines (Jerome Marchand) [2120966] - selftests/bpf: fix uprobe offset calculation in selftests (Jerome Marchand) [2120966] - selftests/bpf: Fix a clang compilation error (Jerome Marchand) [2120966] - bpf: fix register_btf_kfunc_id_set for !CONFIG_DEBUG_INFO_BTF (Jerome Marchand) [2120966] - selftests: bpf: Less strict size check in sockopt_sk (Jerome Marchand) [2120966] - samples/bpf: use preferred getters/setters instead of deprecated ones (Jerome Marchand) [2120966] - selftests/bpf: use preferred setter/getter APIs instead of deprecated ones (Jerome Marchand) [2120966] - bpftool: use preferred setters/getters instead of deprecated ones (Jerome Marchand) [2120966] - libbpf: deprecate bpf_program__is_<type>() and bpf_program__set_<type>() APIs (Jerome Marchand) [2120966] - libbpf: deprecate bpf_map__resize() (Jerome Marchand) [2120966] - libbpf: hide and discourage inconsistently named getters (Jerome Marchand) [2120966] - selftests/bpf: Add a test to confirm PT_REGS_PARM4_SYSCALL (Jerome Marchand) [2120966] - libbpf: Fix the incorrect register read for syscalls on x86_64 (Jerome Marchand) [2120966] - libbpf: Mark bpf_object__open_xattr() deprecated (Jerome Marchand) [2120966] - libbpf: Mark bpf_object__open_buffer() API deprecated (Jerome Marchand) [2120966] - selftests/bpf: Add test for sleepable bpf iterator programs (Jerome Marchand) [2120966] - libbpf: Add "iter.s" section for sleepable bpf iterator programs (Jerome Marchand) [2120966] - bpf: Add bpf_copy_from_user_task() helper (Jerome Marchand) [2120966] - bpf: Add support for bpf iterator programs to use sleepable helpers (Jerome Marchand) [2120966] - xdp: disable XDP_REDIRECT for xdp frags (Jiri Benc) [2120966] - bpf: selftests: add CPUMAP/DEVMAP selftests for xdp frags (Jiri Benc) [2120966] - bpf: selftests: introduce bpf_xdp_{load,store}_bytes selftest (Jiri Benc) [2120966] - net: xdp: introduce bpf_xdp_pointer utility routine (Jiri Benc) [2120966] - bpf: generalise tail call map compatibility check (Jiri Benc) [2120966] - libbpf: Add SEC name for xdp frags programs (Jiri Benc) [2120966] - bpf: selftests: update xdp_adjust_tail selftest to include xdp frags (Jiri Benc) [2120966] - bpf: test_run: add xdp_shared_info pointer in bpf_test_finish signature (Jiri Benc) [2120966] - bpf: introduce frags support to bpf_prog_test_run_xdp() (Jiri Benc) [2120966] - bpf: move user_size out of bpf_test_init (Jiri Benc) [2120966] - bpf: add frags support to xdp copy helpers (Jiri Benc) [2120966] - bpf: add frags support to the bpf_xdp_adjust_tail() API (Jiri Benc) [2120966] - bpf: introduce bpf_xdp_get_buff_len helper (Jiri Benc) [2120966] - xdp: add frags support to xdp_return_{buff/frame} (Jiri Benc) [2120966] - net: xdp: add xdp_update_skb_shared_info utility routine (Jiri Benc) [2120966] - xdp: introduce flags field in xdp_buff/xdp_frame (Jiri Benc) [2120966] - net: skbuff: add size metadata to skb_shared_info for xdp (Jiri Benc) [2120966] - bpf: selftests: Get rid of CHECK macro in xdp_bpf2bpf.c (Jiri Benc) [2120966] - bpf: selftests: Get rid of CHECK macro in xdp_adjust_tail.c (Jiri Benc) [2120966] - bpf: Fix flexible_array.cocci warnings (Jerome Marchand) [2120966] - bpf: introduce BPF_F_XDP_HAS_FRAGS flag in prog_flags loading the ebpf program (Jerome Marchand) [2120966] - selftests: bpf: test BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966] - bpf: support BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966] - samples/bpf: adapt samples/bpf to bpf_xdp_xxx() APIs (Jerome Marchand) [2120966] - bpftool: use new API for attaching XDP program (Jerome Marchand) [2120966] - libbpf: streamline low-level XDP APIs (Jerome Marchand) [2120966] - docs/bpf: update BPF map definition example (Jerome Marchand) [2120966] - libbpf: deprecate legacy BPF map definitions (Jerome Marchand) [2120966] - selftests/bpf: convert remaining legacy map definitions (Jerome Marchand) [2120966] - selftests/bpf: fail build on compilation warning (Jerome Marchand) [2120966] - selftests/bpf: Update sockopt_sk test to the use bpf_set_retval (Jerome Marchand) [2120966] - selftests/bpf: Test bpf_{get,set}_retval behavior with cgroup/sockopt (Jerome Marchand) [2120966] - bpf: Add cgroup helpers bpf_{get,set}_retval to get/set syscall return value (Jerome Marchand) [2120966] - bpf: Move getsockopt retval to struct bpf_cg_run_ctx (Jerome Marchand) [2120966] - bpf: Make BPF_PROG_RUN_ARRAY return -err instead of allow boolean (Jerome Marchand) [2120966] - libbpf: Improve btf__add_btf() with an additional hashmap for strings. (Jerome Marchand) [2120966] - bpf/scripts: Raise an exception if the correct number of sycalls are not generated (Jerome Marchand) [2120966] - bpf/scripts: Make description and returns section for helpers/syscalls mandatory (Jerome Marchand) [2120966] - uapi/bpf: Add missing description and returns for helper documentation (Jerome Marchand) [2120966] - bpftool: Adding support for BTF program names (Jerome Marchand) [2120966] - selftests/bpf: Add test for race in btf_try_get_module (Jerome Marchand) [2120966] - selftests/bpf: Extend kfunc selftests (Jerome Marchand) [2120966] - selftests/bpf: Add test_verifier support to fixup kfunc call insns (Jerome Marchand) [2120966] - selftests/bpf: Add test for unstable CT lookup API (Jerome Marchand) [2120966] - net/netfilter: Add unstable CT lookup helpers for XDP and TC-BPF (Jiri Benc) [2120966] - bpf: Add reference tracking support to kfunc (Jerome Marchand) [2120966] - bpf: Introduce mem, size argument pair support for kfunc (Jerome Marchand) [2120966] - bpf: Remove check_kfunc_call callback and old kfunc BTF ID API (Jerome Marchand) [2120966] - bpf: Populate kfunc BTF ID sets in struct btf (Jerome Marchand) [2120966] - bpf: Fix UAF due to race between btf_try_get_module and load_module (Jerome Marchand) [2120966] - test: selftests: Remove unused various in sockmap_verdict_prog.c (Jerome Marchand) [2120966] - tools/resolve_btfids: Build with host flags (Jerome Marchand) [2120966] - bpf/scripts: Raise an exception if the correct number of helpers are not generated (Jerome Marchand) [2120966] - libbpf: Deprecate bpf_map__def() API (Jerome Marchand) [2120966] - selftests/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - bpftool: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - samples/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966] - libbpf: Fix possible NULL pointer dereference when destroying skeleton (Jerome Marchand) [2120966] - bpftool: Only set obj->skeleton on complete success (Jerome Marchand) [2120966] - selftests/bpf: Change bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966] - libbpf: Rename bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966] - libbpf: Use IS_ERR_OR_NULL() in hashmap__free() (Jerome Marchand) [2120966] Resolves: rhbz#2133755, rhbz#2120966 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-21 13:48:51 +00:00
# CONFIG_MODULE_ALLOW_BTF_MISMATCH is not set
# CONFIG_MODULE_ALLOW_MISSING_NAMESPACE_IMPORTS is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_MODULE_COMPRESS_GZIP is not set
CONFIG_MODULE_COMPRESS_NONE=y
# CONFIG_MODULE_COMPRESS_XZ is not set
# CONFIG_MODULE_COMPRESS_ZSTD is not set
CONFIG_MODULE_FORCE_LOAD=y
# CONFIG_MODULE_FORCE_UNLOAD is not set
CONFIG_MODULE_SIG_ALL=y
# CONFIG_MODULE_SIG_FORCE is not set
CONFIG_MODULE_SIG_KEY="certs/signing_key.pem"
# CONFIG_MODULE_SIG_SHA1 is not set
# CONFIG_MODULE_SIG_SHA224 is not set
# CONFIG_MODULE_SIG_SHA256 is not set
# CONFIG_MODULE_SIG_SHA384 is not set
CONFIG_MODULE_SIG_SHA512=y
CONFIG_MODULE_SIG=y
CONFIG_MODULE_SRCVERSION_ALL=y
CONFIG_MODULES=y
CONFIG_MODULE_UNLOAD=y
CONFIG_MODVERSIONS=y
# CONFIG_MOST is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_MOTORCOMM_PHY is not set
CONFIG_MOUSE_APPLETOUCH=m
CONFIG_MOUSE_BCM5974=m
CONFIG_MOUSE_CYAPA=m
CONFIG_MOUSE_ELAN_I2C_I2C=y
CONFIG_MOUSE_ELAN_I2C=m
CONFIG_MOUSE_ELAN_I2C_SMBUS=y
# CONFIG_MOUSE_GPIO is not set
# CONFIG_MOUSE_PS2_ELANTECH is not set
# CONFIG_MOUSE_PS2 is not set
# CONFIG_MOUSE_PS2_SENTELIC is not set
# CONFIG_MOUSE_PS2_TOUCHKIT is not set
# CONFIG_MOUSE_SERIAL is not set
CONFIG_MOUSE_SYNAPTICS_I2C=m
CONFIG_MOUSE_SYNAPTICS_USB=m
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
CONFIG_MOUSE_VSXXXAA=m
# CONFIG_MOXA_INTELLIO is not set
# CONFIG_MOXA_SMARTIO is not set
# CONFIG_MOXTET is not set
# CONFIG_MPIC_MSGR is not set
# CONFIG_MPL115_I2C is not set
# CONFIG_MPL115_SPI is not set
# CONFIG_MPL3115 is not set
CONFIG_MPLS_IPTUNNEL=m
CONFIG_MPLS_ROUTING=m
CONFIG_MPLS=y
CONFIG_MPTCP_IPV6=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_MPTCP_KUNIT_TEST=m
CONFIG_MPTCP=y
# CONFIG_MPU3050_I2C is not set
CONFIG_MQ_IOSCHED_DEADLINE=y
CONFIG_MQ_IOSCHED_KYBER=y
# CONFIG_MS5611 is not set
# CONFIG_MS5637 is not set
# CONFIG_MS_BLOCK is not set
CONFIG_MSDOS_FS=m
CONFIG_MSDOS_PARTITION=y
CONFIG_MSI_BITMAP_SELFTEST=y
CONFIG_MSPRO_BLOCK=m
CONFIG_MT7601U=m
# CONFIG_MT7603E is not set
# CONFIG_MT7615E is not set
# CONFIG_MT7663S is not set
# CONFIG_MT7663U is not set
CONFIG_MT76x0E=m
CONFIG_MT76x0U=m
CONFIG_MT76x2E=m
CONFIG_MT76x2U=m
# CONFIG_MT7915E is not set
kernel-5.14.0-54.el9 * Thu Feb 03 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-54.el9] - iwlwifi: mvm: read 6E enablement flags from DSM and pass to FW (Íñigo Huguet) [2033354] - ath11k: add string type to search board data in board-2.bin for WCN6855 (Íñigo Huguet) [2033354] - mt76: enable new device MT7921E (Íñigo Huguet) [2033354] - cfg80211: correct bridge/4addr mode check (Íñigo Huguet) [2033354] - cfg80211: fix management registrations locking (Íñigo Huguet) [2033354] - cfg80211: scan: fix RCU in cfg80211_add_nontrans_list() (Íñigo Huguet) [2033354] - mac80211: mesh: fix HE operation element length check (Íñigo Huguet) [2033354] - mwifiex: avoid null-pointer-subtraction warning (Íñigo Huguet) [2033354] - Revert "brcmfmac: use ISO3166 country code and 0 rev as fallback" (Íñigo Huguet) [2033354] - iwlwifi: pcie: add configuration of a Wi-Fi adapter on Dell XPS 15 (Íñigo Huguet) [2033354] - mac80211: Fix Ptk0 rekey documentation (Íñigo Huguet) [2033354] - mac80211: check return value of rhashtable_init (Íñigo Huguet) [2033354] - mac80211: fix use-after-free in CCMP/GCMP RX (Íñigo Huguet) [2033354] - drivers: net: mhi: fix error path in mhi_net_newlink (Íñigo Huguet) [2033354] - mac80211-hwsim: fix late beacon hrtimer handling (Íñigo Huguet) [2033354] - mac80211: mesh: fix potentially unaligned access (Íñigo Huguet) [2033354] - mac80211: limit injected vht mcs/nss in ieee80211_parse_tx_radiotap (Íñigo Huguet) [2033354] - mac80211: Drop frames from invalid MAC address in ad-hoc mode (Íñigo Huguet) [2033354] - mac80211: Fix ieee80211_amsdu_aggregate frag_tail bug (Íñigo Huguet) [2033354] - Revert "mac80211: do not use low data rates for data frames with no ack flag" (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: missing unlock in iwl_mvm_wowlan_program_keys() (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: Fix off by ones in iwl_mvm_wowlan_get_rsc_v5_data() (Íñigo Huguet) [2033354] - iwlwifi: mvm: Fix possible NULL dereference (Íñigo Huguet) [2033354] - iwl: fix debug printf format strings (Íñigo Huguet) [2033354] - iwlwifi: pnvm: Fix a memory leak in 'iwl_pnvm_get_from_fs()' (Íñigo Huguet) [2033354] - iwlwifi: bump FW API to 66 for AX devices (Íñigo Huguet) [2033354] - iwlwifi Add support for ax201 in Samsung Galaxy Book Flex2 Alpha (Íñigo Huguet) [2033354] - iwlwifi: mvm: add rtnl_lock() in iwl_mvm_start_get_nvm() (Íñigo Huguet) [2033354] - net: qrtr: revert check in qrtr_endpoint_post() (Íñigo Huguet) [2033354] - net: qrtr: make checks in qrtr_endpoint_post() stricter (Íñigo Huguet) [2033354] - intel: switch from 'pci_' to 'dma_' API (Íñigo Huguet) [2033354] - mwifiex: pcie: add reset_d3cold quirk for Surface gen4+ devices (Íñigo Huguet) [2033354] - mwifiex: pcie: add DMI-based quirk implementation for Surface devices (Íñigo Huguet) [2033354] - brcmfmac: pcie: fix oops on failure to resume and reprobe (Íñigo Huguet) [2033354] - wilc1000: Convert module-global "isinit" to device-specific variable (Íñigo Huguet) [2033354] - brcmfmac: Add WPA3 Personal with FT to supported cipher suites (Íñigo Huguet) [2033354] - rtlwifi: rtl8192de: Fix initialization of place in _rtl92c_phy_get_rightchnlplace() (Íñigo Huguet) [2033354] - rtw88: add quirk to disable pci caps on HP Pavilion 14-ce0xxx (Íñigo Huguet) [2033354] - ath9k: fix sleeping in atomic context (Íñigo Huguet) [2033354] - ath9k: fix OOB read ar9300_eeprom_restore_internal (Íñigo Huguet) [2033354] - iwlwifi: mvm: don't use FW key ID in beacon protection (Íñigo Huguet) [2033354] - iwlwifi: mvm: Fix scan channel flags settings (Íñigo Huguet) [2033354] - iwlwifi: mvm: support broadcast TWT alone (Íñigo Huguet) [2033354] - iwlwifi: mvm: introduce iwl_stored_beacon_notif_v3 (Íñigo Huguet) [2033354] - iwlwifi: move get pnvm file name to a separate function (Íñigo Huguet) [2033354] - iwlwifi: mvm: add support for responder config command version 9 (Íñigo Huguet) [2033354] - iwlwifi: mvm: add support for range request command version 13 (Íñigo Huguet) [2033354] - iwlwifi: allow debug init in RF-kill (Íñigo Huguet) [2033354] - iwlwifi: mvm: don't schedule the roc_done_wk if it is already running (Íñigo Huguet) [2033354] - iwlwifi: yoyo: support for new DBGI_SRAM region (Íñigo Huguet) [2033354] - iwlwifi: add 'Rx control frame to MBSSID' HE capability (Íñigo Huguet) [2033354] - iwlwifi: fw: fix debug dump data declarations (Íñigo Huguet) [2033354] - iwlwifi: api: remove datamember from struct (Íñigo Huguet) [2033354] - iwlwifi: fix __percpu annotation (Íñigo Huguet) [2033354] - iwlwifi: pcie: avoid dma unmap/remap in crash dump (Íñigo Huguet) [2033354] - iwlwifi: acpi: fill in SAR tables with defaults (Íñigo Huguet) [2033354] - iwlwifi: acpi: fill in WGDS table with defaults (Íñigo Huguet) [2033354] - iwlwifi: bump FW API to 65 for AX devices (Íñigo Huguet) [2033354] - iwlwifi: acpi: support reading and storing WGDS revision 2 (Íñigo Huguet) [2033354] - iwlwifi: mvm: load regdomain at INIT stage (Íñigo Huguet) [2033354] - iwlwifi: mvm: Read the PPAG and SAR tables at INIT stage (Íñigo Huguet) [2033354] - iwlwifi: mvm: trigger WRT when no beacon heard (Íñigo Huguet) [2033354] - iwlwifi: fw: correctly limit to monitor dump (Íñigo Huguet) [2033354] - iwlwifi: skip first element in the WTAS ACPI table (Íñigo Huguet) [2033354] - iwlwifi: mvm: support version 11 of wowlan statuses notification (Íñigo Huguet) [2033354] - iwlwifi: convert flat GEO profile table to a struct version (Íñigo Huguet) [2033354] - iwlwifi: remove unused ACPI_WGDS_TABLE_SIZE definition (Íñigo Huguet) [2033354] - iwlwifi: support reading and storing EWRD revisions 1 and 2 (Íñigo Huguet) [2033354] - iwlwifi: acpi: support reading and storing WRDS revision 1 and 2 (Íñigo Huguet) [2033354] - iwlwifi: pass number of chains and sub-bands to iwl_sar_set_profile() (Íñigo Huguet) [2033354] - iwlwifi: remove ACPI_SAR_NUM_TABLES definition (Íñigo Huguet) [2033354] - iwlwifi: convert flat SAR profile table to a struct version (Íñigo Huguet) [2033354] - iwlwifi: rename ACPI_SAR_NUM_CHAIN_LIMITS to ACPI_SAR_NUM_CHAINS (Íñigo Huguet) [2033354] - iwlwifi: mvm: fix access to BSS elements (Íñigo Huguet) [2033354] - iwlwifi: mvm: Refactor setting of SSIDs for 6GHz scan (Íñigo Huguet) [2033354] - iwlwifi: mvm: silently drop encrypted frames for unknown station (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: implement RSC command version 5 (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: make key reprogramming iteration optional (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: add separate key iteration for GTK type (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: refactor TSC/RSC configuration (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: remove fixed cmd_flags argument (Íñigo Huguet) [2033354] - iwlwifi: mvm: d3: separate TKIP data from key iteration (Íñigo Huguet) [2033354] - iwlwifi: mvm: simplify __iwl_mvm_set_sta_key() (Íñigo Huguet) [2033354] - iwlwifi: mvm: support new station key API (Íñigo Huguet) [2033354] - iwlwifi: mvm: Fix umac scan request probe parameters (Íñigo Huguet) [2033354] - iwlwifi: pcie: implement Bz reset flow (Íñigo Huguet) [2033354] - iwlwifi: implement Bz NMI behaviour (Íñigo Huguet) [2033354] - iwlwifi: pcie: implement Bz device startup (Íñigo Huguet) [2033354] - iwlwifi: read MAC address from correct place on Bz (Íñigo Huguet) [2033354] - iwlwifi: give Bz devices their own name (Íñigo Huguet) [2033354] - iwlwifi: split off Bz devices into their own family (Íñigo Huguet) [2033354] - iwlwifi: yoyo: cleanup internal buffer allocation in D3 (Íñigo Huguet) [2033354] - iwlwifi: mvm: treat MMPDUs in iwl_mvm_mac_tx() as bcast (Íñigo Huguet) [2033354] - iwlwifi: mvm: clean up number of HW queues (Íñigo Huguet) [2033354] - iwlwifi: mvm: avoid static queue number aliasing (Íñigo Huguet) [2033354] - iwlwifi: use DEFINE_MUTEX() for mutex lock (Íñigo Huguet) [2033354] - iwlwifi: remove trailing semicolon in macro definition (Íñigo Huguet) [2033354] - iwlwifi: mvm: fix a memory leak in iwl_mvm_mac_ctxt_beacon_changed (Íñigo Huguet) [2033354] - iwlwifi: mvm: fix old-style static const declaration (Íñigo Huguet) [2033354] - iwlwifi: mvm: remove check for vif in iwl_mvm_vif_from_mac80211() (Íñigo Huguet) [2033354] - iwlwifi: pcie: remove spaces from queue names (Íñigo Huguet) [2033354] - iwlwifi: mvm: restrict FW SMPS request (Íñigo Huguet) [2033354] - iwlwifi: mvm: set replay counter on key install (Íñigo Huguet) [2033354] - iwlwifi: mvm: remove trigger EAPOL time event (Íñigo Huguet) [2033354] - iwlwifi: iwl-dbg-tlv: add info about loading external dbg bin (Íñigo Huguet) [2033354] - iwlwifi: mvm: Add support for hidden network scan on 6GHz band (Íñigo Huguet) [2033354] - iwlwifi: mvm: Do not use full SSIDs in 6GHz scan (Íñigo Huguet) [2033354] - iwlwifi: print PNVM complete notification status in hexadecimal (Íñigo Huguet) [2033354] - iwlwifi: pcie: dump error on FW reset handshake failures (Íñigo Huguet) [2033354] - iwlwifi: prepare for synchronous error dumps (Íñigo Huguet) [2033354] - iwlwifi: pcie: free RBs during configure (Íñigo Huguet) [2033354] - iwlwifi: pcie: optimise struct iwl_rx_mem_buffer layout (Íñigo Huguet) [2033354] - iwlwifi: mvm: avoid FW restart while shutting down (Íñigo Huguet) [2033354] - iwlwifi: nvm: enable IEEE80211_HE_PHY_CAP10_HE_MU_M1RU_MAX_LTF (Íñigo Huguet) [2033354] - iwlwifi: mvm: set BROADCAST_TWT_SUPPORTED in MAC policy (Íñigo Huguet) [2033354] - iwlwifi: iwl-nvm-parse: set STBC flags for HE phy capabilities (Íñigo Huguet) [2033354] - cfg80211: use wiphy DFS domain if it is self-managed (Íñigo Huguet) [2033354] - mac80211: parse transmit power envelope element (Íñigo Huguet) [2033354] - ieee80211: add definition for transmit power envelope element (Íñigo Huguet) [2033354] - ieee80211: add definition of regulatory info in 6 GHz operation information (Íñigo Huguet) [2033354] - mac80211: introduce individual TWT support in AP mode (Íñigo Huguet) [2033354] - ieee80211: add TWT element definitions (Íñigo Huguet) [2033354] - brcmsmac: make array addr static const, makes object smaller (Íñigo Huguet) [2033354] - rtw88: Remove unnecessary check code (Íñigo Huguet) [2033354] - rtw88: wow: fix size access error of probe request (Íñigo Huguet) [2033354] - rtw88: wow: report wow reason through mac80211 api (Íñigo Huguet) [2033354] - rtw88: wow: build wow function only if CONFIG_PM is on (Íñigo Huguet) [2033354] - rtw88: refine the setting of rsvd pages for different firmware (Íñigo Huguet) [2033354] - rtw88: use read_poll_timeout instead of fixed sleep (Íñigo Huguet) [2033354] - rtw88: 8822ce: set CLKREQ# signal to low during suspend (Íñigo Huguet) [2033354] - rtw88: change beacon filter default mode (Íñigo Huguet) [2033354] - rtw88: 8822c: add tx stbc support under HT mode (Íñigo Huguet) [2033354] - rtw88: adjust the log level for failure of tx report (Íñigo Huguet) [2033354] - rtl8xxxu: Fix the handling of TX A-MPDU aggregation (Íñigo Huguet) [2033354] - rtl8xxxu: disable interrupt_in transfer for 8188cu and 8192cu (Íñigo Huguet) [2033354] - mwifiex: make arrays static const, makes object smaller (Íñigo Huguet) [2033354] - mwifiex: usb: Replace one-element array with flexible-array member (Íñigo Huguet) [2033354] - mwifiex: drop redundant null-pointer check in mwifiex_dnld_cmd_to_fw() (Íñigo Huguet) [2033354] - wilc1000: remove redundant code (Íñigo Huguet) [2033354] - wilc1000: use devm_clk_get_optional() (Íñigo Huguet) [2033354] - wilc1000: dispose irq on failure path (Íñigo Huguet) [2033354] - wilc1000: use goto labels on error path (Íñigo Huguet) [2033354] - rtlwifi: rtl8192de: make arrays static const, makes object smaller (Íñigo Huguet) [2033354] - rtlwifi: rtl8192de: Remove redundant variable initializations (Íñigo Huguet) [2033354] - ray_cs: Split memcpy() to avoid bounds check warning (Íñigo Huguet) [2033354] - ray_cs: use %%*ph to print small buffer (Íñigo Huguet) [2033354] - brcmfmac: add 43752 SDIO ids and initialization (Íñigo Huguet) [2033354] - brcmfmac: Set SDIO workqueue as WQ_HIGHPRI (Íñigo Huguet) [2033354] - brcmfmac: use separate firmware for 43430 revision 2 (Íñigo Huguet) [2033354] - brcmfmac: support chipsets with different core enumeration space (Íñigo Huguet) [2033354] - brcmfmac: add xtlv support to firmware interface layer (Íñigo Huguet) [2033354] - brcmfmac: increase core revision column aligning core list (Íñigo Huguet) [2033354] - brcmfmac: use different error value for invalid ram base address (Íñigo Huguet) [2033354] - brcmfmac: firmware: Fix firmware loading (Íñigo Huguet) [2033354] - cfg80211: fix BSS color notify trace enum confusion (Íñigo Huguet) [2033354] - mac80211: Fix insufficient headroom issue for AMSDU (Íñigo Huguet) [2033354] - mac80211: add support for BSS color change (Íñigo Huguet) [2033354] - nl80211: add support for BSS coloring (Íñigo Huguet) [2033354] - mac80211: Use flex-array for radiotap header bitmap (Íñigo Huguet) [2033354] - mac80211: radiotap: Use BIT() instead of shifts (Íñigo Huguet) [2033354] - mac80211: Remove unnecessary variable and label (Íñigo Huguet) [2033354] - mac80211: include <linux/rbtree.h> (Íñigo Huguet) [2033354] - mac80211: Fix monitor MTU limit so that A-MSDUs get through (Íñigo Huguet) [2033354] - mac80211: remove unnecessary NULL check in ieee80211_register_hw() (Íñigo Huguet) [2033354] - mac80211: Reject zero MAC address in sta_info_insert_check() (Íñigo Huguet) [2033354] - bus: mhi: core: Improve debug messages for power up (Íñigo Huguet) [2033354] - bus: mhi: core: Replace DMA allocation wrappers with original APIs (Íñigo Huguet) [2033354] - bus: mhi: core: Add range checks for BHI and BHIe (Íñigo Huguet) [2033354] - bus: mhi: pci_generic: Set register access length for MHI driver (Íñigo Huguet) [2033354] - ath11k: set register access length for MHI driver (Íñigo Huguet) [2033354] - bus: mhi: Add MMIO region length to controller structure (Íñigo Huguet) [2033354] - bus: mhi: core: Set BHI and BHIe pointers to NULL in clean-up (Íñigo Huguet) [2033354] - bus: mhi: core: Set BHI/BHIe offsets on power up preparation (Íñigo Huguet) [2033354] - bus: mhi: pci_generic: Add Cinterion MV31-W PCIe to MHI (Íñigo Huguet) [2033354] - net: mhi: Remove MBIM protocol (Íñigo Huguet) [2033354] - brcmfmac: firmware: Allow per-board firmware binaries (Íñigo Huguet) [2033354] - net: mhi: Improve MBIM packet counting (Íñigo Huguet) [2033354] - bus: mhi: pci-generic: configurable network interface MRU (Íñigo Huguet) [2033354] - ath11k: Remove some duplicate code (Íñigo Huguet) [2033354] - ath: switch from 'pci_' to 'dma_' API (Íñigo Huguet) [2033354] Resolves: rhbz#2033354 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-03 23:41:54 +00:00
CONFIG_MT7921E=m
kernel-5.14.0-69.el9 * Wed Feb 23 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-69.el9] - config: mt76: set CONFIG_MT7921S to not set, like in ark (Íñigo Huguet) [2043454] - config: rtw89: enable driver and device RTL8852AE (Íñigo Huguet) [2043454] - rtw89: 8852a: correct bit definition of dfs_en (Íñigo Huguet) [2043454] - rtw89: coex: Update COEX to 5.5.8 (Íñigo Huguet) [2043454] - rtw89: coex: Cancel PS leaving while C2H comes (Íñigo Huguet) [2043454] - rtw89: coex: Update BT counters while receiving report (Íñigo Huguet) [2043454] - rtw89: coex: Define LPS state for BTC using (Íñigo Huguet) [2043454] - rtw89: coex: Add MAC API to get BT polluted counter (Íñigo Huguet) [2043454] - rtw89: coex: Not to send H2C when WL not ready and count H2C (Íñigo Huguet) [2043454] - rtw89: coex: correct C2H header length (Íñigo Huguet) [2043454] - rtw89: don't kick off TX DMA if failed to write skb (Íñigo Huguet) [2043454] - rtw89: remove cch_by_bw which is not used (Íñigo Huguet) [2043454] - rtw89: fix sending wrong rtwsta->mac_id to firmware to fill address CAM (Íñigo Huguet) [2043454] - rtw89: fix incorrect channel info during scan (Íñigo Huguet) [2043454] - rtw89: update scan_mac_addr during scanning period (Íñigo Huguet) [2043454] - rtw89: use inline function instead macro to set H2C and CAM (Íñigo Huguet) [2043454] - rtw89: add const in the cast of le32_get_bits() (Íñigo Huguet) [2043454] - rtw89: add AXIDMA and TX FIFO dump in mac_mem_dump (Íñigo Huguet) [2043454] - rtw89: fix potentially access out of range of RF register array (Íñigo Huguet) [2043454] - rtw89: remove unneeded variable (Íñigo Huguet) [2043454] - rtw89: remove unnecessary conditional operators (Íñigo Huguet) [2043454] - rtw89: update rtw89_regulatory map to R58-R31 (Íñigo Huguet) [2043454] - rtw89: update tx power limit/limit_ru tables to R54 (Íñigo Huguet) [2043454] - rtw89: update rtw89 regulation definition to R58-R31 (Íñigo Huguet) [2043454] - rtw89: fill regd field of limit/limit_ru tables by enum (Íñigo Huguet) [2043454] - iwlwifi: pcie: add killer devices to the driver (Íñigo Huguet) [2043454] - iwlwifi: add support for BNJ HW (Íñigo Huguet) [2043454] - iwlwifi: Read the correct addresses when getting the crf id (Íñigo Huguet) [2043454] - iwlwifi: pcie: add jacket bit to device configuration parsing (Íñigo Huguet) [2043454] - iwlwifi: add new ax1650 killer device (Íñigo Huguet) [2043454] - iwlwifi: add new Qu-Hr device (Íñigo Huguet) [2043454] - iwlwifi: add missing entries for Gf4 with So and SoF (Íñigo Huguet) [2043454] - iwlwifi: remove unused iwlax210_2ax_cfg_so_hr_a0 structure (Íñigo Huguet) [2043454] - iwlwifi: support 4-bits in MAC step value (Íñigo Huguet) [2043454] - iwlwifi: mvm: Increase the scan timeout guard to 30 seconds (Íñigo Huguet) [2043454] - wilc1000: fix double free error in probe() (Íñigo Huguet) [2043454] - wilc1000: Fix spurious "FW not responding" error (Íñigo Huguet) [2043454] - wilc1000: Fix missing newline in error message (Íñigo Huguet) [2043454] - wilc1000: Fix copy-and-paste typo in wilc_set_mac_address (Íñigo Huguet) [2043454] - iwlwifi: mvm: Use div_s64 instead of do_div in iwl_mvm_ftm_rtt_smoothing() (Íñigo Huguet) [2043454] - mt76: mt7921: fix a possible race enabling/disabling runtime-pm (Íñigo Huguet) [2043454] - ath11k: Fix unexpected return buffer manager error for QCA6390 (Íñigo Huguet) [2043454] - ath11k: Fix napi related hang (Íñigo Huguet) [2043454] - iwlwifi: yoyo: fix issue with new DBGI_SRAM region read. (Íñigo Huguet) [2043454] - iwlwifi: pcie: make sure prph_info is set when treating wakeup IRQ (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix AUX ROC removal (Íñigo Huguet) [2043454] - iwlwifi: mvm: set protected flag only for NDP ranging (Íñigo Huguet) [2043454] - iwlwifi: mvm: perform 6GHz passive scan after suspend (Íñigo Huguet) [2043454] - iwlwifi: mvm: Fix calculation of frame length (Íñigo Huguet) [2043454] - iwlwifi: mvm: test roc running status bits before removing the sta (Íñigo Huguet) [2043454] - iwlwifi: don't pass actual WGDS revision number in table_revision (Íñigo Huguet) [2043454] - iwlwifi: fix leaks/bad data after failed firmware load (Íñigo Huguet) [2043454] - iwlwifi: fix debug TLV parsing (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix 32-bit build in FTM (Íñigo Huguet) [2043454] - iwlwifi: fix Bz NMI behaviour (Íñigo Huguet) [2043454] - rtlwifi: rtl8192cu: Fix WARNING when calling local_irq_restore() with interrupts enabled (Íñigo Huguet) [2043454] - rtw88: 8822c: update rx settings to prevent potential hw deadlock (Íñigo Huguet) [2043454] - rtw88: Disable PCIe ASPM while doing NAPI poll on 8821CE (Íñigo Huguet) [2043454] - ath9k: Fix out-of-bound memcpy in ath9k_hif_usb_rx_stream (Íñigo Huguet) [2043454] - ath9k_htc: fix NULL pointer dereference at ath9k_htc_tx_get_packet() (Íñigo Huguet) [2043454] - ath9k_htc: fix NULL pointer dereference at ath9k_htc_rxep() (Íñigo Huguet) [2043454] - mac80211: fix FEC flag in radio tap header (Íñigo Huguet) [2043454] - mt76: mt7921: fix possible resume failure (Íñigo Huguet) [2043454] - mt76: mt7921: move mt76_connac_mcu_set_hif_suspend to bus-related files (Íñigo Huguet) [2043454] - mt76: mt7921: fix network buffer leak by txs missing (Íñigo Huguet) [2043454] - mt76: mt7615: clear mcu error interrupt status on mt7663 (Íñigo Huguet) [2043454] - mt76: connac: fix last_chan configuration in mt76_connac_mcu_rate_txpower_band (Íñigo Huguet) [2043454] - mt76: mt7921: use correct iftype data on 6GHz cap init (Íñigo Huguet) [2043454] - mt76: mt7921: fix boolreturn.cocci warning (Íñigo Huguet) [2043454] - mt76: mt7615: fix unused tx antenna mask in testmode (Íñigo Huguet) [2043454] - mt76: fix the wiphy's available antennas to the correct value (Íñigo Huguet) [2043454] - mt76: connac: fix a theoretical NULL pointer dereference in mt76_connac_get_phy_mode (Íñigo Huguet) [2043454] - mt76: mt7921: fix possible NULL pointer dereference in mt7921_mac_write_txwi (Íñigo Huguet) [2043454] - mt76: fix possible OOB issue in mt76_calculate_default_rate (Íñigo Huguet) [2043454] - mt76: debugfs: fix queue reporting for mt76-usb (Íñigo Huguet) [2043454] - mt76: mt7915: fix SMPS operation fail (Íñigo Huguet) [2043454] - mt76: mt7915: fix return condition in mt7915_tm_reg_backup_restore() (Íñigo Huguet) [2043454] - mt76: mt7921: fix MT7921E reset failure (Íñigo Huguet) [2043454] - mt76: mt7615: fix possible deadlock while mt7615_register_ext_phy() (Íñigo Huguet) [2043454] - mt76: mt7921: drop offload_flags overwritten (Íñigo Huguet) [2043454] - mt76: mt7915: get rid of mt7915_mcu_set_fixed_rate routine (Íñigo Huguet) [2043454] - bus: mhi: core: Use correctly sized arguments for bit field (Íñigo Huguet) [2043454] - bus: mhi: core: Fix race while handling SYS_ERR at power up (Íñigo Huguet) [2043454] - bus: mhi: core: Fix reading wake_capable channel configuration (Íñigo Huguet) [2043454] - bus: mhi: core: Minor style and comment fixes (Íñigo Huguet) [2043454] - bus: mhi: pci_generic: Graceful shutdown on freeze (Íñigo Huguet) [2043454] - ath11k: Fix a NULL pointer dereference in ath11k_mac_op_hw_scan() (Íñigo Huguet) [2043454] - mt76: remove variable set but not used (Íñigo Huguet) [2043454] - ath11k: Fix deleting uninitialized kernel timer during fragment cache flush (Íñigo Huguet) [2043454] - ath11k: add spectral/CFR buffer validation support (Íñigo Huguet) [2043454] - ath11k: Fix buffer overflow when scanning with extraie (Íñigo Huguet) [2043454] - mwifiex: Fix possible ABBA deadlock (Íñigo Huguet) [2043454] - ath10k: fix scan abort when duration is set for hw scan (Íñigo Huguet) [2043454] - ath10k: Fix tx hanging (Íñigo Huguet) [2043454] - iwlwifi: Fix FW name for gl (Íñigo Huguet) [2043454] - iwlwifi: add support for Bz-Z HW (Íñigo Huguet) [2043454] - iwlwifi: acpi: fix wgds rev 3 size (Íñigo Huguet) [2043454] - iwlwifi: mvm: avoid clearing a just saved session protection id (Íñigo Huguet) [2043454] - iwlwifi: mvm: Fix wrong documentation for scan request command (Íñigo Huguet) [2043454] - iwlwifi: mvm: synchronize with FW after multicast commands (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix delBA vs. NSSN queue sync race (Íñigo Huguet) [2043454] - ath11k: Fix QMI file type enum value (Íñigo Huguet) [2043454] - ath11k: add support for WCN6855 hw2.1 (Íñigo Huguet) [2043454] - ath10k: Fix the MTU size on QCA9377 SDIO (Íñigo Huguet) [2043454] - wireless: iwlwifi: Fix a double free in iwl_txq_dyn_alloc_dma (Íñigo Huguet) [2043454] - mwifiex: Ignore BTCOEX events from the 88W8897 firmware (Íñigo Huguet) [2043454] - mwifiex: Fix skb_over_panic in mwifiex_usb_recv() (Íñigo Huguet) [2043454] - ath11k: Use host CE parameters for CE interrupts configuration (Íñigo Huguet) [2043454] - ath11k: Fix mon status ring rx tlv processing (Íñigo Huguet) [2043454] - ath11k: skip sending vdev down for channel switch (Íñigo Huguet) [2043454] - ath11k: fix read fail for htt_stats and htt_peer_stats for single pdev (Íñigo Huguet) [2043454] - ath11k: Set IRQ affinity to CPU0 in case of one MSI vector (Íñigo Huguet) [2043454] - ath11k: do not restore ASPM in case of single MSI vector (Íñigo Huguet) [2043454] - ath11k: add support one MSI vector (Íñigo Huguet) [2043454] - ath11k: refactor multiple MSI vector implementation (Íñigo Huguet) [2043454] - ath11k: use ATH11K_PCI_IRQ_DP_OFFSET for DP IRQ (Íñigo Huguet) [2043454] - ath11k: add CE and ext IRQ flag to indicate irq_handler (Íñigo Huguet) [2043454] - ath11k: get msi_data again after request_irq is called (Íñigo Huguet) [2043454] - ath9k: fix intr_txqs setting (Íñigo Huguet) [2043454] - ath11k: add hw_param for wakeup_mhi (Íñigo Huguet) [2043454] - ath11k: reset RSN/WPA present state for open BSS (Íñigo Huguet) [2043454] - ath11k: clear the keys properly via DISABLE_KEY (Íñigo Huguet) [2043454] - ath11k: Fix crash caused by uninitialized TX ring (Íñigo Huguet) [2043454] - ath11k: use cache line aligned buffers for dbring (Íñigo Huguet) [2043454] - ath11k: Fix ETSI regd with weather radar overlap (Íñigo Huguet) [2043454] - ath11k: fix FCS_ERR flag in radio tap header (Íñigo Huguet) [2043454] - ath11k: fix the value of msecs_to_jiffies in ath11k_debugfs_fw_stats_request (Íñigo Huguet) [2043454] - ath11k: fix firmware crash during channel switch (Íñigo Huguet) [2043454] - ath11k: Fix 'unused-but-set-parameter' error (Íñigo Huguet) [2043454] - ath11k: fix DMA memory free in CE pipe cleanup (Íñigo Huguet) [2043454] - ath11k: fix error routine when fallback of add interface fails (Íñigo Huguet) [2043454] - ath11k: fix fw crash due to peer get authorized before key install (Íñigo Huguet) [2043454] - ath11k: Clear auth flag only for actual association in security mode (Íñigo Huguet) [2043454] - ath11k: Send PPDU_STATS_CFG with proper pdev mask to firmware (Íñigo Huguet) [2043454] - ath11k: set correct NL80211_FEATURE_DYNAMIC_SMPS for WCN6855 (Íñigo Huguet) [2043454] - mac80211: mesh: embedd mesh_paths and mpp_paths into ieee80211_if_mesh (Íñigo Huguet) [2043454] - mac80211: initialize variable have_higher_than_11mbit (Íñigo Huguet) [2043454] - mac80211: fix locking in ieee80211_start_ap error path (Íñigo Huguet) [2043454] - mac80211: do drv_reconfig_complete() before restarting all (Íñigo Huguet) [2043454] - mac80211: mark TX-during-stop for TX in in_reconfig (Íñigo Huguet) [2043454] - mac80211: update channel context before station state (Íñigo Huguet) [2043454] - mac80211: Fix the size used for building probe request (Íñigo Huguet) [2043454] - mac80211: fix lookup when adding AddBA extension element (Íñigo Huguet) [2043454] - mac80211: validate extended element ID is present (Íñigo Huguet) [2043454] - cfg80211: Acquire wiphy mutex on regulatory work (Íñigo Huguet) [2043454] - mac80211: agg-tx: don't schedule_and_wake_txq() under sta->lock (Íñigo Huguet) [2043454] - nl80211: remove reload flag from regulatory_request (Íñigo Huguet) [2043454] - mac80211: send ADDBA requests using the tid/queue of the aggregation session (Íñigo Huguet) [2043454] - bus: mhi: core: Add support for forced PM resume (Íñigo Huguet) [2043454] - mt76: mt7921: fix build regression (Íñigo Huguet) [2043454] - brcmsmac: rework LED dependencies (Íñigo Huguet) [2043454] - iwlwifi: fix LED dependencies (Íñigo Huguet) [2043454] - iwlwifi: mvm: don't crash on invalid rate w/o STA (Íñigo Huguet) [2043454] - bus: mhi: pci_generic: Fix device recovery failed issue (Íñigo Huguet) [2043454] - mt76: fix key pointer overwrite in mt7921s_write_txwi/mt7663_usb_sdio_write_txwi (Íñigo Huguet) [2043454] - rt2x00: do not mark device gone on EPROTO errors during start (Íñigo Huguet) [2043454] - nl80211: reset regdom when reloading regdb (Íñigo Huguet) [2043454] - mac80211: add docs for ssn in struct tid_ampdu_tx (Íñigo Huguet) [2043454] - mac80211: fix a memory leak where sta_info is not freed (Íñigo Huguet) [2043454] - mac80211: set up the fwd_skb->dev for mesh forwarding (Íñigo Huguet) [2043454] - mac80211: fix regression in SSN handling of addba tx (Íñigo Huguet) [2043454] - mac80211: fix rate control for retransmitted frames (Íñigo Huguet) [2043454] - mac80211: track only QoS data frames for admission control (Íñigo Huguet) [2043454] - mac80211: fix TCP performance on mesh interface (Íñigo Huguet) [2043454] - mt76: fix possible pktid leak (Íñigo Huguet) [2043454] - mt76: fix timestamp check in tx_status (Íñigo Huguet) [2043454] - mt76: mt7915: fix NULL pointer dereference in mt7915_get_phy_mode (Íñigo Huguet) [2043454] - rtw89: update partition size of firmware header on skb->data (Íñigo Huguet) [2043454] - iwlwifi: Fix memory leaks in error handling path (Íñigo Huguet) [2043454] - iwlwifi: fix warnings produced by kernel debug options (Íñigo Huguet) [2043454] - iwlwifi: mvm: retry init flow if failed (Íñigo Huguet) [2043454] - iwlwifi: Fix missing error code in iwl_pci_probe() (Íñigo Huguet) [2043454] - iwlwifi: pcie: fix constant-conversion warning (Íñigo Huguet) [2043454] - mac80211: fix throughput LED trigger (Íñigo Huguet) [2043454] - mac80211: fix monitor_sdata RCU/locking assertions (Íñigo Huguet) [2043454] - mac80211: drop check for DONT_REORDER in __ieee80211_select_queue (Íñigo Huguet) [2043454] - mac80211: fix radiotap header generation (Íñigo Huguet) [2043454] - mac80211: do not access the IV when it was stripped (Íñigo Huguet) [2043454] - nl80211: fix radio statistics in survey dump (Íñigo Huguet) [2043454] - cfg80211: call cfg80211_stop_ap when switch from P2P_GO type (Íñigo Huguet) [2043454] - mwifiex: fix division by zero in fw download path (Íñigo Huguet) [2043454] - iwlwifi: bump FW API to 67 for AX devices (Íñigo Huguet) [2043454] - iwlwifi: mvm: extend session protection on association (Íñigo Huguet) [2043454] - iwlwifi: rename CHANNEL_SWITCH_NOA_NOTIF to CHANNEL_SWITCH_START_NOTIF (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove session protection on disassoc (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix WGDS table print in iwl_mvm_chub_update_mcc() (Íñigo Huguet) [2043454] - iwlwifi: rename GEO_TX_POWER_LIMIT to PER_CHAIN_LIMIT_OFFSET_CMD (Íñigo Huguet) [2043454] - iwlwifi: mvm: d3: use internal data representation (Íñigo Huguet) [2043454] - iwlwifi: mvm: update RFI TLV (Íñigo Huguet) [2043454] - iwlwifi: mvm: don't get address of mvm->fwrt just to dereference as a pointer (Íñigo Huguet) [2043454] - iwlwifi: yoyo: support for ROM usniffer (Íñigo Huguet) [2043454] - iwlwifi: fw: uefi: add missing include guards (Íñigo Huguet) [2043454] - iwlwifi: dump host monitor data when NIC doesn't init (Íñigo Huguet) [2043454] - iwlwifi: pcie: simplify iwl_pci_find_dev_info() (Íñigo Huguet) [2043454] - iwlwifi: ACPI: support revision 3 WGDS tables (Íñigo Huguet) [2043454] - iwlwifi: pcie: update sw error interrupt for BZ family (Íñigo Huguet) [2043454] - iwlwifi: add new pci SoF with JF (Íñigo Huguet) [2043454] - iwlwifi: mvm: Use all Rx chains for roaming scan (Íñigo Huguet) [2043454] - iwlwifi: pcie: remove two duplicate PNJ device entries (Íñigo Huguet) [2043454] - iwlwifi: pcie: refactor dev_info lookup (Íñigo Huguet) [2043454] - iwlwifi: pcie: remove duplicate entry (Íñigo Huguet) [2043454] - iwlwifi: pcie: fix killer name matching for AX200 (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix some kerneldoc issues (Íñigo Huguet) [2043454] - ath10k: fix division by zero in send path (Íñigo Huguet) [2043454] - ath10k: fix control-message timeout (Íñigo Huguet) [2043454] - rtw89: Fix variable dereferenced before check 'sta' (Íñigo Huguet) [2043454] - rtw89: fix return value in hfc_pub_cfg_chk (Íñigo Huguet) [2043454] - rtw89: remove duplicate register definitions (Íñigo Huguet) [2043454] - rtw89: fix error function parameter (Íñigo Huguet) [2043454] - ath10k: fix module load regression with iram-recovery feature (Íñigo Huguet) [2043454] - ath10k: fix invalid dma_addr_t token assignment (Íñigo Huguet) [2043454] - ath11k: change return buffer manager for QCA6390 (Íñigo Huguet) [2043454] - mt76: connac: fix unresolved symbols when CONFIG_PM is unset (Íñigo Huguet) [2043454] - mt76: Make use of the helper macro kthread_run() (Íñigo Huguet) [2043454] - mt76: Print error message when reading EEPROM from mtd failed (Íñigo Huguet) [2043454] - mt76: mt7921: disable 4addr capability (Íñigo Huguet) [2043454] - mt76: mt7915: add debugfs knobs for MCU utilization (Íñigo Huguet) [2043454] - mt76: mt7915: add WA firmware log support (Íñigo Huguet) [2043454] - mt76: mt7915: fix endiannes warning mt7915_mcu_beacon_check_caps (Íñigo Huguet) [2043454] - mt76: mt7915: rework debugfs fixed-rate knob (Íñigo Huguet) [2043454] - mt76: mt7915: rework .set_bitrate_mask() to support more options (Íñigo Huguet) [2043454] - mt76: mt7915: remove mt7915_mcu_add_he() (Íñigo Huguet) [2043454] - mt76: mt7615: apply cached RF data for DBDC (Íñigo Huguet) [2043454] - mt76: mt7615: mt7622: fix ibss and meshpoint (Íñigo Huguet) [2043454] - mt76: mt7921: fix Wformat build warning (Íñigo Huguet) [2043454] - mt76: mt7921: fix mt7921s Kconfig (Íñigo Huguet) [2043454] - iwlwifi: pnvm: print out the version properly (Íñigo Huguet) [2043454] - iwlwifi: dbg: treat non active regions as unsupported regions (Íñigo Huguet) [2043454] - iwlwifi: mvm: Read acpi dsm to get channel activation bitmap (Íñigo Huguet) [2043454] - iwlwifi: add new device id 7F70 (Íñigo Huguet) [2043454] - iwlwifi: mvm: improve log when processing CSA (Íñigo Huguet) [2043454] - iwlwifi: mvm: set BT-coex high priority for 802.1X/4-way-HS (Íñigo Huguet) [2043454] - iwlwifi: dbg: treat dbgc allocation failure when tlv is missing (Íñigo Huguet) [2043454] - iwlwifi: add new killer devices to the driver (Íñigo Huguet) [2043454] - iwlwifi: mvm: set inactivity timeouts also for PS-poll (Íñigo Huguet) [2043454] - iwlwifi: pcie: try to grab NIC access early (Íñigo Huguet) [2043454] - iwlwifi: mvm: reduce WARN_ON() in TX status path (Íñigo Huguet) [2043454] - iwlwifi: allow rate-limited error messages (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove session protection after auth/assoc (Íñigo Huguet) [2043454] - iwlwifi: remove redundant iwl_finish_nic_init() argument (Íñigo Huguet) [2043454] - iwlwifi: mvm: Add RTS and CTS flags to iwl_tx_cmd_flags. (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove csi from iwl_mvm_pass_packet_to_mac80211() (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new rate_n_flags for REPLY_RX_MPDU_CMD and RX_NO_DATA_NOTIF (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new TX_RSP and COMPRESSED_BA_RES versions (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new version of BEACON_TEMPLATE_CMD. (Íñigo Huguet) [2043454] - iwlwifi: mvm: Add support for new rate_n_flags in tx_cmd. (Íñigo Huguet) [2043454] - iwlwifi: BZ Family SW reset support (Íñigo Huguet) [2043454] - iwlwifi: BZ Family BUS_MASTER_DISABLE_REQ code duplication (Íñigo Huguet) [2043454] - iwlwifi: yoyo: fw debug config from context info and preset (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new version of ranging response notification (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support version 3 of tlc_update_notif. (Íñigo Huguet) [2043454] - iwlwifi: mvm: convert old rate & flags to the new format. (Íñigo Huguet) [2043454] - iwlwifi: mvm: add definitions for new rate & flags (Íñigo Huguet) [2043454] - iwlwifi: mvm: update definitions due to new rate & flags (Íñigo Huguet) [2043454] - iwlwifi: mvm: scrub key material in firmware dumps (Íñigo Huguet) [2043454] - iwlwifi: parse debug exclude data from firmware file (Íñigo Huguet) [2043454] - iwlwifi: fw dump: add infrastructure for dump scrubbing (Íñigo Huguet) [2043454] - iwlwifi: mvm: correct sta-state logic for TDLS (Íñigo Huguet) [2043454] - iwlwifi: api: fix struct iwl_wowlan_status_v7 kernel-doc (Íñigo Huguet) [2043454] - iwlwifi: fix fw/img.c license statement (Íñigo Huguet) [2043454] - iwlwifi: remove contact information (Íñigo Huguet) [2043454] - iwlwifi: remove MODULE_AUTHOR() statements (Íñigo Huguet) [2043454] - iwlwifi: api: remove unused RX status bits (Íñigo Huguet) [2043454] - iwlwifi: add some missing kernel-doc in struct iwl_fw (Íñigo Huguet) [2043454] - iwlwifi: mvm: Remove antenna c references (Íñigo Huguet) [2043454] - iwlwifi: mvm: add support for 160Mhz in ranging measurements (Íñigo Huguet) [2043454] - iwlwifi: add vendor specific capabilities for some RFs (Íñigo Huguet) [2043454] - iwlwifi: mvm: add lmac/umac PC info in case of error (Íñigo Huguet) [2043454] - iwlwifi: mvm: disable RX-diversity in powersave (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix ieee80211_get_he_iftype_cap() iftype (Íñigo Huguet) [2043454] - iwlwifi: Start scratch debug register for Bz family (Íñigo Huguet) [2043454] - iwlwifi: Add support for more BZ HWs (Íñigo Huguet) [2043454] - iwlwifi: Add support for getting rf id with blank otp (Íñigo Huguet) [2043454] - cfg80211: fix kernel-doc for MBSSID EMA (Íñigo Huguet) [2043454] - mac80211: Prevent AP probing during suspend (Íñigo Huguet) [2043454] - nl80211: Add LC placeholder band definition to nl80211_band (Íñigo Huguet) [2043454] - mac80211: split beacon retrieval functions (Íñigo Huguet) [2043454] - cfg80211: separate get channel number from ies (Íñigo Huguet) [2043454] - mac80211: use ieee80211_bss_get_elem() in most places (Íñigo Huguet) [2043454] - cfg80211: scan: use element finding functions in easy cases (Íñigo Huguet) [2043454] - nl80211: use element finding functions (Íñigo Huguet) [2043454] - mac80211: fils: use cfg80211_find_ext_elem() (Íñigo Huguet) [2043454] - mac80211: fix memory leaks with element parsing (Íñigo Huguet) [2043454] - cfg80211: prepare for const netdev->dev_addr (Íñigo Huguet) [2043454] - mac80211: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - wireless: mac80211_hwsim: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - mac80211: debugfs: calculate free buffer size correctly (Íñigo Huguet) [2043454] - fq_codel: generalise ce_threshold marking for subset of traffic (Íñigo Huguet) [2043454] - wl3501_cs: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - ray_cs: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - wilc1000: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - brcmfmac: prepare for const netdev->dev_addr (Íñigo Huguet) [2043454] - wireless: use eth_hw_addr_set() instead of ether_addr_copy() (Íñigo Huguet) [2043454] - wireless: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - iwlwifi: cfg: set low-latency-xtal for some integrated So devices (Íñigo Huguet) [2043454] - iwlwifi: pnvm: read EFI data only if long enough (Íñigo Huguet) [2043454] - iwlwifi: pnvm: don't kmemdup() more than we have (Íñigo Huguet) [2043454] - iwlwifi: change all JnP to NO-160 configuration (Íñigo Huguet) [2043454] - iwlwifi: mvm: reset PM state on unsuccessful resume (Íñigo Huguet) [2043454] - mwifiex: Deactive host sleep using HSCFG after it was activated manually (Íñigo Huguet) [2043454] - mwifiex: Send DELBA requests according to spec (Íñigo Huguet) [2043454] - mwifiex: Fix an incorrect comment (Íñigo Huguet) [2043454] - mwifiex: Log an error on command failure during key-material upload (Íñigo Huguet) [2043454] - mwifiex: Don't log error on suspend if wake-on-wlan is disabled (Íñigo Huguet) [2043454] - rtw89: remove unneeded semicolon (Íñigo Huguet) [2043454] - rtw89: fix return value check in rtw89_cam_send_sec_key_cmd() (Íñigo Huguet) [2043454] - mt76: mt7915: change max rx len limit of hw modules (Íñigo Huguet) [2043454] - mt76: mt7915: fix missing HE phy cap (Íñigo Huguet) [2043454] - mt76: mt7915: rework mt7915_mcu_sta_muru_tlv() (Íñigo Huguet) [2043454] - mt76: mt7915: enable HE UL MU-MIMO (Íñigo Huguet) [2043454] - mt76: mt7921: add per-vif counters in ethtool (Íñigo Huguet) [2043454] - mt76: mt7921: move tx amsdu stats in mib_stats (Íñigo Huguet) [2043454] - mt76: mt7921: add sta stats accounting in mt7921_mac_add_txs_skb (Íñigo Huguet) [2043454] - mt76: mt7921: introduce stats reporting through ethtool (Íñigo Huguet) [2043454] - mt76: mt7921: add some more MIB counters (Íñigo Huguet) [2043454] - mt76: do not reset MIB counters in get_stats callback (Íñigo Huguet) [2043454] - mt76: mt7915: move tx amsdu stats in mib_stats (Íñigo Huguet) [2043454] - mt76: mt7915: run mt7915_get_et_stats holding mt76 mutex (Íñigo Huguet) [2043454] - mt76: move mt76_ethtool_worker_info in mt76 module (Íñigo Huguet) [2043454] - mt76: move mt76_sta_stats in mt76.h (Íñigo Huguet) [2043454] - mt76: mt76x0: correct VHT MCS 8/9 tx power eeprom offset (Íñigo Huguet) [2043454] - mt76: mt7921s: add reset support (Íñigo Huguet) [2043454] - mt76: mt7921: introduce mt7921s support (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mt7921_mcu_send_message (Íñigo Huguet) [2043454] - mt76: mt7921: rely on mcu_get_nic_capability (Íñigo Huguet) [2043454] - mt76: connac: extend mcu_get_nic_capability (Íñigo Huguet) [2043454] - mt76: sdio: extend sdio module to support CONNAC2 (Íñigo Huguet) [2043454] - mt76: sdio: introduce parse_irq callback (Íñigo Huguet) [2043454] - mt76: sdio: move common code in mt76_sdio module (Íñigo Huguet) [2043454] - mt76: mt7921: use physical addr to unify register access (Íñigo Huguet) [2043454] - mt76: mt7921: make all event parser reusable between mt7921s and mt7921e (Íñigo Huguet) [2043454] - mt76: mt7663s: rely on mcu reg access utility (Íñigo Huguet) [2043454] - mt76: connac: move mcu reg access utility routines in mt76_connac_lib module (Íñigo Huguet) [2043454] - mt76: mt7921: add MT7921_COMMON module (Íñigo Huguet) [2043454] - mt76: mt7921: refactor init.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mcu.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: refactor dma.c to be pcie specific (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mac.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: add MU EDCA cmd support (Íñigo Huguet) [2043454] - mt76: mt7915: remove dead code in debugfs code (Íñigo Huguet) [2043454] - mt76: sdio: export mt76s_alloc_rx_queue and mt76s_alloc_tx routines (Íñigo Huguet) [2043454] - mt76: mt7915: improve code readability for xmit-queue handler (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt76 debugfs sub-dir for ext-phy (Íñigo Huguet) [2043454] - mt76: rely on phy pointer in mt76_register_debugfs_fops routine signature (Íñigo Huguet) [2043454] - mt76: mt7915: set muru platform type (Íñigo Huguet) [2043454] - mt76: mt7915: set VTA bit in tx descriptor (Íñigo Huguet) [2043454] - mt76: mt7915: fix muar_idx in mt7915_mcu_alloc_sta_req() (Íñigo Huguet) [2043454] - mt76: mt7915: rework starec TLV tags (Íñigo Huguet) [2043454] - mt76: mt7915: fix sta_rec_wtbl tag len (Íñigo Huguet) [2043454] - mt76: mt7915: improve starec readability of txbf (Íñigo Huguet) [2043454] - mt76: mt7915: fix txbf starec TLV issues (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2043454] - mt76: connac: fix possible NULL pointer dereference in mt76_connac_get_phy_mode_v2 (Íñigo Huguet) [2043454] - mt76: do not access 802.11 header in ccmp check for 802.3 rx skbs (Íñigo Huguet) [2043454] - mt76: use a separate CCMP PN receive counter for management frames (Íñigo Huguet) [2043454] - mt76: mt7921: add delay config for sched scan (Íñigo Huguet) [2043454] - mt76: mt7615: fix monitor mode tear down crash (Íñigo Huguet) [2043454] - mt76: debugfs: improve queue node readability (Íñigo Huguet) [2043454] - mt76: mt7915: add twt_stats knob in debugfs (Íñigo Huguet) [2043454] - mt76: mt7915: enable twt responder capability (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mac_add_twt_setup routine (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mcu_twt_agrt_update mcu command (Íñigo Huguet) [2043454] - mt76: mt7915: introduce __mt7915_get_tsf routine (Íñigo Huguet) [2043454] - mt76: drop MCU header size from buffer size in __mt76_mcu_send_firmware (Íñigo Huguet) [2043454] - mt76: introduce __mt76_mcu_send_firmware routine (Íñigo Huguet) [2043454] - mt76: support reading EEPROM data embedded in fdt (Íñigo Huguet) [2043454] - mt76: schedule status timeout at dma completion (Íñigo Huguet) [2043454] - mt76: substitute sk_buff_head status_list with spinlock_t status_lock (Íñigo Huguet) [2043454] - mt76: remove mt76_wcid pointer from mt76_tx_status_check signature (Íñigo Huguet) [2043454] - mt76: introduce packet_id idr (Íñigo Huguet) [2043454] - mt76: mt7921: add 6GHz support (Íñigo Huguet) [2043454] - mt76: add 6GHz support (Íñigo Huguet) [2043454] - mt76: connac: enable hw amsdu @ 6GHz (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_uni_add_bss (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_sta_tlv (Íñigo Huguet) [2043454] - mt76: connac: set 6G phymode in single-sku support (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_set_channel_domain (Íñigo Huguet) [2043454] - mt76: connac: enable 6GHz band for hw scan (Íñigo Huguet) [2043454] - mt76: connac: set 6G phymode in mt76_connac_get_phy_mode{,v2} (Íñigo Huguet) [2043454] - mt76: mt7915: add mib counters to ethtool stats (Íñigo Huguet) [2043454] - mt76: mt7915: add more MIB registers (Íñigo Huguet) [2043454] - mt76: mt7915: add tx mu/su counters to mib (Íñigo Huguet) [2043454] - mt76: mt7915: add some per-station tx stats to ethtool (Íñigo Huguet) [2043454] - mt76: mt7915: add tx stats gathered from tx-status callbacks (Íñigo Huguet) [2043454] - mt76: mt7915: add ethtool stats support (Íñigo Huguet) [2043454] - mt76: mt7921: fix retrying release semaphore without end (Íñigo Huguet) [2043454] - mt76: mt7921: robustify hardware initialization flow (Íñigo Huguet) [2043454] - mt76: mt7915: fix possible infinite loop release semaphore (Íñigo Huguet) [2043454] - mt76: mt7915: honor all possible error conditions in mt7915_mcu_init() (Íñigo Huguet) [2043454] - mt76: move spin_lock_bh to spin_lock in tasklet (Íñigo Huguet) [2043454] - mt76: mt7921: remove mt7921_sta_stats (Íñigo Huguet) [2043454] - mt76: mt7921: remove mcu rate reporting code (Íñigo Huguet) [2043454] - mt76: mt7921: report tx rate directly from tx status (Íñigo Huguet) [2043454] - mt76: mt7921: add support for tx status reporting (Íñigo Huguet) [2043454] - mt76: mt7921: start reworking tx rate reporting (Íñigo Huguet) [2043454] - mt76: mt7615: fix hwmon temp sensor mem use-after-free (Íñigo Huguet) [2043454] - mt76: mt7915: fix hwmon temp sensor mem use-after-free (Íñigo Huguet) [2043454] - mt76: mt7915: enable configured beacon tx rate (Íñigo Huguet) [2043454] - mt76: disable BH around napi_schedule() calls (Íñigo Huguet) [2043454] - mt76: fill boottime_ns in Rx path (Íñigo Huguet) [2043454] - mt76: switch from 'pci_' to 'dma_' API (Íñigo Huguet) [2043454] - mt76: fix boolreturn.cocci warnings (Íñigo Huguet) [2043454] - mt76: mt7921: update mib counters dumping phy stats (Íñigo Huguet) [2043454] - mt76: mt7921: always wake device if necessary in debugfs (Íñigo Huguet) [2043454] - mt76: mt7915: rename debugfs tx-queues (Íñigo Huguet) [2043454] - mt76: mt7915: rework debugfs queue info (Íñigo Huguet) [2043454] - mt76: mt7921: move mt7921_queue_rx_skb to mac.c (Íñigo Huguet) [2043454] - mt76: mt7915: fix WMM index on DBDC cards (Íñigo Huguet) [2043454] - mt76: mt7915: improve code readability in mt7915_mcu_sta_bfer_ht (Íñigo Huguet) [2043454] - mt76: mt7915: introduce bss coloring support (Íñigo Huguet) [2043454] - mt76: mt7915: add LED support (Íñigo Huguet) [2043454] - mt76: mt7915: fix potential NPE in TXS processing (Íñigo Huguet) [2043454] - mt76: mt7915: fix he_mcs capabilities for 160mhz (Íñigo Huguet) [2043454] - mt76: use IEEE80211_OFFLOAD_ENCAP_ENABLED instead of MT_DRV_AMSDU_OFFLOAD (Íñigo Huguet) [2043454] - mt76: mt7915: update mac timing settings (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness warnings in mt7921_mac_decode_he_mu_radiotap (Íñigo Huguet) [2043454] - mt76: mt7921: fix kernel warning from cfg80211_calculate_bitrate (Íñigo Huguet) [2043454] - mt76: mt7921: fix firmware usage of RA info using legacy rates (Íñigo Huguet) [2043454] - mt76: mt7915: add HE-LTF into fixed rate command (Íñigo Huguet) [2043454] - mt76: mt7921: report HE MU radiotap (Íñigo Huguet) [2043454] - mt76: mt7615: move mt7615_mcu_set_p2p_oppps in mt76_connac module (Íñigo Huguet) [2043454] - mt76: overwrite default reg_ops if necessary (Íñigo Huguet) [2043454] - mt76: mt7921: introduce mt7921_mcu_set_beacon_filter utility routine (Íñigo Huguet) [2043454] - mt76: mt7921: get rid of mt7921_mac_set_beacon_filter (Íñigo Huguet) [2043454] - mt76: mt7921: get rid of monitor_vif (Íñigo Huguet) [2043454] - mt76: connac: add support for limiting to maximum regulatory Tx power (Íñigo Huguet) [2043454] - mt76: connac: fix GTK rekey offload failure on WPA mixed mode (Íñigo Huguet) [2043454] - mt76: mt7921: fix dma hang in rmmod (Íñigo Huguet) [2043454] - mt76: add support for setting mcast rate (Íñigo Huguet) [2043454] - mt76: mt7915: fix bit fields for HT rate idx (Íñigo Huguet) [2043454] - mt76: mt7915: switch proper tx arbiter mode in testmode (Íñigo Huguet) [2043454] - mt76: mt7915: fix potential overflow of eeprom page index (Íñigo Huguet) [2043454] - mt76: mt7921: send EAPOL frames at lowest rate (Íñigo Huguet) [2043454] - mt76: mt7915: send EAPOL frames at lowest rate (Íñigo Huguet) [2043454] - mt76: mt7915: add control knobs for thermal throttling (Íñigo Huguet) [2043454] - mt76: mt7921: Add mt7922 support (Íñigo Huguet) [2043454] - mt76: mt7615: fix skb use-after-free on mac reset (Íñigo Huguet) [2043454] - mt76: mt7921: Fix out of order process by invalid event pkt (Íñigo Huguet) [2043454] - mt76: mt7915: fix mgmt frame using unexpected bitrate (Íñigo Huguet) [2043454] - mt76: mt7921: fix mgmt frame using unexpected bitrate (Íñigo Huguet) [2043454] - mt76: add mt76_default_basic_rate more devices can rely on (Íñigo Huguet) [2043454] - mt76: mt7921: introduce testmode support (Íñigo Huguet) [2043454] - mt76: mt7915: remove mt7915_sta_stats (Íñigo Huguet) [2043454] - mt76: mt7915: report tx rate directly from tx status (Íñigo Huguet) [2043454] - mt76: mt7915: cleanup -Wunused-but-set-variable (Íñigo Huguet) [2043454] - mt76: mt7915: take RCU read lock when calling ieee80211_bss_get_elem() (Íñigo Huguet) [2043454] - mt76: mt7915: fix an off-by-one bound check (Íñigo Huguet) [2043454] - mt76: mt7921: add .set_sar_specs support (Íñigo Huguet) [2043454] - mt76: mt7915: adapt new firmware to update BA winsize for Rx session (Íñigo Huguet) [2043454] - mt76: mt7915: report HE MU radiotap (Íñigo Huguet) [2043454] - mt76: mt76x02: fix endianness warnings in mt76x02_mac.c (Íñigo Huguet) [2043454] - mt76: mt7921: fix survey-dump reporting (Íñigo Huguet) [2043454] - mt76: fix build error implicit enumeration conversion (Íñigo Huguet) [2043454] - mt76: mt7921: enable aspm by default (Íñigo Huguet) [2043454] - mt76: connac: fix mt76_connac_gtk_rekey_tlv usage (Íñigo Huguet) [2043454] - mt76: mt7915: fix calling mt76_wcid_alloc with incorrect parameter (Íñigo Huguet) [2043454] - mt76: mt7915: fix info leak in mt7915_mcu_set_pre_cal() (Íñigo Huguet) [2043454] - mt76: mt7615: fix endianness warning in mt7615_mac_write_txwi (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness warning in mt7921_update_txs (Íñigo Huguet) [2043454] - mt76: mt7915: fix endianness warning in mt7915_mac_add_txs_skb (Íñigo Huguet) [2043454] - mt76: mt7921: avoid unnecessary spin_lock/spin_unlock in mt7921_mcu_tx_done_event (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness in mt7921_mcu_tx_done_event (Íñigo Huguet) [2043454] - rtw89: Remove redundant check of ret after call to rtw89_mac_enable_bb_rf (Íñigo Huguet) [2043454] - rtw89: Fix two spelling mistakes in debug messages (Íñigo Huguet) [2043454] - mwifiex: Try waking the firmware until we get an interrupt (Íñigo Huguet) [2043454] - mwifiex: Read a PCI register after writing the TX ring write pointer (Íñigo Huguet) [2043454] - fq_codel: implement L4S style ce_threshold_ect1 marking (Íñigo Huguet) [2043454] - net: add skb_get_dsfield() helper (Íñigo Huguet) [2043454] - wireless: Remove redundant 'flush_workqueue()' calls (Íñigo Huguet) [2043454] - mt7601u: Remove redundant initialization of variable ret (Íñigo Huguet) [2043454] - rtlwifi: rtl8192ee: Remove redundant initialization of variable version (Íñigo Huguet) [2043454] - rtw89: add Realtek 802.11ax driver (Íñigo Huguet) [2043454] - ath10k: fix max antenna gain unit (Íñigo Huguet) [2043454] - ath9k: fix an IS_ERR() vs NULL check (Íñigo Huguet) [2043454] - ath11k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2043454] - ath9k: support DT ieee80211-freq-limit property to limit channels (Íñigo Huguet) [2043454] - ath11k: Change number of TCL rings to one for QCA6390 (Íñigo Huguet) [2043454] - ath11k: Handle MSI enablement during rmmod and SSR (Íñigo Huguet) [2043454] - ath: dfs_pattern_detector: Fix possible null-pointer dereference in channel_detector_create() (Íñigo Huguet) [2043454] - ath11k: Use kcalloc() instead of kzalloc() (Íñigo Huguet) [2043454] - ath11k: Remove redundant assignment to variable fw_size (Íñigo Huguet) [2043454] - ath11k: fix m68k and xtensa build failure in ath11k_peer_assoc_h_smps() (Íñigo Huguet) [2043454] - ath11k: Fix spelling mistake "incompaitiblity" -> "incompatibility" (Íñigo Huguet) [2043454] - ath11k: Remove unused variable in ath11k_dp_rx_mon_merg_msdus() (Íñigo Huguet) [2043454] - ath9k: Fix potential interrupt storm on queue reset (Íñigo Huguet) [2043454] - ath9k: add option to reset the wifi chip via debugfs (Íñigo Huguet) [2043454] - ath10k: Don't always treat modem stop events as crashes (Íñigo Huguet) [2043454] - rtl8xxxu: Use lower tx rates for the ack packet (Íñigo Huguet) [2043454] - brcmfmac: Add DMI nvram filename quirk for Cyberbook T116 tablet (Íñigo Huguet) [2043454] - rtw88: refine fw_crash debugfs to show non-zero while triggering (Íñigo Huguet) [2043454] - rtw88: fix RX clock gate setting while fifo dump (Íñigo Huguet) [2043454] - net: qrtr: combine nameservice into main module (Íñigo Huguet) [2043454] - ath10k: sdio: Add missing BH locking around napi_schdule() (Íñigo Huguet) [2043454] - ath10k: Fix missing frame timestamp for beacon/probe-resp (Íñigo Huguet) [2043454] - ath10k: high latency fixes for beacon buffer (Íñigo Huguet) [2043454] - ath11k: Change DMA_FROM_DEVICE to DMA_TO_DEVICE when map reinjected packets (Íñigo Huguet) [2043454] - ath11k: support SMPS configuration for 6 GHz (Íñigo Huguet) [2043454] - ath11k: add 6 GHz params in peer assoc command (Íñigo Huguet) [2043454] - ieee80211: Add new A-MPDU factor macro for HE 6 GHz peer caps (Íñigo Huguet) [2043454] - ath11k: indicate to mac80211 scan complete with aborted flag for ATH11K_SCAN_STARTING state (Íñigo Huguet) [2043454] - ath11k: indicate scan complete for scan canceled when scan running (Íñigo Huguet) [2043454] - ath11k: add handler for scan event WMI_SCAN_EVENT_DEQUEUED (Íñigo Huguet) [2043454] - ath11k: add HTT stats support for new stats (Íñigo Huguet) [2043454] - ath11k: Change masking and shifting in htt stats (Íñigo Huguet) [2043454] - ath11k: Remove htt stats fixed size array usage (Íñigo Huguet) [2043454] - ath11k: Replace HTT_DBG_OUT with scnprintf (Íñigo Huguet) [2043454] - ath11k: Rename macro ARRAY_TO_STRING to PRINT_ARRAY_TO_BUF (Íñigo Huguet) [2043454] - ath11k: Fix memory leak in ath11k_qmi_driver_event_work (Íñigo Huguet) [2043454] - ath11k: Fix inaccessible debug registers (Íñigo Huguet) [2043454] - ath11k: Drop MSDU with length error in DP rx path (Íñigo Huguet) [2043454] - ath11k: copy cap info of 6G band under WMI_HOST_WLAN_5G_CAP for WCN6855 (Íñigo Huguet) [2043454] - ath11k: enable 6G channels for WCN6855 (Íñigo Huguet) [2043454] - ath11k: re-enable ht_cap/vht_cap for 5G band for WCN6855 (Íñigo Huguet) [2043454] - ath11k: fix survey dump collection in 6 GHz (Íñigo Huguet) [2043454] - ath11k: fix packet drops due to incorrect 6 GHz freq value in rx status (Íñigo Huguet) [2043454] - ath11k: add channel 2 into 6 GHz channel list (Íñigo Huguet) [2043454] - mac80211: MBSSID support in interface handling (Íñigo Huguet) [2043454] - ath11k: Add wmi peer create conf event in wmi_tlv_event_id (Íñigo Huguet) [2043454] - ath11k: Avoid "No VIF found" warning message (Íñigo Huguet) [2043454] - ath11k: Fix crash during firmware recovery on reo cmd ring access (Íñigo Huguet) [2043454] - ath11k: Assign free_vdev_map value before ieee80211_register_hw (Íñigo Huguet) [2043454] - ath11k: Add vdev start flag to disable hardware encryption (Íñigo Huguet) [2043454] - ath11k: Avoid race during regd updates (Íñigo Huguet) [2043454] - ath11k: Avoid reg rules update during firmware recovery (Íñigo Huguet) [2043454] - ath11k: Update pdev tx and rx firmware stats (Íñigo Huguet) [2043454] - ath11k: Fix pktlog lite rx events (Íñigo Huguet) [2043454] - ath11k: Add support for RX decapsulation offload (Íñigo Huguet) [2043454] - ath11k: fix some sleeping in atomic bugs (Íñigo Huguet) [2043454] - ath11k: qmi: avoid error messages when dma allocation fails (Íñigo Huguet) [2043454] - ath11k: Replace one-element array with flexible-array member (Íñigo Huguet) [2043454] - ath11k: add caldata download support from EEPROM (Íñigo Huguet) [2043454] - ath11k: add caldata file for multiple radios (Íñigo Huguet) [2043454] - ath11k: clean up BDF download functions (Íñigo Huguet) [2043454] - ath11k: use hw_params to access board_size and cal_offset (Íñigo Huguet) [2043454] - ath11k: Wstringop-overread warning (Íñigo Huguet) [2043454] - ath11k: Add spectral scan support for QCN9074 (Íñigo Huguet) [2043454] - ath11k: Fix the spectral minimum FFT bin count (Íñigo Huguet) [2043454] - ath11k: Introduce spectral hw configurable param (Íñigo Huguet) [2043454] - ath11k: Refactor spectral FFT bin size (Íñigo Huguet) [2043454] - ath11k: add support for 80P80 and 160 MHz bandwidth (Íñigo Huguet) [2043454] - ath11k: add support for setting fixed HE rate/gi/ltf (Íñigo Huguet) [2043454] - nl80211: MBSSID and EMA support in AP mode (Íñigo Huguet) [2043454] - nl80211: don't kfree() ERR_PTR() value (Íñigo Huguet) [2043454] - cfg80211: always free wiphy specific regdomain (Íñigo Huguet) [2043454] - mac80211: save transmit power envelope element and power constraint (Íñigo Huguet) [2043454] - mac80211: add parse regulatory info in 6 GHz operation information (Íñigo Huguet) [2043454] - ieee80211: add power type definition for 6 GHz (Íñigo Huguet) [2043454] - mac80211: twt: don't use potentially unaligned pointer (Íñigo Huguet) [2043454] - cfg80211: AP mode driver offload for FILS association crypto (Íñigo Huguet) [2043454] - ath11k: monitor mode clean up to use separate APIs (Íñigo Huguet) [2043454] - ath11k: add separate APIs for monitor mode (Íñigo Huguet) [2043454] - ath11k: move static function ath11k_mac_vdev_setup_sync to top (Íñigo Huguet) [2043454] - rtw88: 8821c: correct 2.4G tx power for type 2/4 NIC (Íñigo Huguet) [2043454] - rtw88: 8821c: support RFE type4 wifi NIC (Íñigo Huguet) [2043454] - brcmfmac: Replace zero-length array with flexible array member (Íñigo Huguet) [2043454] - mac80211_hwsim: enable 6GHz channels (Íñigo Huguet) [2043454] - mac80211: use ieee802_11_parse_elems() in ieee80211_prep_channel() (Íñigo Huguet) [2043454] - nl80211: don't put struct cfg80211_ap_settings on stack (Íñigo Huguet) [2043454] - mac80211: always allocate struct ieee802_11_elems (Íñigo Huguet) [2043454] - mac80211: mlme: find auth challenge directly (Íñigo Huguet) [2043454] - mac80211: move CRC into struct ieee802_11_elems (Íñigo Huguet) [2043454] - mac80211: mesh: clean up rx_bcn_presp API (Íñigo Huguet) [2043454] - mac80211: reduce stack usage in debugfs (Íñigo Huguet) [2043454] - nl80211: prefer struct_size over open coded arithmetic (Íñigo Huguet) [2043454] - mac80211: check hostapd configuration parsing twt requests (Íñigo Huguet) [2043454] - cfg80211: honour V=1 in certificate code generation (Íñigo Huguet) [2043454] - rt2x00: remove duplicate USB device ID (Íñigo Huguet) [2043454] - wilc1000: increase config packets response wait timeout limit (Íñigo Huguet) [2043454] - wilc1000: use correct write command sequence in wilc_spi_sync_ext() (Íñigo Huguet) [2043454] - wilc1000: add 'initialized' flag check before adding an element to TX queue (Íñigo Huguet) [2043454] - wilc1000: invoke chip reset register before firmware download (Íñigo Huguet) [2043454] - wilc1000: ignore clockless registers status response for SPI (Íñigo Huguet) [2043454] - wilc1000: handle read failure issue for clockless registers (Íñigo Huguet) [2043454] - wilc1000: add reset/terminate/repeat command support for SPI bus (Íñigo Huguet) [2043454] - wilc1000: configure registers to handle chip wakeup sequence (Íñigo Huguet) [2043454] - wilc1000: add new WID to pass wake_enable information to firmware (Íñigo Huguet) [2043454] - wilc1000: fix possible memory leak in cfg_scan_result() (Íñigo Huguet) [2043454] - wilc1000: move 'deinit_lock' lock init/destroy inside module probe (Íñigo Huguet) [2043454] - mwifiex: Fix copy-paste mistake when creating virtual interface (Íñigo Huguet) [2043454] - mwifiex: Properly initialize private structure on interface type changes (Íñigo Huguet) [2043454] - mwifiex: Handle interface type changes from AP to STATION (Íñigo Huguet) [2043454] - mwifiex: Allow switching interface type from P2P_CLIENT to P2P_GO (Íñigo Huguet) [2043454] - mwifiex: Update virtual interface counters right after setting bss_type (Íñigo Huguet) [2043454] - mwifiex: Use helper function for counting interface types (Íñigo Huguet) [2043454] - mwifiex: Run SET_BSS_MODE when changing from P2P to STATION vif-type (Íñigo Huguet) [2043454] - mwifiex: Use function to check whether interface type change is allowed (Íñigo Huguet) [2043454] - mwifiex: Small cleanup for handling virtual interface type changes (Íñigo Huguet) [2043454] - rtw88: move adaptivity mechanism to firmware (Íñigo Huguet) [2043454] - rtw88: support adaptivity for ETSI/JP DFS region (Íñigo Huguet) [2043454] - rtw88: add regulatory strategy by chip type (Íñigo Huguet) [2043454] - rtw88: upgrade rtw_regulatory mechanism and mapping (Íñigo Huguet) [2043454] - brcmfmac: fix incorrect error prints (Íñigo Huguet) [2043454] - ath11k: Align bss_chan_info structure with firmware (Íñigo Huguet) [2043454] - ath11k: add support in survey dump with bss_chan_info (Íñigo Huguet) [2043454] - ath11k: Rename atf_config to flag1 in target_resource_config (Íñigo Huguet) [2043454] - ath11k: fix 4addr multicast packet tx (Íñigo Huguet) [2043454] - ath11k: fix 4-addr tx failure for AP and STA modes (Íñigo Huguet) [2043454] - ath9k: owl-loader: fetch pci init values through nvmem (Íñigo Huguet) [2043454] - ath9k: fetch calibration data via nvmem subsystem (Íñigo Huguet) [2043454] Resolves: rhbz#2043454 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-23 23:44:41 +00:00
# CONFIG_MT7921S is not set
kernel-5.14.0-119.el9 * Fri Jun 24 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-119.el9] - revert bus: Make remove callback return void (Steve Best) [2097921] - [s390] s390/ism: switch from 'pci_' to 'dma_' API (Tobias Huschle) [2044294] - [s390] net/smc: Fix sock leak when release after smc_shutdown() (Tobias Huschle) [2044294] - [s390] net/smc: Fix af_ops of child socket pointing to released memory (Tobias Huschle) [2044294] - [s390] net/smc: Fix NULL pointer dereference in smc_pnet_find_ib() (Tobias Huschle) [2044294] - [s390] net/smc: use memcpy instead of snprintf to avoid out of bounds read (Tobias Huschle) [2044294] - [s390] net/smc: Send out the remaining data in sndbuf before close (Tobias Huschle) [2044294] - [s390] net/smc: fix a memory leak in smc_sysctl_net_exit() (Tobias Huschle) [2044294] - [s390] net/smc: fix -Wmissing-prototypes warning when CONFIG_SYSCTL not set (Tobias Huschle) [2044294] - [s390] net/smc: fix compile warning for smc_sysctl (Tobias Huschle) [2044294] - [s390] Revert "net/smc: don't req_notify until all CQEs drained" (Tobias Huschle) [2044294] - [s390] net/smc: fix unexpected SMC_CLC_DECL_ERR_REGRMB error cause by server (Tobias Huschle) [2044294] - [s390] net/smc: fix unexpected SMC_CLC_DECL_ERR_REGRMB error generated by client (Tobias Huschle) [2044294] - [s390] net: smc: fix different types in min() (Tobias Huschle) [2044294] - [s390] net/smc: don't send in the BH context if sock_owned_by_user (Tobias Huschle) [2044294] - [s390] net/smc: don't req_notify until all CQEs drained (Tobias Huschle) [2044294] - [s390] net/smc: correct settings of RMB window update limit (Tobias Huschle) [2044294] - [s390] net/smc: send directly on setting TCP_NODELAY (Tobias Huschle) [2044294] - [s390] net/smc: add sysctl for autocorking (Tobias Huschle) [2044294] - [s390] net/smc: add autocorking support (Tobias Huschle) [2044294] - [s390] net/smc: add sysctl interface for SMC (Tobias Huschle) [2044294] - [s390] net/smc: Call trace_smc_tx_sendmsg when data corked (Tobias Huschle) [2044294] - [s390] net/smc: Fix cleanup when register ULP fails (Tobias Huschle) [2044294] - [s390] net/smc: fix connection leak (Tobias Huschle) [2044294] - [s390] net/smc: Use a mutex for locking "struct smc_pnettable" (Tobias Huschle) [2044294] - [s390] net/smc: unlock on error paths in __smc_setsockopt() (Tobias Huschle) [2044294] - [s390] net/smc: return ETIMEDOUT when smc_connect_clc() timeout (Tobias Huschle) [2044294] - [s390] net/smc: Add comment for smc_tx_pending (Tobias Huschle) [2044294] - [s390] net/smc: Add global configure for handshake limitation by netlink (Tobias Huschle) [2044294] - [s390] net/smc: Dynamic control handshake limitation by socket options (Tobias Huschle) [2044294] - [s390] net/smc: Limit SMC visits when handshake workqueue congested (Tobias Huschle) [2044294] - [s390] net/smc: Limit backlog connections (Tobias Huschle) [2044294] - [s390] net/smc: Make smc_tcp_listen_work() independent (Tobias Huschle) [2044294] - [s390] net/smc: Avoid overwriting the copies of clcsock callback functions (Tobias Huschle) [2044294] - [s390] Partially revert "net/smc: Add netlink net namespace support" (Tobias Huschle) [2044294] - [s390] net/smc: Cork when sendpage with MSG_SENDPAGE_NOTLAST flag (Tobias Huschle) [2044294] - [s390] net/smc: Remove corked dealyed work (Tobias Huschle) [2044294] - [s390] net/smc: Send directly when TCP_CORK is cleared (Tobias Huschle) [2044294] - [s390] net/smc: Forward wakeup to smc socket waitqueue after fallback (Tobias Huschle) [2044294] - [s390] net/smc: Transitional solution for clcsock race issue (Tobias Huschle) [2044294] - [s390] net/smc: Fix hung_task when removing SMC-R devices (Tobias Huschle) [2044294] - [s390] net/smc: Remove unused function declaration (Tobias Huschle) [2044294] - [s390] net/smc: Resolve the race between SMC-R link access and clear (Tobias Huschle) [2044294] - [s390] net/smc: Introduce a new conn->lgr validity check helper (Tobias Huschle) [2044294] - [s390] net/smc: Resolve the race between link group access and termination (Tobias Huschle) [2044294] - [s390] net/smc: Reset conn->lgr when link group registration fails (Tobias Huschle) [2044294] - [s390] net/smc: add comments for smc_link_{usable|sendable} (Tobias Huschle) [2044294] - [s390] net/smc: remove redundant re-assignment of pointer link (Tobias Huschle) [2044294] - [s390] net/smc: Introduce TCP ULP support (Tobias Huschle) [2044294] - [s390] net/smc: Add net namespace for tracepoints (Tobias Huschle) [2044294] - [s390] net/smc: Print net namespace in log (Tobias Huschle) [2044294] - [s390] net/smc: Add netlink net namespace support (Tobias Huschle) [2044294] - [s390] net/smc: Introduce net namespace support for linkgroup (Tobias Huschle) [2044294] - [s390] net/smc: Use the bitmap API when applicable (Tobias Huschle) [2044294] - [s390] net/smc: fix kernel panic caused by race of smc_sock (Tobias Huschle) [2044294] - [s390] net/smc: don't send CDC/LLC message if link not ready (Tobias Huschle) [2044294] - [s390] net/smc: fix using of uninitialized completions (Tobias Huschle) [2044294] - [s390] net/smc: Prevent smc_release() from long blocking (Tobias Huschle) [2044294] - [s390] net/smc: Clear memory when release and reuse buffer (Tobias Huschle) [2044294] - [s390] net/smc: Keep smc_close_final rc during active close (Tobias Huschle) [2044294] - [s390] net/smc: fix wrong list_del in smc_lgr_cleanup_early (Tobias Huschle) [2044294] - [s390] net/smc: Don't call clcsock shutdown twice when smc shutdown (Tobias Huschle) [2044294] - [s390] net/smc: Fix loop in smc_listen (Tobias Huschle) [2044294] - [s390] net/smc: Fix NULL pointer dereferencing in smc_vlan_by_tcpsk() (Tobias Huschle) [2044294] - [s390] net/smc: Ensure the active closing peer first closes clcsock (Tobias Huschle) [2044294] - [s390] net/smc: Clean up local struct sock variables (Tobias Huschle) [2044294] - [s390] net/smc: Avoid warning of possible recursive locking (Tobias Huschle) [2044294] - [s390] net/smc: Make sure the link_id is unique (Tobias Huschle) [2044294] - [s390] net/smc: Transfer remaining wait queue entries during fallback (Tobias Huschle) [2044294] - [s390] net/smc: fix sk_refcnt underflow on linkdown and fallback (Tobias Huschle) [2044294] - [s390] net/smc: Print function name in smcr_link_down tracepoint (Tobias Huschle) [2044294] - [s390] net/smc: Introduce tracepoint for smcr link down (Tobias Huschle) [2044294] - [s390] net/smc: Introduce tracepoints for tx and rx msg (Tobias Huschle) [2044294] - [s390] net/smc: Introduce tracepoint for fallback (Tobias Huschle) [2044294] - [s390] net/smc: Correct spelling mistake to TCPF_SYN_RECV (Tobias Huschle) [2044294] - [s390] net/smc: Fix smc_link->llc_testlink_time overflow (Tobias Huschle) [2044294] - [s390] net/smc: stop links when their GID is removed (Tobias Huschle) [2044294] - [s390] net/smc: add netlink support for SMC-Rv2 (Tobias Huschle) [2044294] - [s390] net/smc: extend LLC layer for SMC-Rv2 (Tobias Huschle) [2044294] - [s390] net/smc: add v2 support to the work request layer (Tobias Huschle) [2044294] - [s390] net/smc: retrieve v2 gid from IB device (Tobias Huschle) [2044294] - [s390] net/smc: add v2 format of CLC decline message (Tobias Huschle) [2044294] - [s390] net/smc: add listen processing for SMC-Rv2 (Tobias Huschle) [2044294] - [s390] net/smc: add SMC-Rv2 connection establishment (Tobias Huschle) [2044294] - [s390] net/smc: prepare for SMC-Rv2 connection (Tobias Huschle) [2044294] - [s390] net/smc: save stack space and allocate smc_init_info (Tobias Huschle) [2044294] - [s390] net/smc: add generic netlink support for system EID (Tobias Huschle) [2044294] - [s390] net/smc: keep static copy of system EID (Tobias Huschle) [2044294] - [s390] net/smc: add support for user defined EIDs (Tobias Huschle) [2044294] - [s390] net/smc: Allow SMC-D 1MB DMB allocations (Tobias Huschle) [2044294] - revert bus: Make remove callback return void (Steve Best) [2096865] - mt76: mt7921: Fix the error handling path of mt7921_pci_probe() (Íñigo Huguet) [2096777] - redhat/configs: Add CONFIG_S390_UV_UAPI (Claudio Imbrenda) [2001936] - [s390] s390/uv_uapi: depend on CONFIG_S390 (Claudio Imbrenda) [2001936] - [s390] drivers/s390/char: Add Ultravisor io device (Claudio Imbrenda) [2001936] - tg3: Remove redundant assignments (Ken Cox) [2043793] - ethernet: Remove redundant statement (Ken Cox) [2043793] - ethernet: tg3: remove direct netdev->dev_addr writes (Ken Cox) [2043793] - net: tg3: fix redundant check of true expression (Ken Cox) [2043793] - net: tg3: fix obsolete check of !err (Ken Cox) [2043793] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ken Cox) [2043793] - net: broadcom: switch from 'pci_' to 'dma_' API (Ken Cox) [2043793] - powerpc/doc: Fix htmldocs errors (Gustavo Walbon) [1873825] - powerpc/pseries: Add support for FORM2 associativity (Gustavo Walbon) [1873825] - powerpc/pseries: Consolidate different NUMA distance update code paths (Gustavo Walbon) [1873825] - powerpc/pseries: Rename TYPE1_AFFINITY to FORM1_AFFINITY (Gustavo Walbon) [1873825] - powerpc/pseries: rename min_common_depth to primary_domain_index (Gustavo Walbon) [1873825] - powerpc/pseries: Add a helper for form1 cpu distance (Gustavo Walbon) [1873825] - config: wireless: MT7921U=n (Íñigo Huguet) [2059999] - rfkill: uapi: fix RFKILL_IOCTL_MAX_SIZE ioctl request definition (Íñigo Huguet) [2059999] - nl80211: fix locking in nl80211_set_tx_bitrate_mask() (Íñigo Huguet) [2059999] - mac80211_hwsim: call ieee80211_tx_prepare_skb under RCU protection (Íñigo Huguet) [2059999] - mac80211_hwsim: fix RCU protected chanctx access (Íñigo Huguet) [2059999] - mac80211: Reset MBSSID parameters upon connection (Íñigo Huguet) [2059999] - cfg80211: retrieve S1G operating channel number (Íñigo Huguet) [2059999] - nl80211: validate S1G channel width (Íñigo Huguet) [2059999] - mac80211: fix rx reordering with non explicit / psmp ack policy (Íñigo Huguet) [2059999] - ath11k: reduce the wait time of 11d scan and hw scan while add interface (Íñigo Huguet) [2059999] - iwlwifi: iwl-dbg: Use del_timer_sync() before freeing (Íñigo Huguet) [2059999] - bus: mhi: host: pci_generic: Flush recovery worker during freeze (Íñigo Huguet) [2059999] - bus: mhi: host: pci_generic: Add missing poweroff() PM callback (Íñigo Huguet) [2059999] - mac80211: fix ht_capa printout in debugfs (Íñigo Huguet) [2059999] - cfg80211: hold bss_lock while updating nontrans_list (Íñigo Huguet) [2059999] - nl80211: correctly check NL80211_ATTR_REG_ALPHA2 size (Íñigo Huguet) [2059999] - ath9k: Fix usage of driver-private space in tx_info (Íñigo Huguet) [2059999] - brcmfmac: sdio: Fix undefined behavior due to shift overflowing the constant (Íñigo Huguet) [2059999] - mt76: Fix undefined behavior due to shift overflowing the constant (Íñigo Huguet) [2059999] - Revert "ath11k: mesh: add support for 256 bitmap in blockack frames in 11ax" (Íñigo Huguet) [2059999] - ath9k: Properly clear TX status area before reporting to mac80211 (Íñigo Huguet) [2059999] - iwlwifi: mvm: Don't fail if PPAG isn't supported (Íñigo Huguet) [2059999] - ar5523: fix typo "to short" -> "too short" (Íñigo Huguet) [2059999] - bus: mhi: Make mhi_state_str[] array static inline and move to common.h (Íñigo Huguet) [2059999] - bus: mhi: Move common MHI definitions out of host directory (Íñigo Huguet) [2059999] - bus: mhi: host: Rename "struct mhi_tre" to "struct mhi_ring_element" (Íñigo Huguet) [2059999] - bus: mhi: Cleanup the register definitions used in headers (Íñigo Huguet) [2059999] - bus: mhi: Use bitfield operations for handling DWORDs of ring elements (Íñigo Huguet) [2059999] - bus: mhi: Use bitfield operations for register read and write (Íñigo Huguet) [2059999] - bus: mhi: Move host MHI code to "host" directory (Íñigo Huguet) [2059999] - bus: mhi: Fix MHI DMA structure endianness (Íñigo Huguet) [2059999] - bus: mhi: Fix pm_state conversion to string (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add mru_default for Quectel EM1xx series (Íñigo Huguet) [2059999] - rfkill: make new event layout opt-in (Íñigo Huguet) [2059999] - rtw89: implement stop and resume channels transmission v1 (Íñigo Huguet) [2059999] - rtw89: extend mac tx_en bits from 16 to 32 (Íñigo Huguet) [2059999] - rtw89: change value assignment style of rtw89_mac_cfg_gnt() (Íñigo Huguet) [2059999] - rtw89: 8852c: add mac_ctrl_path and mac_cfg_gnt APIs (Íñigo Huguet) [2059999] - rtw89: disable FW and H2C function if CPU disabled (Íñigo Huguet) [2059999] - rtw89: initialize preload window of D-MAC (Íñigo Huguet) [2059999] - rtw89: modify MAC enable functions (Íñigo Huguet) [2059999] - rtw89: add config_rf_reg_v1 to configure RF parameter tables (Íñigo Huguet) [2059999] - rtw89: 8852c: add read/write rf register function (Íñigo Huguet) [2059999] - rtw89: 8852c: add setting of TB UL TX power offset (Íñigo Huguet) [2059999] - rtw89: 8852c: add write/read crystal function in CFO tracking (Íñigo Huguet) [2059999] - rtw89: modify dcfo_comp to share with chips (Íñigo Huguet) [2059999] - rtw89: Fix spelling mistake "Mis-Match" -> "Mismatch" (Íñigo Huguet) [2059999] - brcmfmac: p2p: Fix spelling mistake "Comback" -> "Comeback" (Íñigo Huguet) [2059999] - iwlwifi: mei: fix building iwlmei (Íñigo Huguet) [2059999] - mt76: fix monitor rx FCS error in DFS channel (Íñigo Huguet) [2059999] - mt76: mt7921: don't enable beacon filter when IEEE80211_CONF_CHANGE_MONITOR is set (Íñigo Huguet) [2059999] - mt76: fix wrong HE data rate in sniffer tool (Íñigo Huguet) [2059999] - mt76: mt7915: introduce 802.11ax multi-bss support (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_init_hw in a dedicated work (Íñigo Huguet) [2059999] - mt76: mt7921: add mt7921u driver (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_usb_sdio_tx_status_data in mac common code. (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_usb_sdio_tx_complete_skb in common mac code. (Íñigo Huguet) [2059999] - mt76: mt7921: move mt7921_usb_sdio_tx_prepare_skb in common mac code (Íñigo Huguet) [2059999] - mt76: mt7921: update mt7921_skb_add_usb_sdio_hdr to support usb (Íñigo Huguet) [2059999] - mt76: mt7921: disable runtime pm for usb (Íñigo Huguet) [2059999] - mt76: usb: introduce __mt76u_init utility routine (Íñigo Huguet) [2059999] - mt76: usb: add req_type to ___mt76u_wr signature (Íñigo Huguet) [2059999] - mt76: usb: add req_type to ___mt76u_rr signature (Íñigo Huguet) [2059999] - mt76: mt7915: fix typos in comments (Íñigo Huguet) [2059999] - mt76: mt7915: fix phy cap in mt7915_set_stream_he_txbf_caps() (Íñigo Huguet) [2059999] - mt76: mt7915: fix beamforming mib stats (Íñigo Huguet) [2059999] - mt76: mt7915: set band1 TGID field in tx descriptor (Íñigo Huguet) [2059999] - mt76: fix invalid rssi report (Íñigo Huguet) [2059999] - mt76: use le32/16_get_bits() whenever possible (Íñigo Huguet) [2059999] - mt76: connac: make read-only array ba_range static const (Íñigo Huguet) [2059999] - mt76: mt7915: check for devm_pinctrl_get() failure (Íñigo Huguet) [2059999] - mt76: mt7921: get rid of mt7921_wait_for_mcu_init declaration (Íñigo Huguet) [2059999] - mt76: mt7915: add txpower init for 6GHz (Íñigo Huguet) [2059999] - mt76: mt7915: fix eeprom fields of txpower init values (Íñigo Huguet) [2059999] - mt76: mt7915: add 6 GHz support (Íñigo Huguet) [2059999] - mt76: connac: add 6 GHz support for wtbl and starec configuration (Íñigo Huguet) [2059999] - mt76: mt7915: allow beaconing on all chains (Íñigo Huguet) [2059999] - mt76: fix monitor mode crash with sdio driver (Íñigo Huguet) [2059999] - mt76: mt7921: fix mt7921_queues_acq implementation (Íñigo Huguet) [2059999] - mt76: mt7921: fix xmit-queue dump for usb and sdio (Íñigo Huguet) [2059999] - mt76: mt7921: make mt7921_init_tx_queues static (Íñigo Huguet) [2059999] - mt76: split single ldpc cap bit into bits (Íñigo Huguet) [2059999] - mt76: mt7915: fix DFS no radar detection event (Íñigo Huguet) [2059999] - mt76: mt7921: use mt76_hw instead of open coding it (Íñigo Huguet) [2059999] - mt76: mt7921: fix up the monitor mode (Íñigo Huguet) [2059999] - mt76: mt7663u: introduce mt7663u_mcu_power_on routine (Íñigo Huguet) [2059999] - mt76: mt7615: honor ret from mt7615_mcu_restart in mt7663u_mcu_init (Íñigo Huguet) [2059999] - rtw89: fix uninitialized variable of rtw89_append_probe_req_ie() (Íñigo Huguet) [2059999] - airo: fix typos in comments (Íñigo Huguet) [2059999] - rtlwifi: rtl8821ae: fix typos in comments (Íñigo Huguet) [2059999] - rtlwifi: rtl8192cu: Add On Networks N150 (Íñigo Huguet) [2059999] - zd1201: use kzalloc (Íñigo Huguet) [2059999] - mwifiex: make read-only array wmm_oui static const (Íñigo Huguet) [2059999] - mac80211: update bssid_indicator in ieee80211_assign_beacon (Íñigo Huguet) [2059999] - mac80211: MBSSID channel switch (Íñigo Huguet) [2059999] - mac80211: MBSSID beacon handling in AP mode (Íñigo Huguet) [2059999] - mac80211: always have ieee80211_sta_restart() (Íñigo Huguet) [2059999] - mac80211: Add support to trigger sta disconnect on hardware restart (Íñigo Huguet) [2059999] - mac80211: fix potential double free on mesh join (Íñigo Huguet) [2059999] - mac80211: correct legacy rates check in ieee80211_calc_rx_airtime (Íñigo Huguet) [2059999] - nl80211: fix typo of NL80211_IF_TYPE_OCB in documentation (Íñigo Huguet) [2059999] - mac80211: Use GFP_KERNEL instead of GFP_ATOMIC when possible (Íñigo Huguet) [2059999] - mac80211: replace DEFINE_SIMPLE_ATTRIBUTE with DEFINE_DEBUGFS_ATTRIBUTE (Íñigo Huguet) [2059999] - rtw89: 8852c: process logic efuse map (Íñigo Huguet) [2059999] - rtw89: 8852c: process efuse of phycap (Íñigo Huguet) [2059999] - rtw89: support DAV efuse reading operation (Íñigo Huguet) [2059999] - rtw89: 8852c: add chip::dle_mem (Íñigo Huguet) [2059999] - rtw89: add page_regs to handle v1 chips (Íñigo Huguet) [2059999] - rtw89: add chip_info::{h2c,c2h}_reg to support more chips (Íñigo Huguet) [2059999] - rtw89: add hci_func_en_addr to support variant generation (Íñigo Huguet) [2059999] - rtw89: add power_{on/off}_func (Íñigo Huguet) [2059999] - rtw89: read chip version depends on chip ID (Íñigo Huguet) [2059999] - rtw89: pci: use a struct to describe all registers address related to DMA channel (Íñigo Huguet) [2059999] - rtw89: pci: add V1 of PCI channel address (Íñigo Huguet) [2059999] - rtw89: pci: add struct rtw89_pci_info (Íñigo Huguet) [2059999] - rtw89: 8852c: add 8852c empty files (Íñigo Huguet) [2059999] - brcmfmac: make the read-only array pktflags static const (Íñigo Huguet) [2059999] - rtlwifi: rtl8192ce: remove duplicated function '_rtl92ce_phy_set_rf_sleep' (Íñigo Huguet) [2059999] - cw1200: use time_is_after_jiffies() instead of open coding it (Íñigo Huguet) [2059999] - carl9170: devres-ing input_allocate_device (Íñigo Huguet) [2059999] - carl9170: devres-ing hwrng_register usage (Íñigo Huguet) [2059999] - carl9170: replace GFP_ATOMIC in ampdu_action, it can sleep (Íñigo Huguet) [2059999] - ath10k: Fix error handling in ath10k_setup_msa_resources (Íñigo Huguet) [2059999] - ath11k: remove unneeded flush_workqueue (Íñigo Huguet) [2059999] - ath6kl: add device ID for WLU5150-D81 (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 72 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: acpi: move ppag code from mvm to fw/acpi (Íñigo Huguet) [2059999] - iwlwifi: dbg: check trigger data before access (Íñigo Huguet) [2059999] - iwlwifi: dbg: in sync mode don't call schedule (Íñigo Huguet) [2059999] - iwlwifi: use 4k queue size for Bz A-step (Íñigo Huguet) [2059999] - iwlwifi: pcie: fix SW error MSI-X mapping (Íñigo Huguet) [2059999] - iwlwifi: yoyo: dump IMR DRAM only for HW and FW error (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for IMR based on platform (Íñigo Huguet) [2059999] - iwlwifi: yoyo: disable IMR DRAM region if IMR is disabled (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove cipher scheme support (Íñigo Huguet) [2059999] - iwlwifi: Configure FW debug preset via module param. (Íñigo Huguet) [2059999] - iwlwifi: mvm: add a flag to reduce power command. (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 71 for AX devices (Íñigo Huguet) [2059999] - ath9k: make array voice_priority static const (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_aplist_event (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_disconnect_event (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_connect_event (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_channel_list_reply (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_start_scan_cmd (Íñigo Huguet) [2059999] - ath6kl: wmi: Replace one-element array with flexible-array member in struct wmi_begin_scan_cmd (Íñigo Huguet) [2059999] - rtw89: declare HE capabilities in 6G band (Íñigo Huguet) [2059999] - rtw89: fix HE PHY bandwidth capability (Íñigo Huguet) [2059999] - rtw89: add tx_wake notify for low ps mode (Íñigo Huguet) [2059999] - rtw89: 8852a: add ieee80211_ops::hw_scan (Íñigo Huguet) [2059999] - Revert "ath: add support for special 0x0 regulatory domain" (Íñigo Huguet) [2059999] - rtw89: get channel parameters of 160MHz bandwidth (Íñigo Huguet) [2059999] - mt76: fix dfs state issue with 160 MHz channels (Íñigo Huguet) [2059999] - mt76: mt7915: simplify conditional (Íñigo Huguet) [2059999] - mt76: mt7921: fix injected MPDU transmission to not use HW A-MSDU (Íñigo Huguet) [2059999] - mt76: mt7915e: Enable thermal management by default (Íñigo Huguet) [2059999] - mt76: mt7915e: Add a hwmon attribute to get the actual throttle state. (Íñigo Huguet) [2059999] - mt76: mt7915e: Fix degraded performance after temporary overheat (Íñigo Huguet) [2059999] - mt76: improve signal strength reporting (Íñigo Huguet) [2059999] - mt76: mt7915: use min_t() to make code cleaner (Íñigo Huguet) [2059999] - mt76: mt7915: fix the muru tlv issue (Íñigo Huguet) [2059999] - mt76: mt7915: check band idx for bcc event (Íñigo Huguet) [2059999] - mt76: mt7615: Fix assigning negative values to unsigned variable (Íñigo Huguet) [2059999] - mt76: connac: adjust wlan_idx size from u8 to u16 (Íñigo Huguet) [2059999] - mt76: mt7915: fix endianness warnings in mt7915_mac_tx_free() (Íñigo Huguet) [2059999] - mt76: mt7915: fix endianness warnings in mt7915_debugfs_rx_fw_monitor (Íñigo Huguet) [2059999] - mt76: mt7615: fix compiler warning on frame size (Íñigo Huguet) [2059999] - mt76: mt7915: initialize smps mode in mt7915_mcu_sta_rate_ctrl_tlv() (Íñigo Huguet) [2059999] - mt76: mt7915: introduce band_idx in mt7915_phy (Íñigo Huguet) [2059999] - mt76: mt7915: add support for MT7986 (Íñigo Huguet) [2059999] - ath10k: fix pointer arithmetic error in trace call (Íñigo Huguet) [2059999] - carl9170: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath11k: add dbring debug support (Íñigo Huguet) [2059999] - ath11k: translate HE status to radiotap format (Íñigo Huguet) [2059999] - ath11k: decode HE status tlv (Íñigo Huguet) [2059999] - ath11k: switch to using ieee80211_tx_status_ext() (Íñigo Huguet) [2059999] - mt76: mt7921s: fix missing fc type/sub-type for 802.11 pkts (Íñigo Huguet) [2059999] - mt76: mt7915: fix potential memory leak of fw monitor packets (Íñigo Huguet) [2059999] - mt76: mt7915: Fix channel state update error issue (Íñigo Huguet) [2059999] - mt76: fix endianness errors in reverse_frag0_hdr_trans (Íñigo Huguet) [2059999] - mt76: mt7615: introduce SAR support (Íñigo Huguet) [2059999] - mt76: mt7915: fix injected MPDU transmission to not use HW A-MSDU (Íñigo Huguet) [2059999] - rtw88: change rtw_info() to proper message level (Íñigo Huguet) [2059999] - rtw89: Limit the CFO boundaries of x'tal value (Íñigo Huguet) [2059999] - rtw89: phy: handle txpwr lmt/lmt_ru of 160M bandwidth (Íñigo Huguet) [2059999] - rtw89: phy: handle txpwr lmt/lmt_ru of 6G band (Íñigo Huguet) [2059999] - ath11k: Fix frames flush failure caused by deadlock (Íñigo Huguet) [2059999] - ath11k: Handle failure in qmi firmware ready (Íñigo Huguet) [2059999] - ath11k: Invalidate cached reo ring entry before accessing it (Íñigo Huguet) [2059999] - ath: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath6kl: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath11k: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - ath10k: Replace zero-length array with flexible-array member (Íñigo Huguet) [2059999] - ath9k: use hw_random API instead of directly dumping into random.c (Íñigo Huguet) [2059999] - ath11k: configure RDDM size to mhi for recovery by firmware (Íñigo Huguet) [2059999] - ath11k: fix invalid m3 buffer address (Íñigo Huguet) [2059999] - ath11k: add ath11k_qmi_free_resource() for recovery (Íñigo Huguet) [2059999] - rtw89: core.h: Replace zero-length array with flexible-array member (Íñigo Huguet) [2059999] - brcmfmac: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2059999] - rtw89: fix RCU usage in rtw89_core_txq_push() (Íñigo Huguet) [2059999] - rtw88: coex: Update rtl8822c COEX version to 22020720 (Íñigo Huguet) [2059999] - rtw88: coex: Add C2H/H2C handshake with BT mailbox for asking HID Info (Íñigo Huguet) [2059999] - rtw88: coex: Add WLAN MIMO power saving for Bluetooth gaming controller (Íñigo Huguet) [2059999] - rtw88: coex: update BT PTA counter regularly (Íñigo Huguet) [2059999] - rtw88: coex: Improve WLAN throughput when HFP COEX (Íñigo Huguet) [2059999] - rtw88: 8822ce: add support for TX/RX 1ss mode (Íñigo Huguet) [2059999] - iwlwifi: dbg_ini: Split memcpy() to avoid multi-field write (Íñigo Huguet) [2059999] - iwlwifi: mvm: Fix an error code in iwl_mvm_up() (Íñigo Huguet) [2059999] - iwlwifi: Fix -EIO error code that is never returned (Íñigo Huguet) [2059999] - iwlwifi: mvm: rfi: use kmemdup() to replace kzalloc + memcpy (Íñigo Huguet) [2059999] - iwlwifi: Fix syntax errors in comments (Íñigo Huguet) [2059999] - iwlwifi: dvm: use struct_size over open coded arithmetic (Íñigo Huguet) [2059999] - iwlwifi/fw: use struct_size over open coded arithmetic (Íñigo Huguet) [2059999] - iwlwifi: Make use of the helper macro LIST_HEAD() (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix off by one in iwl_mvm_stat_iterator_all_macs() (Íñigo Huguet) [2059999] - iwlwifi: yoyo: send hcmd to fw after dump collection completes. (Íñigo Huguet) [2059999] - iwlwifi: mvm: move only to an enabled channel (Íñigo Huguet) [2059999] - iwlwifi: mvm: update BAID allocation command again (Íñigo Huguet) [2059999] - iwlwifi: api: remove ttl field from TX command (Íñigo Huguet) [2059999] - iwlwifi: support new queue allocation command (Íñigo Huguet) [2059999] - iwlwifi: yoyo: support dump policy for the dump size (Íñigo Huguet) [2059999] - iwlwifi: pcie: iwlwifi: fix device id 7F70 struct (Íñigo Huguet) [2059999] - iwlwifi: tlc: Add logs in rs_fw_rate_init func to print TLC configuration (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove iwl_mvm_disable_txq() flags argument (Íñigo Huguet) [2059999] - iwlwifi: remove command ID argument from queue allocation (Íñigo Huguet) [2059999] - iwlwifi: make iwl_txq_dyn_alloc_dma() return the txq (Íñigo Huguet) [2059999] - iwlwifi: fix small doc mistake for iwl_fw_ini_addr_val (Íñigo Huguet) [2059999] - iwlwifi: mvm: add additional info for boot info failures (Íñigo Huguet) [2059999] - iwlwifi: mvm: always remove the session protection after association (Íñigo Huguet) [2059999] - iwlwifi: mvm: make iwl_mvm_reconfig_scd() static (Íñigo Huguet) [2059999] - iwlwifi: mvm: refactor setting PPE thresholds in STA_HE_CTXT_CMD (Íñigo Huguet) [2059999] - iwlwifi: mvm: Disable WiFi bands selectively with BIOS (Íñigo Huguet) [2059999] - iwlwifi: mvm: add additional info for boot info failures (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't send BAID removal to the FW during hw_restart (Íñigo Huguet) [2059999] - iwlwifi: don't dump_stack() when we get an unexpected interrupt (Íñigo Huguet) [2059999] - iwlwifi: mvm: rfi: handle deactivation notification (Íñigo Huguet) [2059999] - iwlwifi: mvm: Consider P2P GO operation during scan (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 70 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: Unify the scan iteration functions (Íñigo Huguet) [2059999] - iwlwifi: mei: use C99 initializer for device IDs (Íñigo Huguet) [2059999] - iwlwifi: debugfs: remove useless double condition (Íñigo Huguet) [2059999] - iwlwifi: remove unused macros (Íñigo Huguet) [2059999] - iwlwifi: eeprom: clean up macros (Íñigo Huguet) [2059999] - iwlwifi: drv: load tlv debug data earlier (Íñigo Huguet) [2059999] - iwlwifi: pcie: Adapt rx queue write pointer for Bz family (Íñigo Huguet) [2059999] - iwlwifi: pcie: adjust to Bz completion descriptor (Íñigo Huguet) [2059999] - iwlwifi: mvm: Passively scan non PSC channels only when requested so (Íñigo Huguet) [2059999] - iwlwifi: scan: Modify return value of a function (Íñigo Huguet) [2059999] - iwlwifi: yoyo: Avoid using dram data if allocation failed (Íñigo Huguet) [2059999] - iwlwifi: mvm: Correctly set fragmented EBS (Íñigo Huguet) [2059999] - iwlwifi: nvm: Correct HE capability (Íñigo Huguet) [2059999] - iwlwifi: mei: avoid -Wpointer-arith and -Wcast-qual warnings (Íñigo Huguet) [2059999] - iwlwifi: make some functions friendly to sparse (Íñigo Huguet) [2059999] - iwlwifi: avoid variable shadowing (Íñigo Huguet) [2059999] - iwlwifi: remove unused DC2DC_CONFIG_CMD definitions (Íñigo Huguet) [2059999] - iwlwifi: dbg-tlv: clean up iwl_dbg_tlv_update_drams() (Íñigo Huguet) [2059999] - iwlwifi: move symbols into a separate namespace (Íñigo Huguet) [2059999] - iwlwifi: fw: make dump_start callback void (Íñigo Huguet) [2059999] - iwlwifi: pcie: make sure iwl_rx_packet_payload_len() will not underflow (Íñigo Huguet) [2059999] - iwlwifi: mvm: use debug print instead of WARN_ON() (Íñigo Huguet) [2059999] - iwlwifi: read and print OTP minor version (Íñigo Huguet) [2059999] - iwlwifi: add support for BZ-U and BZ-L HW (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for CT-KILL notification version 2 (Íñigo Huguet) [2059999] - iwlwifi: yoyo: remove DBGI_SRAM address reset writing (Íñigo Huguet) [2059999] - iwlwifi: mvm: support v3 of station HE context command (Íñigo Huguet) [2059999] - iwlwifi: yoyo: add IMR DRAM dump support (Íñigo Huguet) [2059999] - iwlwifi: yoyo: fix DBGC allocation flow (Íñigo Huguet) [2059999] - iwlwifi: pcie: add support for MS devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: align locking in D3 test debugfs (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't iterate unadded vifs when handling FW SMPS req (Íñigo Huguet) [2059999] - iwlwifi: advertise support for HE - DCM BPSK RX/TX (Íñigo Huguet) [2059999] - iwlwifi: mvm: only enable HE DCM if we also support TX (Íñigo Huguet) [2059999] - iwlwifi: yoyo: fix DBGI_SRAM ini dump header. (Íñigo Huguet) [2059999] - iwlwifi: dbg: add infra for tracking free buffer size (Íñigo Huguet) [2059999] - iwlwifi: mvm: starting from 22000 we have 32 Rx AMPDU sessions (Íñigo Huguet) [2059999] - iwlwifi: mvm: support new BAID allocation command (Íñigo Huguet) [2059999] - iwlwifi: mvm: refactor iwl_mvm_sta_rx_agg() (Íñigo Huguet) [2059999] - iwlwifi: cfg: add support for 1K BA queue (Íñigo Huguet) [2059999] - iwlwifi: avoid void pointer arithmetic (Íñigo Huguet) [2059999] - iwlwifi: fix various more -Wcast-qual warnings (Íñigo Huguet) [2059999] - iwlwifi: propagate (const) type qualifier (Íñigo Huguet) [2059999] - iwlwifi: de-const properly where needed (Íñigo Huguet) [2059999] - iwlwifi: make iwl_fw_lookup_cmd_ver() take a cmd_id (Íñigo Huguet) [2059999] - iwlwifi: mvm: fw: clean up hcmd struct creation (Íñigo Huguet) [2059999] - iwlwifi: prefer WIDE_ID() over iwl_cmd_id() (Íñigo Huguet) [2059999] - iwlwifi: mvm: allow enabling UHB TAS in the USA via ACPI setting (Íñigo Huguet) [2059999] - iwlwifi: mvm: offload channel switch timing to FW (Íñigo Huguet) [2059999] - iwlwifi: mvm: Don't call iwl_mvm_sta_from_mac80211() with NULL sta (Íñigo Huguet) [2059999] - mac80211_hwsim: Advertise support for EHT capabilities (Íñigo Huguet) [2059999] - mac80211: parse AddBA request with extended AddBA element (Íñigo Huguet) [2059999] - mac80211: calculate max RX NSS for EHT mode (Íñigo Huguet) [2059999] - mac80211: Add support for storing station EHT capabilities (Íñigo Huguet) [2059999] - mac80211: Handle station association response with EHT (Íñigo Huguet) [2059999] - mac80211: Add EHT capabilities to association/probe request (Íñigo Huguet) [2059999] - mac80211: Add initial support for EHT and 320 MHz channels (Íñigo Huguet) [2059999] - mac80211: Support parsing EHT elements (Íñigo Huguet) [2059999] - cfg80211: Support configuration of station EHT capabilities (Íñigo Huguet) [2059999] - cfg80211: add NO-EHT flag to regulatory (Íñigo Huguet) [2059999] - nl80211: add support for 320MHz channel limitation (Íñigo Huguet) [2059999] - nl80211: add EHT MCS support (Íñigo Huguet) [2059999] - cfg80211: Add support for EHT 320 MHz channel width (Íñigo Huguet) [2059999] - cfg80211: Add data structures to capture EHT capabilities (Íñigo Huguet) [2059999] - ieee80211: add EHT 1K aggregation definitions (Íñigo Huguet) [2059999] - ieee80211: Add EHT (802.11be) definitions (Íñigo Huguet) [2059999] - mac80211_hwsim: Add custom regulatory for 6GHz (Íñigo Huguet) [2059999] - mac80211_hwsim: don't shadow a global variable (Íñigo Huguet) [2059999] - mac80211_hwsim: check TX and STA bandwidth (Íñigo Huguet) [2059999] - nl80211: accept only HE capability elements with valid size (Íñigo Huguet) [2059999] - mac80211: parse only HE capability elements with valid size (Íñigo Huguet) [2059999] - ieee80211: add helper to check HE capability element size (Íñigo Huguet) [2059999] - nl80211: use RCU to read regdom in reg get/dump (Íñigo Huguet) [2059999] - mac80211_hwsim: Add debugfs to control rx status RSSI (Íñigo Huguet) [2059999] - ieee80211: use tab to indent struct ieee80211_neighbor_ap_info (Íñigo Huguet) [2059999] - rtw89: handle TX/RX 160M bandwidth (Íñigo Huguet) [2059999] - rtw89: declare if chip support 160M bandwidth (Íñigo Huguet) [2059999] - rtw89: add 6G support to rate adaptive mechanism (Íñigo Huguet) [2059999] - rtw89: extend subband for 6G band (Íñigo Huguet) [2059999] - rtw89: refine naming of rfk helpers with prefix (Íñigo Huguet) [2059999] - rtw89: make rfk helpers common across chips (Íñigo Huguet) [2059999] - brcmfmac: Add BCM43454/6 support (Íñigo Huguet) [2059999] - wcn36xx: use struct_size over open coded arithmetic (Íñigo Huguet) [2059999] - ath11k: fix destination monitor ring out of sync (Íñigo Huguet) [2059999] - ath11k: fix radar detection in 160 Mhz (Íñigo Huguet) [2059999] - ath11k: fix WARN_ON during ath11k_mac_update_vif_chan (Íñigo Huguet) [2059999] - ath11k: fix uninitialized rate_idx in ath11k_dp_tx_update_txcompl() (Íñigo Huguet) [2059999] - brcmfmac: of: remove redundant variable len (Íñigo Huguet) [2059999] - brcmfmac: p2p: Replace one-element arrays with flexible-array members (Íñigo Huguet) [2059999] - rtw89: coex: set EN bit to PLT register (Íñigo Huguet) [2059999] - rtw89: recover rates of rate adaptive mechanism (Íñigo Huguet) [2059999] - rtw88: recover rates of rate adaptive mechanism (Íñigo Huguet) [2059999] - rtw89: declare AP mode support (Íñigo Huguet) [2059999] - rtw89: debug: add stations entry to show ID assignment (Íñigo Huguet) [2059999] - rtw89: implement ieee80211_ops::start_ap and stop_ap (Íñigo Huguet) [2059999] - rtw89: maintain assoc/disassoc STA states of firmware and hardware (Íñigo Huguet) [2059999] - rtw89: only STA mode change vif_type mapping dynamically (Íñigo Huguet) [2059999] - rtw89: add addr_cam field to sta to support AP mode (Íñigo Huguet) [2059999] - rtw89: extend role_maintain to support AP mode (Íñigo Huguet) [2059999] - rtw88: fix use after free in rtw_hw_scan_update_probe_req() (Íñigo Huguet) [2059999] - mac80211: Remove redundent assignment channel_type (Íñigo Huguet) [2059999] - mac80211: remove useless ieee80211_vif_is_mesh() check (Íñigo Huguet) [2059999] - mac80211: fix struct ieee80211_tx_info size (Íñigo Huguet) [2059999] - mac80211: mlme: validate peer HE supported rates (Íñigo Huguet) [2059999] - mac80211: remove unused macros (Íñigo Huguet) [2059999] - cfg80211: pmsr: remove useless ifdef guards (Íñigo Huguet) [2059999] - mac80211: airtime: avoid variable shadowing (Íñigo Huguet) [2059999] - mac80211: mlme: add documentation from spec to code (Íñigo Huguet) [2059999] - mac80211: vht: use HE macros for parsing HE capabilities (Íñigo Huguet) [2059999] - ieee80211: radiotap: fix -Wcast-qual warnings (Íñigo Huguet) [2059999] - cfg80211: fix -Wcast-qual warnings (Íñigo Huguet) [2059999] - ieee80211: fix -Wcast-qual warnings (Íñigo Huguet) [2059999] - cfg80211: don't add non transmitted BSS to 6GHz scanned channels (Íñigo Huguet) [2059999] - cfg80211/mac80211: assume CHECKSUM_COMPLETE includes SNAP (Íñigo Huguet) [2059999] - mac80211: consider RX NSS in UHB connection (Íñigo Huguet) [2059999] - mac80211: limit bandwidth in HE capabilities (Íñigo Huguet) [2059999] - mt76: redefine mt76_for_each_q_rx to adapt mt7986 changes (Íñigo Huguet) [2059999] - mt76: dma: initialize skip_unmap in mt76_dma_rx_fill (Íñigo Huguet) [2059999] - mt76: do not always copy ethhdr in reverse_frag0_hdr_trans (Íñigo Huguet) [2059999] - mt76: mt76x02: use mt76_phy_dfs_state to determine radar detector state (Íñigo Huguet) [2059999] - mt76: mt7615: fix/rewrite the dfs state handling logic (Íñigo Huguet) [2059999] - mt76: mt7915: fix/rewrite the dfs state handling logic (Íñigo Huguet) [2059999] - mt76: mt76x02: improve tx hang detection (Íñigo Huguet) [2059999] - mt76x02: improve mac error check/reset reliability (Íñigo Huguet) [2059999] - mt76: mt7921s: run sleep mode by default (Íñigo Huguet) [2059999] - mt76: sdio: honor the largest Tx buffer the hardware can support (Íñigo Huguet) [2059999] - mt76: mt7915: fix the nss setting in bitrates (Íñigo Huguet) [2059999] - mt76: mt7915: update max_mpdu_size in mt7915_mcu_sta_amsdu_tlv() (Íñigo Huguet) [2059999] - mt76: mt7915: fix mcs_map in mt7915_mcu_set_sta_he_mcs() (Íñigo Huguet) [2059999] - mt76: mt7921s: fix a possible memory leak in mt7921_load_patch (Íñigo Huguet) [2059999] - mt76: mt7915: fix possible memory leak in mt7915_mcu_add_sta (Íñigo Huguet) [2059999] - mt76: stop the radar detector after leaving dfs channel (Íñigo Huguet) [2059999] - mt76: mt7615: check sta_rates pointer in mt7615_sta_rate_tbl_update (Íñigo Huguet) [2059999] - mt76: mt7603: check sta_rates pointer in mt7603_sta_rate_tbl_update (Íñigo Huguet) [2059999] - mt76: mt7663s: flush runtime-pm queue after waking up the device (Íñigo Huguet) [2059999] - mt76: mt7615: add support for LG LGSBWAC02 (MT7663BUN) (Íñigo Huguet) [2059999] - mt76: mt7921: remove duplicated code in mt7921_mac_decode_he_radiotap (Íñigo Huguet) [2059999] - mt76: mt7915: add missing DATA4_TB_SPTL_REUSE1 to mt7915_mac_decode_he_radiotap (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_lmac_mapping in mt76-connac module (Íñigo Huguet) [2059999] - mt76: mt7915: enable radar background detection (Íñigo Huguet) [2059999] - mt76: mt7915: report radar pattern if detected by rdd2 (Íñigo Huguet) [2059999] - mt76: mt7915: introduce rdd_monitor debugfs node (Íñigo Huguet) [2059999] - mt76: mt7915: enable radar trigger on rdd2 (Íñigo Huguet) [2059999] - mt76: mt7915: introduce mt7915_set_radar_background routine (Íñigo Huguet) [2059999] - mt76: mt7915: set bssinfo/starec command when adding interface (Íñigo Huguet) [2059999] - mt76: mt7921: toggle runtime-pm adding a monitor vif (Íñigo Huguet) [2059999] - mt76: mt7921: fix endianness issues in mt7921_mcu_set_tx() (Íñigo Huguet) [2059999] - mt76: sdio: disable interrupt in mt76s_sdio_irq (Íñigo Huguet) [2059999] - mt76: mt7921: fix crash when startup fails. (Íñigo Huguet) [2059999] - mt76: mt7921s: fix mt7921s_mcu_[fw|drv]_pmctrl (Íñigo Huguet) [2059999] - mt76: mt7921: fix ht mcs in mt7921_mac_add_txs_skb() (Íñigo Huguet) [2059999] - mt76: mt7915: fix ht mcs in mt7915_mac_add_txs_skb() (Íñigo Huguet) [2059999] - mt76: mt7615: fix a leftover race in runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7921: fix a leftover race in runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7921: do not always disable fw runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7915: add support for passing chip/firmware debug data to user space (Íñigo Huguet) [2059999] - mt76: mt7615e: process txfree and txstatus without allocating skbs (Íñigo Huguet) [2059999] - mt76: mt7915: update bss_info with cipher after setting the group key (Íñigo Huguet) [2059999] - mt76: mt7615: update bss_info with cipher after setting the group key (Íñigo Huguet) [2059999] - mt76: connac: add support for passing the cipher field in bss_info (Íñigo Huguet) [2059999] - mt76: mt7921e: process txfree and txstatus without allocating skbs (Íñigo Huguet) [2059999] - mt76: mt7615: fix a possible race enabling/disabling runtime-pm (Íñigo Huguet) [2059999] - mt76: mt7921: set EDCA parameters with the MCU CE command (Íñigo Huguet) [2059999] - mt76: mt76_connac: fix MCU_CE_CMD_SET_ROC definition error (Íñigo Huguet) [2059999] - mt76: mt7921: forbid the doze mode when coredump is in progress (Íñigo Huguet) [2059999] - mt76: mt7921e: make dev->fw_assert usage consistent (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_rdd_cmd in mt76-connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_set_rts_thresh (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_gen_dl_mode in mt76-connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_init_download (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_patch_sem_ctrl/mt76_connac_mcu_start_patch (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_restart in common module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_start_firmware (Íñigo Huguet) [2059999] - mt76: mt7921: get rid of mt7921_mcu_get_eeprom (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_set_pm in connac module (Íñigo Huguet) [2059999] - mt76: connac: introduce is_connac_v1 utility routine (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_wtbl_update_hdr_trans in connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_hdr_trans_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_ht_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_smps_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_uapsd (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_basic_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_generic_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_ba (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_wtbl_ba_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_sta_ba_tlv (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_bss_basic_tlv in connac module (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_bss_ext_tlv in connac module (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_bss_omac_tlv in connac module (Íñigo Huguet) [2059999] - mt76: mt7915: remove duplicated defs in mcu.h (Íñigo Huguet) [2059999] - mt76: mt7915: move pci specific code back to pci.c (Íñigo Huguet) [2059999] - mt76: mt7921s: update mt7921s_wfsys_reset sequence (Íñigo Huguet) [2059999] - mt76: mt7921s: clear MT76_STATE_MCU_RUNNING immediately after reset (Íñigo Huguet) [2059999] - mt76: sdio: lock sdio when it is needed (Íñigo Huguet) [2059999] - mt76: mt7915: use proper aid value in mt7915_mcu_sta_basic_tlv (Íñigo Huguet) [2059999] - mt76: mt7915: use proper aid value in mt7915_mcu_wtbl_generic_tlv in sta mode (Íñigo Huguet) [2059999] - mt76: make mt76_sar_capa static (Íñigo Huguet) [2059999] - mt76: mt7915: add device id for mt7916 (Íñigo Huguet) [2059999] - mt76: set wlan_idx_hi on mt7916 (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_add_key in connac module (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_get_phy utilities (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_chan_bw in common code (Íñigo Huguet) [2059999] - mt76: connac: move mt76_connac_mcu_get_cipher in common code (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_add_tlv routine (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_alloc_wtbl_req (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac_mcu_alloc_sta_req (Íñigo Huguet) [2059999] - mt76: connac: fix sta_rec_wtbl tag len (Íñigo Huguet) [2059999] - mt76: mt7915: add mt7916 calibrated data support (Íñigo Huguet) [2059999] - mt76: mt7915: update mt7915_chan_mib_offs for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: update rx rate reporting for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: add txfree event v3 (Íñigo Huguet) [2059999] - mt76: mt7915: enlarge wcid size to 544 (Íñigo Huguet) [2059999] - mt76: mt7915: rework eeprom.c to adapt mt7916 changes (Íñigo Huguet) [2059999] - mt76: mt7915: add firmware support for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: rework dma.c to adapt mt7916 changes (Íñigo Huguet) [2059999] - mt76: add MT_RXQ_MAIN_WA for mt7916 (Íñigo Huguet) [2059999] - mt76: mt7915: refine register definition (Íñigo Huguet) [2059999] - mt76: mt7915: add mt7915_mmio_probe() as a common probing function (Íñigo Huguet) [2059999] - mt76: mt7915: fix polling firmware-own status (Íñigo Huguet) [2059999] - brcmfmac: pcie: Read the console on init and shutdown (Íñigo Huguet) [2059999] - brcmfmac: fwil: Constify iovar name arguments (Íñigo Huguet) [2059999] - brcmfmac: of: Use devm_kstrdup for board_type & check for errors (Íñigo Huguet) [2059999] - brcmfmac: pcie: Fix crashes due to early IRQs (Íñigo Huguet) [2059999] - brcmfmac: pcie: Replace brcmf_pcie_copy_mem_todev with memcpy_toio (Íñigo Huguet) [2059999] - brcmfmac: pcie: Declare missing firmware files in pcie.c (Íñigo Huguet) [2059999] - brcmfmac: firmware: Allocate space for default boardrev in nvram (Íñigo Huguet) [2059999] - brcmfmac: pcie: Release firmwares in the brcmf_pcie_setup error path (Íñigo Huguet) [2059999] - rtlwifi: remove redundant initialization of variable ul_encalgo (Íñigo Huguet) [2059999] - ray_cs: Check ioremap return value (Íñigo Huguet) [2059999] - ath11k: add debugfs for TWT debug calls (Íñigo Huguet) [2059999] - ath11k: add WMI calls to manually add/del/pause/resume TWT dialogs (Íñigo Huguet) [2059999] - ath11k: Add debugfs interface to configure firmware debug log level (Íñigo Huguet) [2059999] - cw1200: wsm: make array queue_id_to_wmm_aci static const (Íñigo Huguet) [2059999] - wilc1000: use min_t() to make code cleaner (Íñigo Huguet) [2059999] - rtw88: rtw8821c: enable rfe 6 devices (Íñigo Huguet) [2059999] - rtw88: fix memory overrun and memory leak during hw_scan (Íñigo Huguet) [2059999] - rtw88: fix idle mode flow for hw scan (Íñigo Huguet) [2059999] - rtw88: check for validity before using a pointer (Íñigo Huguet) [2059999] - carl9170: fix missing bit-wise or operator for tx_params (Íñigo Huguet) [2059999] - wcn36xx: Differentiate wcn3660 from wcn3620 (Íñigo Huguet) [2059999] - ath11k: mhi: use mhi_sync_power_up() (Íñigo Huguet) [2059999] - ath11k: pci: fix crash on suspend if board file is not found (Íñigo Huguet) [2059999] - ath11k: Fix missing rx_desc_get_ldpc_support in wcn6855_ops (Íñigo Huguet) [2059999] - ath11k: Fix uninitialized symbol 'rx_buf_sz' (Íñigo Huguet) [2059999] - ath11k: fix kernel panic during unload/load ath11k modules (Íñigo Huguet) [2059999] - ath11k: Rename ath11k_ahb_ext_irq_config (Íñigo Huguet) [2059999] - brcmfmac: add CYW43570 PCIE device (Íñigo Huguet) [2059999] - brcmfmac: use ISO3166 country code and 0 rev as fallback on some devices (Íñigo Huguet) [2059999] - rtw89: use pci_read/write_config instead of dbi read/write (Íñigo Huguet) [2059999] - rtw89: refine DIG feature to support 160M and CCK PD (Íñigo Huguet) [2059999] - rtw89: fix maybe uninitialized `qempty` variable (Íñigo Huguet) [2059999] - rtw89: include subband type in channel params (Íñigo Huguet) [2059999] - rtw89: handle 6G band if supported by a chipset (Íñigo Huguet) [2059999] - rtw89: separate {init,deinit}_addr_cam functions (Íñigo Huguet) [2059999] - rtw89: set mac_id and port ID to TXWD (Íñigo Huguet) [2059999] - rtw89: send broadcast/multicast packets via HIQ if STAs are in sleep mode (Íñigo Huguet) [2059999] - rtw89: configure mac port HIQ registers (Íñigo Huguet) [2059999] - rtw89: rename vif_maintain to role_maintain (Íñigo Huguet) [2059999] - rtw89: extend firmware commands on states of sta_assoc and sta_disconnect (Íñigo Huguet) [2059999] - rtw89: allocate mac_id for each station in AP mode (Íñigo Huguet) [2059999] - rtw89: implement mac80211_ops::set_tim to indicate STA to receive packets (Íñigo Huguet) [2059999] - rtw89: add C2H handle of BCN_CNT (Íñigo Huguet) [2059999] - rtw89: download beacon content to firmware (Íñigo Huguet) [2059999] - rtw89: use hardware SSN to TX management frame (Íñigo Huguet) [2059999] - rtw89: configure rx_filter according to FIF_PROBE_REQ (Íñigo Huguet) [2059999] - rtw89: correct use of BA CAM (Íñigo Huguet) [2059999] - rtw89: encapsulate RX handlers to single function (Íñigo Huguet) [2059999] - rtw89: Add RX counters of VHT MCS-10/11 to debugfs (Íñigo Huguet) [2059999] - rtw89: remove duplicate definition of hardware port number (Íñigo Huguet) [2059999] - rtw89: extract modules by chipset (Íñigo Huguet) [2059999] - ath11k: avoid firmware crash when reg set for QCA6390/WCN6855 (Íñigo Huguet) [2059999] - ath11k: set WMI_PEER_40MHZ while peer assoc for 6 GHz (Íñigo Huguet) [2059999] - ath9k_htc: fix uninit value bugs (Íñigo Huguet) [2059999] - wcn36xx: Implement get_snr() (Íñigo Huguet) [2059999] - ath10k: abstract htt_rx_desc structure (Íñigo Huguet) [2059999] - wcn36xx: Use platform_get_irq_byname() to get the interrupt (Íñigo Huguet) [2059999] - ath9k: remove redundant status variable (Íñigo Huguet) [2059999] - ath11k: Reconfigure hardware rate for WCN6855 after vdev is started (Íñigo Huguet) [2059999] - ath: dfs_pattern_detector: Avoid open coded arithmetic in memory allocation (Íñigo Huguet) [2059999] - ath10k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2059999] - ath5k: fix ah_txq_isr_txok_all setting (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_txurn member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_qcborn member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_qcburn member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath5k: remove unused ah_txq_isr_qtrig member from struct ath5k_hw (Íñigo Huguet) [2059999] - ath11k: fix error code in ath11k_qmi_assign_target_mem_chunk() (Íñigo Huguet) [2059999] - ath11k: move function ath11k_dp_rx_process_mon_status (Íñigo Huguet) [2059999] - ath11k: enable RX PPDU stats in monitor co-exist mode (Íñigo Huguet) [2059999] - ath5k: fix OOB in ath5k_eeprom_read_pcal_info_5111 (Íñigo Huguet) [2059999] - ath11k: free peer for station when disconnect from AP for QCA6390/WCN6855 (Íñigo Huguet) [2059999] - ath11k: add LDPC FEC type in 802.11 radiotap header (Íñigo Huguet) [2059999] - ath11k: Refactor the fallback routine when peer create fails (Íñigo Huguet) [2059999] - ath11k: fix workqueue not getting destroyed after rmmod (Íñigo Huguet) [2059999] - ath10k: fix memory overwrite of the WoWLAN wakeup packet pattern (Íñigo Huguet) [2059999] - ath11k: add missing of_node_put() to avoid leak (Íñigo Huguet) [2059999] - Revert "ath10k: drop beacon and probe response which leak from other channel" (Íñigo Huguet) [2059999] - iwlwifi: mvm: return value for request_ownership (Íñigo Huguet) [2059999] - nl80211: Update bss channel on channel switch for P2P_CLIENT (Íñigo Huguet) [2059999] - config: wireless: IWLMEI=n (Íñigo Huguet) [2059999] - iwlwifi: fix build error for IWLMEI (Íñigo Huguet) [2059999] - mac80211: treat some SAE auth steps as final (Íñigo Huguet) [2059999] - nl80211: Handle nla_memdup failures in handle_nan_filter (Íñigo Huguet) [2059999] - iwlwifi: mvm: check debugfs_dir ptr before use (Íñigo Huguet) [2059999] - iwlwifi: don't advertise TWT support (Íñigo Huguet) [2059999] - rfkill: define rfill_soft_blocked() if !RFKILL (Íñigo Huguet) [2059999] - mac80211: fix forwarded mesh frames AC & queue selection (Íñigo Huguet) [2059999] - mac80211: refuse aggregations sessions before authorized (Íñigo Huguet) [2059999] - mac80211: fix EAPoL rekey fail in 802.3 rx path (Íñigo Huguet) [2059999] - iwlwifi: fix use-after-free (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add mru_default for Cinterion MV31-W (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add mru_default for Foxconn SDX55 (Íñigo Huguet) [2059999] - cfg80211: fix race in netlink owner interface destruction (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't send SAR GEO command for 3160 devices (Íñigo Huguet) [2059999] - iwlwifi: remove deprecated broadcast filtering feature (Íñigo Huguet) [2059999] - iwlwifi: mei: report RFKILL upon register when needed (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't feed the hardware RFKILL into iwlmei (Íñigo Huguet) [2059999] - iwlwifi: mei: retry mapping the shared area (Íñigo Huguet) [2059999] - iwlwifi: mei: fix the pskb_may_pull check in ipv4 (Íñigo Huguet) [2059999] - iwlwifi: pcie: gen2: fix locking when "HW not ready" (Íñigo Huguet) [2059999] - iwlwifi: pcie: fix locking when "HW not ready" (Íñigo Huguet) [2059999] - iwlwifi: fix iwl_legacy_rate_to_fw_idx (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix condition which checks the version of rate_n_flags (Íñigo Huguet) [2059999] - mac80211_hwsim: initialize ieee80211_tx_info at hw_scan_work (Íñigo Huguet) [2059999] - mac80211_hwsim: report NOACK frames in tx_status (Íñigo Huguet) [2059999] - mac80211: mlme: check for null after calling kmemdup (Íñigo Huguet) [2059999] - brcmfmac: firmware: Fix crash in brcm_alt_fw_path (Íñigo Huguet) [2059999] - mac80211: use ieee80211_bss_get_elem() (Íñigo Huguet) [2059999] - nl80211: clarify comment for mesh PLINK_BLOCKED state (Íñigo Huguet) [2059999] - mac80211: Add stations iterator where the iterator function may sleep (Íñigo Huguet) [2059999] - mac80211: allow non-standard VHT MCS-10/11 (Íñigo Huguet) [2059999] - codel: remove unnecessary pkt_sched.h include (Íñigo Huguet) [2059999] - codel: remove unnecessary sock.h include (Íñigo Huguet) [2059999] - wilc1000: Add reset/enable GPIO support to SPI driver (Íñigo Huguet) [2059999] - wilc1000: Convert static "chipid" variable to device-local variable (Íñigo Huguet) [2059999] - rtw88: don't consider deep PS mode when transmitting packet (Íñigo Huguet) [2059999] - ath11k: add support of firmware logging for WCN6855 (Íñigo Huguet) [2059999] - ath10k: replace strlcpy with strscpy (Íñigo Huguet) [2059999] - rtw88: support SAR via kernel common API (Íñigo Huguet) [2059999] - rtw88: 8822c: add ieee80211_ops::hw_scan (Íñigo Huguet) [2059999] - iwlwifi: mei: wait before mapping the shared area (Íñigo Huguet) [2059999] - iwlwifi: mei: clear the ownership when the driver goes down (Íñigo Huguet) [2059999] - iwlwifi: fw: fix some scan kernel-doc (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove card state notification code (Íñigo Huguet) [2059999] - iwlwifi: mvm: drop too short packets silently (Íñigo Huguet) [2059999] - iwlwifi: return op_mode only in case the failure is from MEI (Íñigo Huguet) [2059999] - iwlwifi: mvm: support Bz TX checksum offload (Íñigo Huguet) [2059999] - iwlwifi: mvm: add US/CA to TAS block list if OEM isn't allowed (Íñigo Huguet) [2059999] - iwlwifi: mvm: correctly set schedule scan profiles (Íñigo Huguet) [2059999] - iwlwifi: mvm: correctly set channel flags (Íñigo Huguet) [2059999] - iwlwifi: mvm: always store the PPAG table as the latest version. (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 69 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: yoyo: support TLV-based firmware reset (Íñigo Huguet) [2059999] - iwlwifi: mvm: change old-SN drop threshold (Íñigo Huguet) [2059999] - iwlwifi: mvm: don't trust hardware queue number (Íñigo Huguet) [2059999] - iwlwifi: mvm: handle RX checksum on Bz devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: use a define for checksum flags mask (Íñigo Huguet) [2059999] - iwlwifi: remove module loading failure message (Íñigo Huguet) [2059999] - iwlwifi: mvm: isolate offload assist (checksum) calculation (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for OCE scan (Íñigo Huguet) [2059999] - iwlwifi: dump RCM error tables (Íñigo Huguet) [2059999] - iwlwifi: dump both TCM error tables if present (Íñigo Huguet) [2059999] - iwlwifi: dump CSR scratch from outer function (Íñigo Huguet) [2059999] - iwlwifi: parse error tables from debug TLVs (Íñigo Huguet) [2059999] - iwlwifi: recognize missing PNVM data and then log filename (Íñigo Huguet) [2059999] - iwlwifi: rs: add support for TLC config command ver 4 (Íñigo Huguet) [2059999] - iwlwifi: mvm: rfi: update rfi table (Íñigo Huguet) [2059999] - iwlwifi: mvm: Add list of OEMs allowed to use TAS (Íñigo Huguet) [2059999] - iwlwifi: mvm: support revision 1 of WTAS table (Íñigo Huguet) [2059999] - iwlwifi: fw: remove dead error log code (Íñigo Huguet) [2059999] - iwlwifi: do not use __unused as variable name (Íñigo Huguet) [2059999] - iwlwifi: iwl-eeprom-parse: mostly dvm only (Íñigo Huguet) [2059999] - iwlwifi: mvm: clean up indenting in iwl_mvm_tlc_update_notif() (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix a stray tab (Íñigo Huguet) [2059999] - ath11k: add regdb.bin download for regdb offload (Íñigo Huguet) [2059999] - rtl8xxxu: Improve the A-MPDU retransmission rate with RTS/CTS protection (Íñigo Huguet) [2059999] - rtw88: don't check CRC of VHT-SIG-B in 802.11ac signal (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix imbalanced locking in iwl_mvm_start_get_nvm() (Íñigo Huguet) [2059999] - iwlwifi: mvm: add dbg_time_point to debugfs (Íñigo Huguet) [2059999] - iwlwifi: mvm: add missing min_size to kernel-doc (Íñigo Huguet) [2059999] - iwlwifi: mei: fix W=1 warnings (Íñigo Huguet) [2059999] - ath11k: add support for hardware rfkill for QCA6390 (Íñigo Huguet) [2059999] - ath11k: report tx bitrate for iw wlan station dump (Íñigo Huguet) [2059999] - ath11k: fix warning of RCU usage for ath11k_mac_get_arvif_by_vdev_id() (Íñigo Huguet) [2059999] - ath11k: add signal report to mac80211 for QCA6390 and WCN6855 (Íñigo Huguet) [2059999] - ath11k: report rssi of each chain to mac80211 for QCA6390/WCN6855 (Íñigo Huguet) [2059999] - cfg80211: Enable regulatory enforcement checks for drivers supporting mesh iface (Íñigo Huguet) [2059999] - rfkill: allow to get the software rfkill state (Íñigo Huguet) [2059999] - cfg80211: refactor cfg80211_get_ies_channel_number() (Íñigo Huguet) [2059999] - nl82011: clarify interface combinations wrt. channels (Íñigo Huguet) [2059999] - nl80211: Add support to offload SA Query procedures for AP SME device (Íñigo Huguet) [2059999] - nl80211: Add support to set AP settings flags with single attribute (Íñigo Huguet) [2059999] - mac80211: add more HT/VHT/HE state logging (Íñigo Huguet) [2059999] - cfg80211: Use the HE operation IE to determine a 6GHz BSS channel (Íñigo Huguet) [2059999] - cfg80211: rename offchannel_chain structs to background_chain to avoid confusion with ETSI standard (Íñigo Huguet) [2059999] - mac80211: Notify cfg80211 about association comeback (Íñigo Huguet) [2059999] - cfg80211: Add support for notifying association comeback (Íñigo Huguet) [2059999] - mac80211: introduce channel switch disconnect function (Íñigo Huguet) [2059999] - cfg80211: Fix order of enum nl80211_band_iftype_attr documentation (Íñigo Huguet) [2059999] - cfg80211: simplify cfg80211_chandef_valid() (Íñigo Huguet) [2059999] - mac80211: Remove a couple of obsolete TODO (Íñigo Huguet) [2059999] - mac80211: use coarse boottime for airtime fairness code (Íñigo Huguet) [2059999] - mt76: mt7921s: fix cmd timeout in throughput test (Íñigo Huguet) [2059999] - mt76: mt7921s: fix suspend error with enlarging mcu timeout value (Íñigo Huguet) [2059999] - mt76: mt7921s: make pm->suspended usage consistent (Íñigo Huguet) [2059999] - mt76: mt7921: clear pm->suspended in mt7921_mac_reset_work (Íñigo Huguet) [2059999] - mt76: connac: rely on le16_add_cpu in mt76_connac_mcu_add_nested_tlv (Íñigo Huguet) [2059999] - mt76: mt7921: remove dead definitions (Íñigo Huguet) [2059999] - mt76: mt7915: add mu-mimo and ofdma debugfs knobs (Íñigo Huguet) [2059999] - mt76: mt7915: introduce mt76_vif in mt7915_vif (Íñigo Huguet) [2059999] - mt76: mt7921: reduce log severity levels for informative messages (Íñigo Huguet) [2059999] - mt76: mt7915: rely on mt76_connac definitions (Íñigo Huguet) [2059999] - mt76: connac: rely on MCU_CMD macro (Íñigo Huguet) [2059999] - mt76: connac: introduce MCU_CE_CMD macro (Íñigo Huguet) [2059999] - mt76: connac: introduce MCU_UNI_CMD macro (Íñigo Huguet) [2059999] - mt76: connac: remove MCU_FW_PREFIX bit (Íñigo Huguet) [2059999] - mt76: connac: align MCU_EXT definitions with 7915 driver (Íñigo Huguet) [2059999] - mt76: connac: introduce MCU_EXT macros (Íñigo Huguet) [2059999] - mt76: mt7615: in debugfs queue stats, skip wmm index 3 on mt7663 (Íñigo Huguet) [2059999] - mt76: mt7915: process txfree and txstatus without allocating skbs (Íñigo Huguet) [2059999] - mt76: allow drivers to drop rx packets early (Íñigo Huguet) [2059999] - mt76: mt7663: disable 4addr capability (Íñigo Huguet) [2059999] - mt76: only access ieee80211_hdr after mt76_insert_ccmp_hdr (Íñigo Huguet) [2059999] - mt76: move sar_capa configuration in common code (Íñigo Huguet) [2059999] - mt76: mt7921s: fix possible kernel crash due to invalid Rx count (Íñigo Huguet) [2059999] - mt76: mt7921s: fix bus hang with wrong privilege (Íñigo Huguet) [2059999] - mt76: eeprom: tolerate corrected bit-flips (Íñigo Huguet) [2059999] - mt76: mt7603: improve reliability of tx powersave filtering (Íñigo Huguet) [2059999] - mt76: clear sta powersave flag after notifying driver (Íñigo Huguet) [2059999] - mt76: mt7915: introduce SAR support (Íñigo Huguet) [2059999] - mt76: mt7603: introduce SAR support (Íñigo Huguet) [2059999] - mt76: mt7915: improve wmm index allocation (Íñigo Huguet) [2059999] - mt76: mt7615: improve wmm index allocation (Íñigo Huguet) [2059999] - mt76: mt7921s: fix the device cannot sleep deeply in suspend (Íñigo Huguet) [2059999] - mt76: mt7615: fix decap offload corner case with 4-addr VLAN frames (Íñigo Huguet) [2059999] - mt76: mt7915: fix decap offload corner case with 4-addr VLAN frames (Íñigo Huguet) [2059999] - mt76: mt76x02: introduce SAR support (Íñigo Huguet) [2059999] - mt76: move sar utilities to mt76-core module (Íñigo Huguet) [2059999] - mt76: mt7921: honor mt76_connac_mcu_set_rate_txpower return value in mt7921_config (Íñigo Huguet) [2059999] - mt76: do not pass the received frame with decryption error (Íñigo Huguet) [2059999] - mt76: connac: remove PHY_MODE_AX_6G configuration in mt76_connac_get_phy_mode (Íñigo Huguet) [2059999] - mt76: mt7615: remove dead code in get_omac_idx (Íñigo Huguet) [2059999] - mt76: mt7921: introduce 160 MHz channel bandwidth support (Íñigo Huguet) [2059999] - mt76: mt7921: add support for PCIe ID 0x0608/0x0616 (Íñigo Huguet) [2059999] - mt76: testmode: add support to set MAC (Íñigo Huguet) [2059999] - mt76: mt7915: add default calibrated data support (Íñigo Huguet) [2059999] - mt76: only set rx radiotap flag from within decoder functions (Íñigo Huguet) [2059999] - mt76: reverse the first fragmented frame to 802.11 (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Introduce Sierra EM919X support (Íñigo Huguet) [2059999] - bus: mhi: core: Add an API for auto queueing buffers for DL channel (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Simplify code and axe the use of a deprecated API (Íñigo Huguet) [2059999] - bus: mhi: core: Use macros for execution environment features (Íñigo Huguet) [2059999] - bus: mhi: pci_generic: Add new device ID support for T99W175 (Íñigo Huguet) [2059999] - ath11k: Use reserved host DDR addresses from DT for PCI devices (Íñigo Huguet) [2059999] - wilc1000: Improve WILC TX performance when power_save is off (Íñigo Huguet) [2059999] - wilc1000: Rename workqueue from "WILC_wq" to "NETDEV-wq" (Íñigo Huguet) [2059999] - wilc1000: Rename tx task from "K_TXQ_TASK" to NETDEV-tx (Íñigo Huguet) [2059999] - wilc1000: Rename irq handler from "WILC_IRQ" to netdev name (Íñigo Huguet) [2059999] - wilc1000: Rename SPI driver from "WILC_SPI" to "wilc1000_spi" (Íñigo Huguet) [2059999] - wilc1000: Remove misleading USE_SPI_DMA macro (Íñigo Huguet) [2059999] - ath11k: Change qcn9074 fw to operate in mode-2 (Íñigo Huguet) [2059999] - ath11k: add ab to TARGET_NUM_VDEVS & co (Íñigo Huguet) [2059999] - brcmfmac: Fix incorrect type assignments for keep-alive (Íñigo Huguet) [2059999] - ath11k: Avoid false DEADLOCK warning reported by lockdep (Íñigo Huguet) [2059999] - ath11k: set DTIM policy to stick mode for station interface (Íñigo Huguet) [2059999] - ath11k: support MAC address randomization in scan (Íñigo Huguet) [2059999] - ath10k: wmi: remove array of flexible structures (Íñigo Huguet) [2059999] - ath10k: htt: remove array of flexible structures (Íñigo Huguet) [2059999] - ath10k: drop beacon and probe response which leak from other channel (Íñigo Huguet) [2059999] - ath11k: Process full monitor mode rx support (Íñigo Huguet) [2059999] - ath11k: add software monitor ring descriptor for full monitor (Íñigo Huguet) [2059999] - ath11k: Add htt cmd to enable full monitor mode (Íñigo Huguet) [2059999] - ath11k: enable IEEE80211_HW_SINGLE_SCAN_ON_ALL_BANDS for WCN6855 (Íñigo Huguet) [2059999] - wilc1000: Add id_table to spi_driver (Íñigo Huguet) [2059999] - rtw88: refine tx_pwr_tbl debugfs to show channel and bandwidth (Íñigo Huguet) [2059999] - rtw88: add debugfs to fix tx rate (Íñigo Huguet) [2059999] - ath: regdom: extend South Korea regulatory domain support (Íñigo Huguet) [2059999] - ath11k: add wait operation for tx management packets for flush from mac80211 (Íñigo Huguet) [2059999] - ath11k: add 11d scan offload support (Íñigo Huguet) [2059999] - ath11k: add configure country code for QCA6390 and WCN6855 (Íñigo Huguet) [2059999] - ath11k: avoid deadlock by change ieee80211_queue_work for regd_update_work (Íñigo Huguet) [2059999] - iwlwifi: work around reverse dependency on MEI (Íñigo Huguet) [2059999] - iwlwifi: mvm: optionally suppress assert log (Íñigo Huguet) [2059999] - iwlwifi: fw: correctly detect HW-SMEM region subtype (Íñigo Huguet) [2059999] - iwlwifi: implement reset flow for Bz devices (Íñigo Huguet) [2059999] - iwlwifi: dbg: disable ini debug in 8000 family and below (Íñigo Huguet) [2059999] - iwlwifi: support SAR GEO Offset Mapping override via BIOS (Íñigo Huguet) [2059999] - iwlwifi: pcie: retake ownership after reset (Íñigo Huguet) [2059999] - iwlwifi: mvm: always use 4K RB size by default (Íñigo Huguet) [2059999] - iwlwifi: mvm/api: define system control command (Íñigo Huguet) [2059999] - iwlwifi: bump FW API to 68 for AX devices (Íñigo Huguet) [2059999] - iwlwifi: mvm: add some missing command strings (Íñigo Huguet) [2059999] - iwlwifi: fw: add support for splitting region type bits (Íñigo Huguet) [2059999] - iwlwifi: swap 1650i and 1650s killer struct names (Íñigo Huguet) [2059999] - iwlwifi: yoyo: support for DBGC4 for dram (Íñigo Huguet) [2059999] - iwlwifi: mvm: update rate scale in moving back to assoc state (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for statistics update version 15 (Íñigo Huguet) [2059999] - iwlwifi: mvm: Add support for a new version of scan request command (Íñigo Huguet) [2059999] - iwlwifi: mvm: remove session protection upon station removal (Íñigo Huguet) [2059999] - iwlwifi: mvm: add support for PHY context command v4 (Íñigo Huguet) [2059999] - iwlwifi: fw: api: add link to PHY context command struct v1 (Íñigo Huguet) [2059999] - iwlwifi: mvm: support RLC configuration command (Íñigo Huguet) [2059999] - iwlwifi: mvm: d3: support v12 wowlan status (Íñigo Huguet) [2059999] - iwlwifi: mvm: parse firmware alive message version 6 (Íñigo Huguet) [2059999] - iwlwifi: pcie: support Bz suspend/resume trigger (Íñigo Huguet) [2059999] - iwlwifi: mvm: d3: move GTK rekeys condition (Íñigo Huguet) [2059999] - ath11k: change to use dynamic memory for channel list of scan (Íñigo Huguet) [2059999] - ath11k: add support for WCN6855 hw2.1 (Íñigo Huguet) [2059999] - ath9k: switch to rate table based lookup (Íñigo Huguet) [2059999] - iwlwifi: mvm: demote non-compliant kernel-doc header (Íñigo Huguet) [2059999] - iwlwifi: mvm: fix a possible NULL pointer deference (Íñigo Huguet) [2059999] - iwlwifi: mei: Fix spelling mistake "req_ownserhip" -> "req_ownership" (Íñigo Huguet) [2059999] - iwlwifi: mei: don't rely on the size from the shared area (Íñigo Huguet) [2059999] - iwlwifi: mei: fix linking when tracing is not enabled (Íñigo Huguet) [2059999] - ath11k: Avoid NULL ptr access during mgmt tx cleanup (Íñigo Huguet) [2059999] - rtlwifi: rtl8192de: Style clean-ups (Íñigo Huguet) [2059999] - brcmfmac: Configure keep-alive packet on suspend (Íñigo Huguet) [2059999] - ieee80211: change HE nominal packet padding value defines (Íñigo Huguet) [2059999] - cfg80211: use ieee80211_bss_get_elem() instead of _get_ie() (Íñigo Huguet) [2059999] - wilc1000: remove '-Wunused-but-set-variable' warning in chip_wakeup() (Íñigo Huguet) [2059999] - iwlwifi: mvm: read the rfkill state and feed it to iwlmei (Íñigo Huguet) [2059999] - iwlwifi: mvm: add vendor commands needed for iwlmei (Íñigo Huguet) [2059999] - iwlwifi: integrate with iwlmei (Íñigo Huguet) [2059999] - iwlwifi: mei: add debugfs hooks (Íñigo Huguet) [2059999] - iwlwifi: mei: add the driver to allow cooperation with CSME (Íñigo Huguet) [2059999] - mwifiex: Ensure the version string from the firmware is 0-terminated (Íñigo Huguet) [2059999] - mwifiex: Add quirk to disable deep sleep with certain hardware revision (Íñigo Huguet) [2059999] - mwifiex: Use a define for firmware version string length (Íñigo Huguet) [2059999] - rtw88: add debugfs to force lowest basic rate (Íñigo Huguet) [2059999] - rtw88: follow the AP basic rates for tx mgmt frame (Íñigo Huguet) [2059999] - mac80211: Use memset_after() to clear tx status (Íñigo Huguet) [2059999] - mac80211: notify non-transmitting BSS of color changes (Íñigo Huguet) [2059999] - mac80211: minstrel_ht: remove unused SAMPLE_SWITCH_THR define (Íñigo Huguet) [2059999] - cfg80211: allow continuous radar monitoring on offchannel chain (Íñigo Huguet) [2059999] - cfg80211: schedule offchan_cac_abort_wk in cfg80211_radar_event (Íñigo Huguet) [2059999] - cfg80211: delete redundant free code (Íñigo Huguet) [2059999] - mac80211: add support for .ndo_fill_forward_path (Íñigo Huguet) [2059999] - mac80211: Remove unused assignment statements (Íñigo Huguet) [2059999] - cfg80211: fix possible NULL pointer dereference in cfg80211_stop_offchan_radar_detection (Íñigo Huguet) [2059999] - ath11k: Use memset_startat() for clearing queue descriptors (Íñigo Huguet) [2059999] - ath11k: Fix spelling mistake "detetction" -> "detection" (Íñigo Huguet) [2059999] - Revert "ath11k: add read variant from SMBIOS for download board data" (Íñigo Huguet) [2059999] - ath11k: add read variant from SMBIOS for download board data (Íñigo Huguet) [2059999] - ath11k: calculate the correct NSS of peer for HE capabilities (Íñigo Huguet) [2059999] - ath11k: change to treat alpha code na as world wide regdomain (Íñigo Huguet) [2059999] - wilc1000: copy address before calling wilc_set_mac_address (Íñigo Huguet) [2059999] - cfg80211: move offchan_cac_event to a dedicated work (Íñigo Huguet) [2059999] - mac80211_hwsim: Fix spelling mistake "Droping" -> "Dropping" (Íñigo Huguet) [2059999] - mac80211: introduce set_radar_offchan callback (Íñigo Huguet) [2059999] - cfg80211: implement APIs for dedicated radar detection HW (Íñigo Huguet) [2059999] - ath11k: add support for BSS color change (Íñigo Huguet) [2059999] - ath11k: add trace log support (Íñigo Huguet) [2059999] - ath11k: Add missing qmi_txn_cancel() (Íñigo Huguet) [2059999] - ath11k: Disabling credit flow for WMI path (Íñigo Huguet) [2059999] - ath11k: Increment pending_mgmt_tx count before tx send invoke (Íñigo Huguet) [2059999] - ath11k: send proper txpower and maxregpower values to firmware (Íñigo Huguet) [2059999] - ath11k: move peer delete after vdev stop of station for QCA6390 and WCN6855 (Íñigo Huguet) [2059999] - ath11k: remove return for empty tx bitrate in mac_op_sta_statistics (Íñigo Huguet) [2059999] - ath11k: enable IEEE80211_VHT_EXT_NSS_BW_CAPABLE if NSS ratio enabled (Íñigo Huguet) [2059999] - ath11k: avoid unnecessary lock contention in tx_completion path (Íñigo Huguet) [2059999] - ath11k: add branch predictors in dp_tx path (Íñigo Huguet) [2059999] - ath11k: avoid while loop in ring selection of tx completion interrupt (Íñigo Huguet) [2059999] - ath11k: remove mod operator in dst ring processing (Íñigo Huguet) [2059999] - ath11k: allocate HAL_WBM2SW_RELEASE ring from cacheable memory (Íñigo Huguet) [2059999] - ath11k: add branch predictors in process_rx (Íñigo Huguet) [2059999] - ath11k: remove usage quota while processing rx packets (Íñigo Huguet) [2059999] - ath11k: avoid active pdev check for each msdu (Íñigo Huguet) [2059999] - ath11k: avoid additional access to ath11k_hal_srng_dst_num_free (Íñigo Huguet) [2059999] - ath11k: modify dp_rx desc access wrapper calls inline (Íñigo Huguet) [2059999] - ath11k: allocate dst ring descriptors from cacheable memory (Íñigo Huguet) [2059999] - ath11k: disable unused CE8 interrupts for ipq8074 (Íñigo Huguet) [2059999] - ath11k: avoid unnecessary BH disable lock in STA kickout event (Íñigo Huguet) [2059999] - ath11k: enable 802.11 power save mode in station mode (Íñigo Huguet) [2059999] - ath11k: convert ath11k_wmi_pdev_set_ps_mode() to use enum wmi_sta_ps_mode (Íñigo Huguet) [2059999] - ath9k: use swap() to make code cleaner (Íñigo Huguet) [2059999] - ath10k: fetch (pre-)calibration data via nvmem subsystem (Íñigo Huguet) [2059999] - Revert "ath11k: add support for WCN6855 hw2.1" (Íñigo Huguet) [2059999] Resolves: rhbz#2097921, rhbz#2044294, rhbz#2096865, rhbz#2096777, rhbz#2001936, rhbz#2043793, rhbz#1873825, rhbz#2059999 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-24 07:11:43 +00:00
# CONFIG_MT7921U is not set
kernel-5.14.0-318.el9 * Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9] - vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854] - config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526] - wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526] - rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526] - mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526] - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526] - net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526] - mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526] - wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526] - mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526] - wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526] - bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526] - bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526] - bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526] - mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526] - bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526] - bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526] - wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526] - treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526] - treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526] - hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526] - Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250] - usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250] - usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250] - usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250] - USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250] - usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250] - usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250] - usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250] - USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250] - usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250] - usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250] - usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250] - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250] - usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250] - xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250] - xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250] - xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250] - usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250] - xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250] - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250] - USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250] - thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250] - thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250] - thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250] - usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250] - usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250] - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250] - thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250] - treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250] - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250] - nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250] - mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250] - atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250] - xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250] - xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250] - USB: core: export usb_cache_string() (Desnes Nunes) [2190250] - usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250] - usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250] - usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250] - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250] - usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250] - usb: storage: Add check for kcalloc (Desnes Nunes) [2190250] - USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250] - USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250] - USB: sisusbvga: remove console support (Desnes Nunes) [2190250] - media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250] - media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250] - firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250] - usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250] - device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250] - driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250] - container_of: remove container_of_safe() (Desnes Nunes) [2190250] - net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250] - net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250] - USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250] - xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250] - xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250] - xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250] - xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250] - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250] - xhci: print warning when HCE was set (Desnes Nunes) [2190250] - Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250] - USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250] - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250] - xhci: Convert to use list_count() (Desnes Nunes) [2190250] - usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250] - usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250] - usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250] - usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250] - usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250] - usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250] - usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250] - usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250] - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250] - net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250] - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250] - media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250] - media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250] - media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250] - media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250] - media: videobuf2: set q->streaming later (Desnes Nunes) [2190250] - media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250] - USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250] - usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250] - usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250] - usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250] - usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250] - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250] - usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250] - usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250] - usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250] - Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250] - hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250] - usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250] - tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250] - usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250] - usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250] - usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250] - dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250] - dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250] - usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250] - usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250] - usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250] - thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250] - usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250] - thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250] - usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250] - usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250] - usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250] - usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250] - usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250] - usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250] - thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250] - thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250] - device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250] - device property: Constify device child node APIs (Desnes Nunes) [2190250] - device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250] - usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250] - device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250] - device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250] - device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250] - usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250] - USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250] - USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250] - kernel.spec: add bonding selftest (Hangbin Liu) [2179216] - selftests: bonding: add arp validate test (Hangbin Liu) [2179216] - selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216] - bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216] - net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375] - selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375] - seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375] - seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375] - net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375] - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375] - seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375] - udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375] - icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375] - seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375] - seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375] - net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375] - net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375] - net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375] - seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375] - ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375] - ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375] - netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375] - HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079} - HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079} - NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854] - NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854] - NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854] - NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854] - NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854] - NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854] - netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854] - i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194} - netlink: provide an ability to set default extack message (Petr Oros) [2193453] - mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712] Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 19:08:35 +00:00
# CONFIG_MT7996E is not set
# CONFIG_MTD_ABSENT is not set
# CONFIG_MTD_AFS_PARTS is not set
# CONFIG_MTD_AR7_PARTS is not set
# CONFIG_MTD_BLOCK2MTD is not set
# CONFIG_MTD_BLOCK is not set
# CONFIG_MTD_BLOCK_RO is not set
# CONFIG_MTD_CFI_ADV_OPTIONS is not set
CONFIG_MTD_CFI_I1=y
CONFIG_MTD_CFI_I2=y
# CONFIG_MTD_CFI is not set
# CONFIG_MTD_CMDLINE_PARTS is not set
# CONFIG_MTD_COMPLEX_MAPPINGS is not set
# CONFIG_MTD_DATAFLASH is not set
# CONFIG_MTD_DOCG3 is not set
# CONFIG_MTD_HYPERBUS is not set
# CONFIG_MTD_INTEL_VR_NOR is not set
# CONFIG_MTD_JEDECPROBE is not set
# CONFIG_MTD_LPDDR is not set
CONFIG_MTD=m
CONFIG_MTD_MAP_BANK_WIDTH_1=y
CONFIG_MTD_MAP_BANK_WIDTH_2=y
CONFIG_MTD_MAP_BANK_WIDTH_4=y
# CONFIG_MTD_MCHP23K256 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_MTD_MCHP48L640 is not set
# CONFIG_MTD_MTDRAM is not set
# CONFIG_MTD_NAND_ECC_SW_BCH is not set
# CONFIG_MTD_NAND_ECC_SW_HAMMING is not set
CONFIG_MTD_OF_PARTS=m
# CONFIG_MTD_ONENAND is not set
# CONFIG_MTD_OOPS is not set
# CONFIG_MTD_PARTITIONED_MASTER is not set
# CONFIG_MTD_PHRAM is not set
# CONFIG_MTD_PHYSMAP_COMPAT is not set
# CONFIG_MTD_PLATRAM is not set
# CONFIG_MTD_PMC551 is not set
CONFIG_MTD_POWERNV_FLASH=m
# CONFIG_MTD_RAM is not set
# CONFIG_MTD_RAW_NAND is not set
# CONFIG_MTD_REDBOOT_PARTS is not set
# CONFIG_MTD_ROM is not set
# CONFIG_MTD_SLRAM is not set
# CONFIG_MTD_SPI_NOR is not set
# CONFIG_MTD_SST25L is not set
# CONFIG_MTD_SWAP is not set
# CONFIG_MTD_TESTS is not set
CONFIG_MTD_UBI_BEB_LIMIT=20
# CONFIG_MTD_UBI_BLOCK is not set
# CONFIG_MTD_UBI_FASTMAP is not set
# CONFIG_MTD_UBI_GLUEBI is not set
CONFIG_MTD_UBI=m
CONFIG_MTD_UBI_WL_THRESHOLD=4096
kernel-5.14.0-345.el9 * Tue Jul 25 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-345.el9] - i2c: imx: Only DMA messages with I2C_M_DMA_SAFE flag set (Steve Best) [2184312] - i2c: imx: use devm_platform_get_and_ioremap_resource() (Steve Best) [2184312] - i2c: imx: If pm_runtime_get_sync() returned 1 device access is possible (Steve Best) [2184312] - i2c: imx: Make sure to unregister adapter on remove() (Steve Best) [2184312] - clk: imx: Remove the snvs clock (Steve Best) [2184094] - clk: imx: add mcore_booted module paratemter (Steve Best) [2184094] - clk: imx8mq: add 27m phy pll ref clock (Steve Best) [2184094] - clk: imx8m: fix clock tree update of TF-A managed clocks (Steve Best) [2184094] - Documentation: gpio: Remove text about ARCH_NR_GPIOS (Shaoqin Huang) [2183344] - dt-bindings: timestamp: Deprecate nvidia,slices property (Shaoqin Huang) [2183344] - gpiolib: fix allocation of mixed dynamic/static GPIOs (Shaoqin Huang) [2183344] - tools: gpio: fix debounce_period_us output of lsgpio (Shaoqin Huang) [2183344] - hte: Use device_match_of_node() (Shaoqin Huang) [2183344] - hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() (Shaoqin Huang) [2183344] - hte: tegra-194: Use proper includes (Shaoqin Huang) [2183344] - gpio: tegra186: Add Tegra234 hte support (Shaoqin Huang) [2183344] - hte: handle nvidia,gpio-controller property (Shaoqin Huang) [2183344] - hte: Deprecate nvidia,slices property (Shaoqin Huang) [2183344] - hte: Add Tegra234 provider (Shaoqin Huang) [2183344] - dt-bindings: timestamp: Add Tegra234 support (Shaoqin Huang) [2183344] - hte: Use of_property_present() for testing DT property presence (Shaoqin Huang) [2183344] - gpio: gpiolib: Simplify gpiochip_add_data_with_key() fwnode (Shaoqin Huang) [2183344] - gpiolib: Add gpiochip_set_data() helper (Shaoqin Huang) [2183344] - gpiolib: Move gpiochip_get_data() higher in the code (Shaoqin Huang) [2183344] - gpiolib: Check array_info for NULL only once in gpiod_get_array() (Shaoqin Huang) [2183344] - gpiolib: Replace open coded krealloc() (Shaoqin Huang) [2183344] - gpio: mm-lantiq: Fix typo in the newly added header filename (Shaoqin Huang) [2183344] - gpiolib: acpi: use the fwnode in acpi_gpiochip_find() (Shaoqin Huang) [2183344] - sh: mach-x3proto: Add missing #include <linux/gpio/driver.h> (Shaoqin Huang) [2183344] - gpiolib: acpi: Move ACPI device NULL check to acpi_get_driver_gpio_data() (Shaoqin Huang) [2183344] - powerpc/40x: Add missing select OF_GPIO_MM_GPIOCHIP (Shaoqin Huang) [2183344] - gpiolib: Use IRQ hardware number getter instead of direct access (Shaoqin Huang) [2183344] - gpiolib: Remove duplicative check in gpiod_find_and_request() (Shaoqin Huang) [2183344] - gpiolib: Move gpiodevice_*() to gpiodev namespace (Shaoqin Huang) [2183344] - gpio: Use of_property_read_bool() for boolean properties (Shaoqin Huang) [2183344] - gpiolib: Get rid of devprop prefix in one function (Shaoqin Huang) [2183344] - gpio: sim: Deactivate device in reversed order (Shaoqin Huang) [2183344] - mips: ar7: include linux/gpio/driver.h (Shaoqin Huang) [2183344] - gpiolib: Get rid of gpio_bus_match() forward declaration (Shaoqin Huang) [2183344] - gpiolib: Access device's fwnode via dev_fwnode() (Shaoqin Huang) [2183344] - gpiolib: Clean up headers (Shaoqin Huang) [2183344] - gpiolib: Group forward declarations in consumer.h (Shaoqin Huang) [2183344] - gpiolib: Deduplicate forward declarations in consumer.h (Shaoqin Huang) [2183344] - gpiolib: Drop unused forward declaration from driver.h (Shaoqin Huang) [2183344] - gpio: regmap: Add missing header(s) (Shaoqin Huang) [2183344] - gpiolib: split of_mm_gpio_chip out of linux/of_gpio.h (Shaoqin Huang) [2183344] - gpiolib: remove legacy gpio_export() (Shaoqin Huang) [2183344] - gpiolib: remove gpio_set_debounce() (Shaoqin Huang) [2183344] - gpiolib: remove asm-generic/gpio.h (Shaoqin Huang) [2183344] - gpiolib: coldfire: remove custom asm/gpio.h (Shaoqin Huang) [2183344] - hte: tegra: fix 'struct of_device_id' build error (Shaoqin Huang) [2183344] - gpiolib: Make the legacy <linux/gpio.h> consumer-only (Shaoqin Huang) [2183344] - gpio: GPIO_REGMAP: select REGMAP instead of depending on it (Shaoqin Huang) [2183344] - kbuild, gpio: gpio-aspeed-sgpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344] - kbuild, gpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344] - gpio: sim: fix a memory leak (Shaoqin Huang) [2183344] - gpio: sim: Use %%pfwP specifier instead of calling fwnode API directly (Shaoqin Huang) [2183344] - gpiolib: Clear the gpio_device's fwnode initialized flag before adding (Shaoqin Huang) [2183344] - gpio: tegra186: remove unneeded loop in tegra186_gpio_init_route_mapping() (Shaoqin Huang) [2183344] - gpiolib: of: Move enum of_gpio_flags to its only user (Shaoqin Huang) [2183344] - gpio: Get rid of gpio_to_chip() (Shaoqin Huang) [2183344] - gpio: omap: use dynamic allocation of base (Shaoqin Huang) [2183344] - gpiolib: Remove unused of_mm_gpiochip_add() (Shaoqin Huang) [2183344] - gpiolib: Do not mention legacy API in the code (Shaoqin Huang) [2183344] - gpio: Remove unused and obsoleted irq_to_gpio() (Shaoqin Huang) [2183344] - gpio: regmap: use new regmap_might_sleep() (Shaoqin Huang) [2183344] - gpio: Remove unused and obsoleted gpio_export_link() (Shaoqin Huang) [2183344] - gpiolib: sort header inclusion alphabetically (Shaoqin Huang) [2183344] - gpiolib: Get rid of not used of_node member (Shaoqin Huang) [2183344] - gpiolib: Introduce gpio_device_get() and gpio_device_put() (Shaoqin Huang) [2183344] - gpiolib: of: remove [devm_]gpiod_get_from_of_node() APIs (Shaoqin Huang) [2183344] - gpiolib: of: remove of_get_gpio[_flags]() and of_get_named_gpio_flags() (Shaoqin Huang) [2183344] - gpiolib: of: remove obsolete comment for of_gpio_get_count() (Shaoqin Huang) [2183344] - gpiolib: of: stop exporting of_gpio_named_count() (Shaoqin Huang) [2183344] - gpiolib: of: remove of_gpio_count() (Shaoqin Huang) [2183344] - gpio: regmap: Always set gpio_chip get_direction (Shaoqin Huang) [2183344] - gpiolib: use irq_domain_alloc_irqs() (Shaoqin Huang) [2183344] - tools: gpio: fix -c option of gpio-event-mon (Shaoqin Huang) [2183344] - Revert "gpiolib: of: Introduce hook for missing gpio-ranges" (Shaoqin Huang) [2183344] - pinctrl: bcm2835: Switch to use ->add_pin_ranges() (Shaoqin Huang) [2183344] - gpiolib: Check "gpio-ranges" before calling ->add_pin_ranges() (Shaoqin Huang) [2183344] - gpiolib: acpi: Allow ignoring wake capability on pins that aren't in _AEI (Shaoqin Huang) [2183344] - gpiolib: Fix using uninitialized lookup-flags on ACPI platforms (Shaoqin Huang) [2183344] - gpio: sim: set a limit on the number of GPIOs (Shaoqin Huang) [2183344] - gpiolib: protect the GPIO device against being dropped while in use by user-space (Shaoqin Huang) [2183344] - gpiolib: cdev: fix NULL-pointer dereferences (Shaoqin Huang) [2183344] - gpiolib: Provide to_gpio_device() helper (Shaoqin Huang) [2183344] - gpiolib: Unify access to the device properties (Shaoqin Huang) [2183344] - gpio: Do not include <linux/kernel.h> when not really needed. (Shaoqin Huang) [2183344] - gpiolib: fix memory leak in gpiochip_setup_dev() (Shaoqin Huang) [2183344] - drm/tegra: Switch to using devm_fwnode_gpiod_get() (Shaoqin Huang) [2183344] - gpiolib: ensure that fwnode is properly set (Shaoqin Huang) [2183344] - gpiolib: of: Use correct fwnode for DT-probed chips (Shaoqin Huang) [2183344] - gpiolib: of: Drop redundant check in of_mm_gpiochip_remove() (Shaoqin Huang) [2183344] - gpiolib: of: Prepare of_mm_gpiochip_add_data() for fwnode (Shaoqin Huang) [2183344] - gpiolib: add support for software nodes (Shaoqin Huang) [2183344] - gpiolib: consolidate GPIO lookups (Shaoqin Huang) [2183344] - gpiolib: acpi: avoid leaking ACPI details into upper gpiolib layers (Shaoqin Huang) [2183344] - gpiolib: acpi: teach acpi_find_gpio() to handle data-only nodes (Shaoqin Huang) [2183344] - gpiolib: acpi: change acpi_find_gpio() to accept firmware node (Shaoqin Huang) [2183344] - gpiolib: of: change of_find_gpio() to accept device node (Shaoqin Huang) [2183344] - gpiolib: of: Integrate of_gpiochip_init_valid_mask() into gpiochip_init_valid_mask() (Shaoqin Huang) [2183344] - gpiolib: of: Prepare of_gpiochip_add() / of_gpiochip_remove() for fwnode (Shaoqin Huang) [2183344] - gpiolib: remove devm_fwnode_get_[index_]gpiod_from_child() (Shaoqin Huang) [2183344] - gpiolib: of: add polarity quirk for Freescale PCIe controller (Shaoqin Huang) [2183344] - gpiolib: of: factor out quirk setting polarity via separate property (Shaoqin Huang) [2183344] - gpiolib: of: Make use of device_match_of_node() (Shaoqin Huang) [2183344] - PCI: tegra: Switch to using devm_fwnode_gpiod_get (Shaoqin Huang) [2183344] - gpiolib: cdev: Fix typo in kernel doc for struct line (Shaoqin Huang) [2183344] - gpiolib: acpi: Use METHOD_NAME__AEI macro for acpi_walk_resources (Shaoqin Huang) [2183344] - gpiolib: Clean up headers (Shaoqin Huang) [2183344] - gpiolib: cdev: Add missing header(s) (Shaoqin Huang) [2183344] - gpio: reg: Add missing header(s) (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for reset line polarity for Himax LCDs (Shaoqin Huang) [2183344] - gpiolib: of: add quirk for phy reset polarity for Freescale Ethernet (Shaoqin Huang) [2183344] - gpiolib: of: factor out code overriding gpio line polarity (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for legacy names in MOXA ART RTC (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for reset line for Cirrus CS42L56 codec (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for reset line for Marvell NFC controller (Shaoqin Huang) [2183344] - gpiolib: of: add quirk for locating reset lines with legacy bindings (Shaoqin Huang) [2183344] - gpiolib: of: tighten selection of gpio renaming quirks (Shaoqin Huang) [2183344] - gpiolib: of: consolidate simple renames into a single quirk (Shaoqin Huang) [2183344] - gpiolib: of: add a quirk for legacy names in Mediatek mt2701-cs42448 (Shaoqin Huang) [2183344] - gpio: tegra: Convert to immutable irq chip (Shaoqin Huang) [2183344] - gpio: aspeed: Add missing header(s) (Shaoqin Huang) [2183344] - gpiolib: Get rid of ARCH_NR_GPIOS (Shaoqin Huang) [2183344] - gpiolib: Warn on drivers still using static gpiobase allocation (Shaoqin Huang) [2183344] - gpiolib: cdev: add fdinfo output for line request file descriptors (Shaoqin Huang) [2183344] - mtd: rawnand: Replace of_gpio_named_count() by gpiod_count() (Shaoqin Huang) [2183344] - gpiolib: cdev: Set lineevent_state::irq after IRQ register successfully (Shaoqin Huang) [2183344] - gpiolib: fix OOB access in quirk callbacks (Shaoqin Huang) [2183344] - net: phy: spi_ks8895: switch to using gpiod API (Shaoqin Huang) [2183344] - gpiolib: of: factor out conversion from OF flags (Shaoqin Huang) [2183344] - gpiolib: rework quirk handling in of_find_gpio() (Shaoqin Huang) [2183344] - gpiolib: of: make Freescale SPI quirk similar to all others (Shaoqin Huang) [2183344] - gpiolib: of: do not ignore requested index when applying quirks (Shaoqin Huang) [2183344] - gpiolib: acpi: Add support to ignore programming an interrupt (Shaoqin Huang) [2183344] - gpiolib: make fwnode_get_named_gpiod() static (Shaoqin Huang) [2183344] - gpiolib: acpi: support bias pull disable (Shaoqin Huang) [2183344] - gpiolib: of: support bias pull disable (Shaoqin Huang) [2183344] - gpiolib: add support for bias pull disable (Shaoqin Huang) [2183344] - gpiolib: cdev: compile out HTE unless CONFIG_HTE selected (Shaoqin Huang) [2183344] - gpiolib: cdev: consolidate edge detector configuration flags (Shaoqin Huang) [2183344] - gpiolib: cdev: simplify line event identification (Shaoqin Huang) [2183344] - gpiolib: cdev: replace if-else chains with switches (Shaoqin Huang) [2183344] - gpiolib: cdev: simplify parameter in call to hte_edge_setup (Shaoqin Huang) [2183344] - gpiolib: cdev: simplify linereq_free (Shaoqin Huang) [2183344] - gpio: gpiolib-of: Fix refcount bugs in of_mm_gpiochip_add_data() (Shaoqin Huang) [2183344] - gpiolib: of: Use device_match_of_node() helper (Shaoqin Huang) [2183344] - gpiolib: devres: Get rid of unused devm_gpio_free() (Shaoqin Huang) [2183344] - gpio: gpiolib: Allow free() callback to be overridden (Shaoqin Huang) [2183344] - hte: Fix possible use-after-free in tegra_hte_test_remove() (Shaoqin Huang) [2183344] - hte: Remove unused including <linux/version.h> (Shaoqin Huang) [2183344] - pinctrl: ocelot: Fix interrupt parsing (Shaoqin Huang) [2183344] - pinctrl: Get rid of duplicate of_node assignment in the drivers (Shaoqin Huang) [2183344] - pinctrl: ocelot: update pinctrl to automatic base address (Shaoqin Huang) [2183344] - powerpc/iommu: Limit number of TCEs to 512 for H_STUFF_TCE hcall (Mamatha Inamdar) [2222935] - net: wwan: mark t7xx driver as tech preview (Jose Ignacio Tornos Martinez) [2110561] - net: wwan: enable t7xx driver (Jose Ignacio Tornos Martinez) [2110561] - net: wwan: t7xx: Add AP CLDMA (Jose Ignacio Tornos Martinez) [2110561 2140372] - redhat/configs: disable CONFIG_USB_DWC2 and CONFIG_USB_DWC3 on x86 (Desnes Nunes) [2110796] - irqchip/irq-imx-gpcv2: Mark fwnode device as not initialized (Steve Best) [2184110] - irqchip/imx-gpcv2: Mark imx_gpcv2_instance with __ro_after_init (Steve Best) [2184110] - irqchip/imx-irqsteer: Add runtime PM support (Steve Best) [2184110] - irqchip/imx-irqsteer: Constify irq_chip struct (Steve Best) [2184110] - selftests/ftrace: Stop tracing while reading the trace file by default (Jerome Marchand) [2138363] - dt-bindings: iio: adc: Add NXP IMX93 ADC (Steve Best) [2181783] - iio: adc: imx93: Fix spelling mistake "geting" -> "getting" (Steve Best) [2181783] - iio: adc: add imx93 adc support (Steve Best) [2181783] - net: wwan: mark Qualcomm driver as tech preview (Jose Ignacio Tornos Martinez) [2222914] - net: wwan: enable Qualcomm driver (Jose Ignacio Tornos Martinez) [2222914] Resolves: rhbz#2110561, rhbz#2110796, rhbz#2138363, rhbz#2140372, rhbz#2181783, rhbz#2183344, rhbz#2184094, rhbz#2184110, rhbz#2184312, rhbz#2222914, rhbz#2222935 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-25 15:02:23 +00:00
CONFIG_MTK_T7XX=m
CONFIG_MULTIUSER=y
kernel-5.14.0-146.el9 * Tue Aug 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-146.el9] - cpufreq: fix memory leak in sun50i_cpufreq_nvmem_probe (Mark Langsdorf) [2072976] - cpufreq: powernow-k8: Re-order the init checks (Mark Langsdorf) [2072976] - cpufreq: amd-pstate: Add more tracepoint for AMD P-State module (Mark Langsdorf) [2072976] - cpufreq: CPPC: Fix performance/frequency conversion (Mark Langsdorf) [2072976] - cpufreq: unify show() and store() naming and use __ATTR_XX (Mark Langsdorf) [2072976] - cpufreq: longhaul: Replace acpi_bus_get_device() (Mark Langsdorf) [2072976] - cpufreq: Move to_gov_attr_set() to cpufreq.h (Mark Langsdorf) [2072976] - cpufreq: use default_groups in kobj_type (Mark Langsdorf) [2072976] - cpufreq: Fix initialization of min and max frequency QoS requests (Mark Langsdorf) [2072976] - cpufreq: Fix a comment in cpufreq_policy_free (Mark Langsdorf) [2072976] - cpufreq: Fix get_cpu_device() failure in add_cpu_dev_symlink() (Mark Langsdorf) [2072976] - cpufreq: Use CPUFREQ_RELATION_E in DVFS governors (Mark Langsdorf) [2072976] - cpufreq: Introducing CPUFREQ_RELATION_E (Mark Langsdorf) [2072976] - cpufreq: Add an interface to mark inefficient frequencies (Mark Langsdorf) [2072976] - cpufreq: Make policy min/max hard requirements (Mark Langsdorf) [2072976] - PM: EM: Allow skipping inefficient states (Mark Langsdorf) [2072976] - PM: EM: Extend em_perf_domain with a flag field (Mark Langsdorf) [2072976] - PM: EM: Mark inefficient states (Mark Langsdorf) [2072976] - PM: EM: Fix inefficient states detection (Mark Langsdorf) [2072976] - PM: EM: Increase energy calculation precision (Mark Langsdorf) [2072976] - cpufreq: tegra186/tegra194: Handle errors in BPMP response (Mark Langsdorf) [2072976] - cpufreq: remove useless INIT_LIST_HEAD() (Mark Langsdorf) [2072976] - cpufreq: s3c244x: add fallthrough comments for switch (Mark Langsdorf) [2072976] - cpufreq: vexpress: Drop unused variable (Mark Langsdorf) [2072976] - qede: Reduce verbosity of ptp tx timestamp (Manish Chopra) [2080656] - redhat/configs: Enable Marvell OcteonTX2 crypto device (Vladis Dronov) [2042239] - hwrng: cn10k - Enable compile testing (Vladis Dronov) [2042239] - hwrng: cn10k - Make check_rng_health() return an error code (Vladis Dronov) [2042239] - hwrng: cn10k - Optimize cn10k_rng_read() (Vladis Dronov) [2042239] - hwrng: cn10k - Add random number generator support (Vladis Dronov) [2042239] - hwrng: cavium - fix NULL but dereferenced coccicheck error (Vladis Dronov) [2042261] - hwrng: cavium - HW_RANDOM_CAVIUM should depend on ARCH_THUNDER (Vladis Dronov) [2042261] - hwrng: cavium - Check health status while reading random data (Vladis Dronov) [2042261] - crypto: octeontx2 - fix potential null pointer access (Vladis Dronov) [2041740] - crypto: octeontx2 - add firmware version in devlink info (Vladis Dronov) [2041740] - crypto: octeontx2 - simplify the return expression of otx2_cpt_aead_cbc_aes_sha_setkey() (Vladis Dronov) [2041740] - crypto: octeontx2 - fix missing unlock (Vladis Dronov) [2041740] - crypto: octeontx2 - add synchronization between mailbox accesses (Vladis Dronov) [2041740] - crypto: octeontx2 - remove CONFIG_DM_CRYPT check (Vladis Dronov) [2041740] - crypto: octeontx2 - increase CPT HW instruction queue length (Vladis Dronov) [2041740] - crypto: octeontx2 - disable DMA black hole on an DMA fault (Vladis Dronov) [2041740] - crypto: octeontx2 - CN10K CPT to RNM workaround (Vladis Dronov) [2041740] - crypto: octeontx2 - Avoid stack variable overflow (Vladis Dronov) [2041740] - crypto: octeontx2 - prevent underflow in get_cores_bmap() (Vladis Dronov) [2041740] - crypto: octeontx2 - out of bounds access in otx2_cpt_dl_custom_egrp_delete() (Vladis Dronov) [2041740] - crypto: octeontx2 - Use swap() instead of swap_engines() (Vladis Dronov) [2041740] - crypto: octeontx2 - parameters for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - add apis for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - uninitialized variable in kvf_limits_store() (Vladis Dronov) [2041740] - crypto: octeontx2 - use swap() to make code cleaner (Vladis Dronov) [2041740] - crypto: octeontx2 - set assoclen in aead_do_fallback() (Vladis Dronov) [2041740] Resolves: rhbz#2072976, rhbz#2080656, rhbz#2042239, rhbz#2042261, rhbz#2041740 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-16 17:29:33 +00:00
# CONFIG_MVMDIO is not set
# CONFIG_MV_XOR_V2 is not set
# CONFIG_MWAVE is not set
CONFIG_MWIFIEX=m
CONFIG_MWIFIEX_PCIE=m
CONFIG_MWIFIEX_SDIO=m
CONFIG_MWIFIEX_USB=m
# CONFIG_MWL8K is not set
# CONFIG_MXC4005 is not set
# CONFIG_MXC6255 is not set
CONFIG_MYRI10GE_DCA=y
CONFIG_MYRI10GE=m
CONFIG_NAMESPACES=y
CONFIG_NATIONAL_PHY=m
# CONFIG_NAU7802 is not set
CONFIG_ND_BLK=m
CONFIG_ND_BTT=m
kernel-5.14.0-279.el9 * Mon Feb 20 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-279.el9] - redhat/configs: enable Octeon TX2 network drivers for RHEL (Michal Schmidt) [2040643] - octeontx2: mark the AF/PF/VF drivers as tech-preview (Michal Schmidt) [2040643] - octeontx2-af: Fix devlink unregister (Michal Schmidt) [2040643] - octeontx2-pf: Fix the use of GFP_KERNEL in atomic context on rt (Michal Schmidt) [2040643] - octeontx2-pf: Avoid use of GFP_KERNEL in atomic context (Michal Schmidt) [2040643] - octeontx2-pf: Fix resource leakage in VF driver unbind (Michal Schmidt) [2040643] - octeontx2-af: Fix LMAC config in cgx_lmac_rx_tx_enable (Michal Schmidt) [2040643] - octeontx2-pf: Fix lmtst ID used in aura free (Michal Schmidt) [2040643] - net: ethernet: marvell: octeontx2: Fix uninitialized variable warning (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Fix a resource leak in the probe and remove functions (Michal Schmidt) [2040643] - octeontx2-af: Add FEC stats for RPM/RPM_USX block (Michal Schmidt) [2040643] - octeontx2-pf: ethtool: Implement get_fec_stats (Michal Schmidt) [2040643] - octeontx2-af: cn10kb: Add RPM_USX MAC support (Michal Schmidt) [2040643] - octeontx2-af: Support variable number of lmacs (Michal Schmidt) [2040643] - octeontx2-af: Simplify a size computation in rvu_npc_exact_init() (Michal Schmidt) [2040643] - octeontx2-af: Fix the size of memory allocated for the 'id_bmap' bitmap (Michal Schmidt) [2040643] - octeontx2-af: Use the bitmap API to allocate bitmaps (Michal Schmidt) [2040643] - octeontx2-af: Slightly simplify rvu_npc_exact_init() (Michal Schmidt) [2040643] - octeontx2-af: Fix a potentially spurious error message (Michal Schmidt) [2040643] - octeontx2-pf: Add support to filter packet based on IP fragment (Michal Schmidt) [2040643] - octeontx2-pf: Add additional checks while configuring ucast/bcast/mcast rules (Michal Schmidt) [2040643] - marvell: octeontx2: build error: unknown type name 'u64' (Michal Schmidt) [2040643] - octeontx2-af: Allow mkex profile without DMAC and add L2M/L2B header extraction support (Michal Schmidt) [2040643] - octeontx2-pf: Fix potential memory leak in otx2_init_tc() (Michal Schmidt) [2040643] - octeontx2-pf: Fix pfc_alloc_status array overflow (Michal Schmidt) [2040643] - octeontx2-af: Fix reference count issue in rvu_sdp_init() (Michal Schmidt) [2040643] - octeontx2-pf: Add check for devm_kcalloc (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Fix copy and paste bug in mcs_bbe_intr_handler() (Michal Schmidt) [2040643] - octeontx2-af: debugsfs: fix pci device refcount leak (Michal Schmidt) [2040643] - octeontx2-pf: Fix SQE threshold checking (Michal Schmidt) [2040643] - octeontx2-pf: NIX TX overwrites SQ_CTX_HW_S[SQ_INT] (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Fix error return code in mcs_register_interrupts() (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Add debugfs support (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Handle MCS block interrupts (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Support for stats collection (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Install a default TCAM for normal traffic (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Manage the MCS block hardware resources (Michal Schmidt) [2040643] - octeontx2-af: cn10k: mcs: Add mailboxes for port related operations (Michal Schmidt) [2040643] - octeontx2-af: cn10k: Introduce driver for macsec block. (Michal Schmidt) [2040643] - octeontx2-pf: Fix unused variable build error (Michal Schmidt) [2040643] - octeontx2-af: Initialize PTP_SEC_ROLLOVER register properly (Michal Schmidt) [2040643] - octeontx2-af: Add PTP PPS Errata workaround on CN10K silicon (Michal Schmidt) [2040643] - octeontx2-pf: Add support for ptp 1-step mode on CN10K silicon (Michal Schmidt) [2040643] - octeontx2-af: return correct ptp timestamp for CN10K silicon (Michal Schmidt) [2040643] - octeontx2-pf: Add egress PFC support (Michal Schmidt) [2040643] - octeontx2: ethernet: move from strlcpy with unused retval to strscpy (Michal Schmidt) [2040643] - octeontx2-pf: Fix NIX_AF_TL3_TL2X_LINKX_CFG register configuration (Michal Schmidt) [2040643] - octeontx2-af: Fix key checking for source mac (Michal Schmidt) [2040643] - octeontx2-af: Fix mcam entry resource leak (Michal Schmidt) [2040643] - octeontx2-af: suppress external profile loading warning (Michal Schmidt) [2040643] - octeontx2-af: Apply tx nibble fixup always (Michal Schmidt) [2040643] - octeontx2-pf: Reduce minimum mtu size to 60 (Michal Schmidt) [2040643] - octeontx2-af: Set NIX link credits based on max LMAC (Michal Schmidt) [2040643] - octeontx2-af: Fixes static warnings (Michal Schmidt) [2040643] - octeontx2-af: Limit link bringup time at firmware (Michal Schmidt) [2040643] - octeontx2-af: returning uninitialized variable (Michal Schmidt) [2040643] - octeontx2-af: Remove duplicate include (Michal Schmidt) [2040643] - octeontx2-af: Skip CGX/RPM probe incase of zero lmac count (Michal Schmidt) [2040643] - octeontx2-af: Enable Exact match flag in kex profile (Michal Schmidt) [2040643] - octeontx2-pf: Add support for exact match table. (Michal Schmidt) [2040643] - octeontx2-af: Invoke exact match functions if supported (Michal Schmidt) [2040643] - octeontx2-af: Wrapper functions for MAC addr add/del/update/reset (Michal Schmidt) [2040643] - octeontx2: Modify mbox request and response structures (Michal Schmidt) [2040643] - octeontx2-af: Debugsfs support for exact match. (Michal Schmidt) [2040643] - octeontx2-af: Drop rules for NPC MCAM (Michal Schmidt) [2040643] - octeontx2-af: FLR handler for exact match table. (Michal Schmidt) [2040643] - octeontx2-af: devlink configuration support (Michal Schmidt) [2040643] - octeontx2-af: Exact match scan from kex profile (Michal Schmidt) [2040643] - octeontx2-af: Exact match support (Michal Schmidt) [2040643] - octeontx2-af: Use hashed field in MCAM key (Michal Schmidt) [2040643] - octeontx2-af: Don't reset previous pfc config (Michal Schmidt) [2040643] - octeontx2-af: fix operand size in bitwise operation (Michal Schmidt) [2040643] - marvell/octeontx2/af: fix repeated words in comments (Michal Schmidt) [2040643] - octeontx2-pf: Fix UDP/TCP src and dst port tc filters (Michal Schmidt) [2040643] - octeontx2-pf: cn10k: Fix egress ratelimit configuration (Michal Schmidt) [2040643] - octeontx2-vf: Add support for adaptive interrupt coalescing (Michal Schmidt) [2040643] - octeontx2-pf: replace bitmap_weight with bitmap_empty where appropriate (Michal Schmidt) [2040643] - octeontx2-af: fix error code in is_valid_offset() (Michal Schmidt) [2040643] - octeontx2-pf: Add support for adaptive interrupt coalescing (Michal Schmidt) [2040643] - octeontx2-pf: Use memset_startat() helper in otx2_stop() (Michal Schmidt) [2040643] - octeontx2-pf: Remove unnecessary synchronize_irq() before free_irq() (Michal Schmidt) [2040643] - octeontx2-af: debugfs: fix error return of allocations (Michal Schmidt) [2040643] - octeontx2-af: initialize action variable (Michal Schmidt) [2040643] - octeontx2-af: cn10k: add workaround for ptp errata (Michal Schmidt) [2040643] - octeontx2-pf: cn10k: add support for new ptp timestamp format (Michal Schmidt) [2040643] - octeontx2-af: fix array bound error (Michal Schmidt) [2040643] - octeontx2-pf: Add TC feature for VFs (Michal Schmidt) [2040643] - octeontx2-pf: PFC config support with DCBx (Michal Schmidt) [2040643] - octeontx2-af: Flow control resource management (Michal Schmidt) [2040643] - octeontx2-af: Priority flow control configuration support (Michal Schmidt) [2040643] - octeontx2-af: Don't enable Pause frames by default (Michal Schmidt) [2040643] - octeontx2-pf: Change receive buffer size using ethtool (Michal Schmidt) [2040643] - octeontx2: Replace zero-length arrays with flexible-array members (Michal Schmidt) [2040643] - octeontx2-af: Add KPU changes to parse NGIO as separate layer (Michal Schmidt) [2040643] - octeontx2-pf: Forward error codes to VF (Michal Schmidt) [2040643] - octeontx2-af: cn10k: Do not enable RPM loopback for LPC interfaces (Michal Schmidt) [2040643] - octeontx2-af: Increase link credit restore polling timeout (Michal Schmidt) [2040643] - octeontx2-pf: cn10k: Ensure valid pointers are freed to aura (Michal Schmidt) [2040643] - octeontx2-af: cn10k: Use appropriate register for LMAC enable (Michal Schmidt) [2040643] - octeontx2-af: Retry until RVU block reset complete (Michal Schmidt) [2040643] - octeontx2-af: Fix LBK backpressure id count (Michal Schmidt) [2040643] - octeontx2-af: Do not fixup all VF action entries (Michal Schmidt) [2040643] - octeontx2-af: Fix interrupt name strings (Michal Schmidt) [2040643] - octeontx2-nicvf: Free VF PTP resources. (Michal Schmidt) [2040643] - octeontx2-af: Increment ptp refcount before use (Michal Schmidt) [2040643] - octeontx2-af: Fix a memleak bug in rvu_mbox_init() (Michal Schmidt) [2040643] - octeontx2-af: debugfs: don't corrupt user memory (Michal Schmidt) [2040643] - octeontx2-nicvf: fix ioctl callback (Michal Schmidt) [2040643] - octeontx2-pf: select CONFIG_NET_DEVLINK (Michal Schmidt) [2040643] - octeontx2-af: use swap() to make code cleaner (Michal Schmidt) [2040643] - octeontx2-af: Fix possible null pointer dereference. (Michal Schmidt) [2040643] - octeontx2-af: Display all enabled PF VF rsrc_alloc entries. (Michal Schmidt) [2040643] - octeontx2-af: Check whether ipolicers exists (Michal Schmidt) [2040643] - octeontx2-af: debugfs: Add channel and channel mask. (Michal Schmidt) [2040643] - octeontx2-af: cn10k: debugfs for dumping LMTST map table (Michal Schmidt) [2040643] - octeontx2-af: debugfs: Minor changes. (Michal Schmidt) [2040643] - octeontx2: net: convert users of bitmap_foo() to linkmode_foo() (Michal Schmidt) [2040643] - octeontx2-af: Increase number of reserved entries in KPU (Michal Schmidt) [2040643] - octeontx2-nic: fix mixed module build (Michal Schmidt) [2040643] - octeontx2-af: Add support to flush full CPT CTX cache (Michal Schmidt) [2040643] - octeontx2-af: Perform cpt lf teardown in non FLR path (Michal Schmidt) [2040643] - octeontx2-af: Enable CPT HW interrupts (Michal Schmidt) [2040643] - octeontx2-pf: Simplify the receive buffer size calculation (Michal Schmidt) [2040643] - ethernet: Remove redundant 'flush_workqueue()' calls (Michal Schmidt) [2040643] - octeontx2: use eth_hw_addr_set() for dev->addr_len cases (Michal Schmidt) [2040643] - octeontx2-af: Remove redundant initialization of variable pin (Michal Schmidt) [2040643] - octeontx2: bpf: Let bpf_warn_invalid_xdp_action() report more info (Michal Schmidt) [2040643] - octeontx2-pf: Add XDP support to netdev PF (Michal Schmidt) [2040643] - octeontx2-af: Adjust LA pointer for cpt parse header (Michal Schmidt) [2040643] - octeontx2-nicvf: Add PTP hardware clock support to NIX VF (Michal Schmidt) [2040643] - octeontx2-pf: Enable promisc/allmulti match MCAM entries. (Michal Schmidt) [2040643] - octeontx2-pf: Use hardware register for CQE count (Michal Schmidt) [2040643] - octeontx2-af: Add external ptp input clock (Michal Schmidt) [2040643] - octeontx2-af: Use ptp input clock info from firmware data (Michal Schmidt) [2040643] - octeontx2-af: cn10k: RPM hardware timestamp configuration (Michal Schmidt) [2040643] - octeontx2-af: Reset PTP config in FLR handler (Michal Schmidt) [2040643] - octeontx2-af: Optimize KPU1 processing for variable-length headers (Michal Schmidt) [2040643] - octeontx2-af: Limit KPU parsing for GTPU packets (Michal Schmidt) [2040643] - octeontx2-af: verify CQ context updates (Michal Schmidt) [2040643] - octeontx2-af: Remove redundant initialization of variable blkaddr (Michal Schmidt) [2040643] - octeontx2-af: Fix uninitialized variable val (Michal Schmidt) [2040643] - octeontx2-af: Hardware configuration for inline IPsec (Michal Schmidt) [2040643] - octeontx2-pf: CN10K: Hide RPM stats over ethtool (Michal Schmidt) [2040643] - octeontx2-af: Fix some memory leaks in the error handling path of 'cgx_lmac_init()' (Michal Schmidt) [2040643] - octeontx2-af: Add a 'rvu_free_bitmap()' function (Michal Schmidt) [2040643] - octeontx2-pf: cn10K: Reserve LMTST lines per core (Michal Schmidt) [2040643] - octeontx2-af: Add additional register check to rvu_poll_reg() (Michal Schmidt) [2040643] - octeontx2-af: Set proper errorcode for IPv4 checksum errors (Michal Schmidt) [2040643] - octeontx2-af: Fix static code analyzer reported issues (Michal Schmidt) [2040643] - octeontx2-af: Fix mailbox errors in nix_rss_flowkey_cfg (Michal Schmidt) [2040643] - octeontx2-af: Fix loop in free and unmap counter (Michal Schmidt) [2040643] - octeontx2-af: Use NDC TX for transmit packet data (Michal Schmidt) [2040643] - octeontx2-pf: Add vlan-etype to ntuple filters (Michal Schmidt) [2040643] - octeontx2-af: Fix inconsistent license text (Michal Schmidt) [2040643] - octeontx2-pf: Fix inconsistent license text (Michal Schmidt) [2040643] - octeontx2-af: cn10K: support for sched lmtst and other features (Michal Schmidt) [2040643] - octeontx2-pf: cn10k: Fix error return code in otx2_set_flowkey_cfg() (Michal Schmidt) [2040643] - octeontx2-af: Add mbox to retrieve bandwidth profile free count (Michal Schmidt) [2040643] - octeontx2-af: Remove channel verification while installing MCAM rules (Michal Schmidt) [2040643] - octeontx2-af: Add PTP device id for CN10K and 95O silcons (Michal Schmidt) [2040643] - octeontx2-af: Add free rsrc count mbox msg (Michal Schmidt) [2040643] - octeontx2-af: Add SDP interface support (Michal Schmidt) [2040643] - octeontx2-af: nix and lbk in loop mode in 98xx (Michal Schmidt) [2040643] - octeontx2-pf: cleanup transmit link deriving logic (Michal Schmidt) [2040643] - octeontx2-af: Allow to configure flow tag LSB byte as RSS adder (Michal Schmidt) [2040643] - octeontx2-af: enable tx shaping feature for 96xx C0 (Michal Schmidt) [2040643] - octeontx2-af: Wait for TX link idle for credits change (Michal Schmidt) [2040643] - octeontx2-af: Change the order of queue work and interrupt disable (Michal Schmidt) [2040643] - octeontx2-af: cn10k: Set cache lines for NPA batch alloc (Michal Schmidt) [2040643] - octeontx2-af: cn10k: Use FLIT0 register instead of FLIT1 (Michal Schmidt) [2040643] - octeontx2-pf: Fix algorithm index in MCAM rules with RSS action (Michal Schmidt) [2040643] - octeontx2-pf: Don't install VLAN offload rule if netdev is down (Michal Schmidt) [2040643] - octeontx2-af: Check capability flag while freeing ipolicer memory (Michal Schmidt) [2040643] - octeontx2-af: Use DMA_ATTR_FORCE_CONTIGUOUS attribute in DMA alloc (Michal Schmidt) [2040643] - octeontx2-pf: send correct vlan priority mask to npc_install_flow_req (Michal Schmidt) [2040643] - octeontx2-pf: Don't mask out supported link modes (Michal Schmidt) [2040643] - octeontx2-af: Handle return value in block reset. (Michal Schmidt) [2040643] - octeontx2-af: cn10k: Fix SDP base channel number (Michal Schmidt) [2040643] - octeontx2-pf: Fix NIX1_RX interface backpressure (Michal Schmidt) [2040643] - octeontx2-pf: Add check for non zero mcam flows (Michal Schmidt) [2040643] - octeontx2-af: remove redudant second error check on variable err (Michal Schmidt) [2040643] - octeontx2-pf: Allow VLAN priority also in ntuple filters (Michal Schmidt) [2040643] - octeontx2-af: configure npc for cn10k to allow packets from cpt (Michal Schmidt) [2040643] - octeontx2-af: cn10K: Get NPC counters value (Michal Schmidt) [2040643] - octeontx2-af: Allocate low priority entries for PF (Michal Schmidt) [2040643] - octeontx2: Move devlink registration to be last devlink command (part 2) (Michal Schmidt) [2040643] - octeontx2: otx2_devlink: Make devlink_register to be void (Michal Schmidt) [2040643] - octeontx2-pf: devlink params support to set mcam entry count (Michal Schmidt) [2040643] - octeontx2-pf: Unify flow management variables (Michal Schmidt) [2040643] - octeontx2-pf: Sort the allocated MCAM entry indices (Michal Schmidt) [2040643] - octeontx2-pf: Ntuple filters support for VF netdev (Michal Schmidt) [2040643] - octeontx2-pf: Enable NETIF_F_RXALL support for VF driver (Michal Schmidt) [2040643] - octeontx2-af: Add debug messages for failures (Michal Schmidt) [2040643] - octeontx2-af: add proper return codes for AF mailbox handlers (Michal Schmidt) [2040643] - octeontx2-af: Modify install flow error codes (Michal Schmidt) [2040643] - octeontx2-af: Fix spelling mistake "Makesure" -> "Make sure" (Michal Schmidt) [2040643] - octeontx2-pf: cn10k: Config DWRR weight based on MTU (Michal Schmidt) [2040643] - octeontx2: Move devlink registration to be last devlink command (part 1) (Michal Schmidt) [2040643] - octeontx2-af: cn10k: DWRR MTU configuration (Michal Schmidt) [2040643] - octeontx2-af: Enhance mailbox trace entry (Michal Schmidt) [2040643] Resolves: rhbz#2040643 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-20 14:21:34 +00:00
# CONFIG_NDC_DIS_DYNAMIC_CACHING is not set
CONFIG_ND_CLAIM=y
CONFIG_ND_PFN=m
# CONFIG_NET_9P is not set
CONFIG_NET_ACT_BPF=m
# CONFIG_NET_ACT_CONNMARK is not set
CONFIG_NET_ACT_CSUM=m
kernel-5.14.0-104.el9 * Thu Jun 02 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-104.el9] - bonding: fix missed rcu protection (Jonathan Toppins) [2089774] - bonding: do not discard lowest hash bit for non layer3+4 hashing (Jonathan Toppins) [2089774] - bonding: helper macro __ATTR_RO to make code more clear (Jonathan Toppins) [2089774] - bonding: add new option ns_ip6_target (Jonathan Toppins) [1951971] - bonding: add new parameter ns_targets (Jonathan Toppins) [1951971] - bonding: add extra field for bond_opt_value (Jonathan Toppins) [1951971] - Bonding: split bond_handle_vlan from bond_arp_send (Jonathan Toppins) [1951971] - bonding: force carrier update when releasing slave (Jonathan Toppins) [2089774] - bonding: fix data-races around agg_select_timer (Jonathan Toppins) [2089774] - bonding: switch bond_net_exit() to batch mode (Jonathan Toppins) [2089774] - bonding: pair enable_port with slave_arr_updates (Jonathan Toppins) [2089774] - net: bonding: Add support for IPV6 ns/na to balance-alb/balance-tlb mode (Jonathan Toppins) [2089774] - bonding: use rcu_dereference_rtnl when get bonding active slave (Jonathan Toppins) [2089774] - net: bonding: fix bond_xmit_broadcast return value error bug (Jonathan Toppins) [2089774] - Bonding: return HWTSTAMP_FLAG_BONDED_PHC_INDEX to notify user space (Jonathan Toppins) [2019670] - Bonding: force user to add HWTSTAMP_FLAG_BONDED_PHC_INDEX when get/set HWTSTAMP (Jonathan Toppins) [2019670] - bond: pass get_ts_info and SIOC[SG]HWTSTAMP ioctl to active device (Jonathan Toppins) [2019670] - net: bonding: debug: avoid printing debug logs when bond is not notifying peers (Jonathan Toppins) [2089774] - net: remove bond_slave_has_mac_rcu() (Jonathan Toppins) [2089774] - Bonding: add arp_missed_max option (Jonathan Toppins) [1952053] - net: bonding: constify and use dev_addr_set() (Jonathan Toppins) [2089774] - bonding: remove extraneous definitions from bonding.h (Jonathan Toppins) [2089774] - redhat: enable CONFIG_NET_ACT_CTINFO (as a module) (Davide Caratti) [2027894] - selftests: mptcp: add subflow limits test-cases (Paolo Abeni) [2076832] - mptcp: fix subflow accounting on close (Paolo Abeni) [2076832] - scsi: qedi: Remove redundant flush_workqueue() calls (Nilesh Javali) [2046624] - scsi: qedi: Fix SYSFS_FLAG_FW_SEL_BOOT formatting (Nilesh Javali) [2046624] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Nilesh Javali) [2046624] - scsi: qedi: Remove set but unused 'page' variable (Nilesh Javali) [2046624] - scsi: qedi: Fix error codes in qedi_alloc_global_queues() (Nilesh Javali) [2046624] - scsi: qedi: Add support for fastpath doorbell recovery (Nilesh Javali) [2046624] Resolves: rhbz#2089774, rhbz#1951971, rhbz#2019670, rhbz#1952053, rhbz#2027894, rhbz#2076832, rhbz#2046624 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-02 09:22:47 +00:00
CONFIG_NET_ACT_CTINFO=m
CONFIG_NET_ACT_CT=m
CONFIG_NET_ACT_GACT=m
# CONFIG_NET_ACT_GATE is not set
# CONFIG_NET_ACT_IFE is not set
# CONFIG_NET_ACT_IPT is not set
CONFIG_NET_ACT_MIRRED=m
CONFIG_NET_ACT_MPLS=m
# CONFIG_NET_ACT_NAT is not set
CONFIG_NET_ACT_PEDIT=m
CONFIG_NET_ACT_POLICE=m
CONFIG_NET_ACT_SAMPLE=m
# CONFIG_NET_ACT_SIMP is not set
CONFIG_NET_ACT_SKBEDIT=m
# CONFIG_NET_ACT_SKBMOD is not set
CONFIG_NET_ACT_TUNNEL_KEY=m
CONFIG_NET_ACT_VLAN=m
CONFIG_NET_CLS_ACT=y
# CONFIG_NET_CLS_BASIC is not set
CONFIG_NET_CLS_BPF=m
CONFIG_NET_CLS_CGROUP=y
CONFIG_NET_CLS_FLOWER=m
CONFIG_NET_CLS_FLOW=m
CONFIG_NET_CLS_FW=m
CONFIG_NET_CLS_MATCHALL=m
# CONFIG_NET_CLS_ROUTE4 is not set
# CONFIG_NET_CLS_RSVP6 is not set
# CONFIG_NET_CLS_RSVP is not set
# CONFIG_NET_CLS_TCINDEX is not set
CONFIG_NET_CLS_U32=m
CONFIG_NET_CLS=y
CONFIG_NETCONSOLE_DYNAMIC=y
CONFIG_NETCONSOLE=m
CONFIG_NET_CORE=y
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_NETDEV_ADDR_LIST_TEST=m
CONFIG_NETDEVICES=y
CONFIG_NET_DEVLINK=y
kernel-5.14.0-123.el9 * Fri Jul 01 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-123.el9] - exec: Force single empty string when argv is empty (Diego Domingos) [2099482] - scsi: ibmvfc: Store vhost pointer during subcrq allocation (Gustavo Walbon) [2098103] - powerpc: Enable execve syscall exit tracepoint (Steve Best) [2095526] - rcu-tasks: Use delayed_work to delay rcu_tasks_verify_self_tests() (Waiman Long) [2094506] - rcu-tasks: Be more patient for RCU Tasks boot-time testing (Waiman Long) [2094506] - redhat/configs: Add new refcnt tracker options (Ivan Vecera) [2096377] - net/sched: cls_u32: fix possible leak in u32_init_knode() (Ivan Vecera) [2096377] - net/sched: cls_u32: fix netns refcount changes in u32_change() (Ivan Vecera) [2096377] - net: add dev->dev_registered_tracker (Ivan Vecera) [2096377] - net/smc: use GFP_ATOMIC allocation in smc_pnet_add_eth() (Ivan Vecera) [2096377] - net/smc: fix ref_tracker issue in smc_pnet_add() (Ivan Vecera) [2096377] - net: refine dev_put()/dev_hold() debugging (Ivan Vecera) [2096377] - ref_tracker: add a count of untracked references (Ivan Vecera) [2096377] - ref_tracker: implement use-after-free detection (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct rpc_xprt (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct gss_auth (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct svc_xprt (Ivan Vecera) [2096377] - net/smc: fix possible NULL deref in smc_pnet_add_eth() (Ivan Vecera) [2096377] - net: bridge: fix net device refcount tracking issue in error path (Ivan Vecera) [2096377] - ref_tracker: use __GFP_NOFAIL more carefully (Ivan Vecera) [2096377] - net: sched: do not allocate a tracker in tcf_exts_init() (Ivan Vecera) [2096377] - af_packet: fix tracking issues in packet_do_bind() (Ivan Vecera) [2096377] - netlink: do not allocate a device refcount tracker in ethnl_default_notify() (Ivan Vecera) [2096377] - net/sched: add missing tracker information in qdisc_create() (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: add netns refcount tracker to masq_dev_work (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: defer conntrack walk to work queue (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: make async masq_inet6_event handling generic (Ivan Vecera) [2096377] - netfilter: nfnetlink: add netns refcount tracker to struct nfulnl_instance (Ivan Vecera) [2096377] - ethtool: always write dev in ethnl_parse_header_dev_get (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct packet_type (Ivan Vecera) [2096377] - ethtool: use ethnl_parse_header_dev_put() (Ivan Vecera) [2096377] - net: dev_replace_track() cleanup (Ivan Vecera) [2096377] - net: linkwatch: be more careful about dev->linkwatch_dev_tracker (Ivan Vecera) [2096377] - mptcp: adjust to use netns refcount tracker (Ivan Vecera) [2096377] - ipv6: use GFP_ATOMIC in rt6_probe() (Ivan Vecera) [2096377] - xfrm: add net device refcount tracker to struct xfrm_state_offload (Ivan Vecera) [2096377] - ethtool: fix null-ptr-deref on ref tracker (Ivan Vecera) [2096377] - ppp: add netns refcount tracker (Ivan Vecera) [2096377] - l2tp: add netns refcount tracker to l2tp_dfs_seq_data (Ivan Vecera) [2096377] - net: sched: add netns refcount tracker to struct tcf_exts (Ivan Vecera) [2096377] - net: add netns refcount tracker to struct seq_net_private (Ivan Vecera) [2096377] - net: add netns refcount tracker to struct sock (Ivan Vecera) [2096377] - net: add networking namespace refcount tracker (Ivan Vecera) [2096377] - xfrm: use net device refcount tracker helpers (Ivan Vecera) [2096377] - net: sched: act_mirred: add net device refcount tracker (Ivan Vecera) [2096377] - openvswitch: add net device refcount tracker to struct vport (Ivan Vecera) [2096377] - netlink: add net device refcount tracker to struct ethnl_req_info (Ivan Vecera) [2096377] - net/smc: add net device tracker to struct smc_pnetentry (Ivan Vecera) [2096377] - pktgen add net device refcount tracker (Ivan Vecera) [2096377] - llc: add net device refcount tracker (Ivan Vecera) [2096377] - ax25: add net device refcount tracker (Ivan Vecera) [2096377] - inet: add net device refcount tracker to struct fib_nh_common (Ivan Vecera) [2096377] - net: switchdev: add net device refcount tracker (Ivan Vecera) [2096377] - net: watchdog: add net device refcount tracker (Ivan Vecera) [2096377] - net: bridge: add net device refcount tracker (Ivan Vecera) [2096377] - vlan: add net device refcount tracker (Ivan Vecera) [2096377] - net: eql: add net device refcount tracker (Ivan Vecera) [2096377] - vrf: use dev_replace_track() for better tracking (Ivan Vecera) [2096377] - netpoll: add net device refcount tracker to struct netpoll (Ivan Vecera) [2096377] - ipmr, ip6mr: add net device refcount tracker to struct vif_device (Ivan Vecera) [2096377] - net: failover: add net device refcount tracker (Ivan Vecera) [2096377] - net: linkwatch: add net device refcount tracker (Ivan Vecera) [2096377] - net/sched: add net device refcount tracker to struct Qdisc (Ivan Vecera) [2096377] - ipv4: add net device refcount tracker to struct in_device (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to struct inet6_dev (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_adjacent (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct neigh_parms (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct pneigh_entry (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct neighbour (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to struct ip6_tnl (Ivan Vecera) [2096377] - sit: add net device refcount tracking to ip_tunnel (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to rt6_probe_deferred() (Ivan Vecera) [2096377] - net: dst: add net device refcount tracking to dst_entry (Ivan Vecera) [2096377] - drop_monitor: add net device refcount tracker (Ivan Vecera) [2096377] - net: add net device refcount tracker to dev_ifsioc() (Ivan Vecera) [2096377] - net: add net device refcount tracker to ethtool_phys_id() (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_queue (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_rx_queue (Ivan Vecera) [2096377] - net: add net device refcount tracker infrastructure (Ivan Vecera) [2096377] - lib: add tests for reference tracker (Ivan Vecera) [2096377] - lib: add reference counting tracking infrastructure (Ivan Vecera) [2096377] - net: drop nopreempt requirement on sock_prot_inuse_add() (Ivan Vecera) [2096377] - net: merge net->core.prot_inuse and net->core.sock_inuse (Ivan Vecera) [2096377] - net: make sock_inuse_add() available (Ivan Vecera) [2096377] - net: inline sock_prot_inuse_add() (Ivan Vecera) [2096377] - netdevice: move xdp_rxq within netdev_rx_queue (Ivan Vecera) [2096377] - nfs: fix broken handling of the softreval mount option (Benjamin Coddington) [2095275] Resolves: rhbz#2099482, rhbz#2098103, rhbz#2095526, rhbz#2094506, rhbz#2096377, rhbz#2095275 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-01 07:45:38 +00:00
CONFIG_NET_DEV_REFCNT_TRACKER=y
CONFIG_NETDEVSIM=m
CONFIG_NET_DROP_MONITOR=y
# CONFIG_NET_DSA is not set
# CONFIG_NET_EMATCH is not set
CONFIG_NET_FAILOVER=m
CONFIG_NET_FC=y
CONFIG_NETFILTER_ADVANCED=y
kernel-5.14.0-201.el9 * Wed Nov 23 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-201.el9] - net: sched: Fix use after free in red_enqueue() (Ivan Vecera) [2139170] - Revert "net/sched: taprio: make qdisc_leaf() see the per-netdev-queue pfifo child qdiscs" (Ivan Vecera) [2139170] - netfilter: egress: Report interface as outgoing (Ivan Vecera) [2139170] - netfilter: egress: avoid a lockdep splat (Ivan Vecera) [2139170] - netfilter: core: Fix clang warnings about unused static inlines (Ivan Vecera) [2139170] - net: sched: act_ct: fix possible refcount leak in tcf_ct_init() (Ivan Vecera) [2139170] - net: sched: fix possible refcount leak in tc_new_tfilter() (Ivan Vecera) [2139170] - net/sched: taprio: make qdisc_leaf() see the per-netdev-queue pfifo child qdiscs (Ivan Vecera) [2139170] - net/sched: taprio: avoid disabling offload when it was never enabled (Ivan Vecera) [2139170] - sch_sfb: Also store skb len before calling child enqueue (Ivan Vecera) [2139170] - sch_sfb: Don't assume the skb is still around after enqueueing to child (Ivan Vecera) [2139170] - net/sched: fix netdevice reference leaks in attach_default_qdiscs() (Ivan Vecera) [2139170] - net: sched: tbf: don't call qdisc_put() while holding tree lock (Ivan Vecera) [2139170] - net_sched: cls_route: disallow handle of 0 (Ivan Vecera) [2139170] - net_sched: cls_route: remove from list when handle is 0 (Ivan Vecera) [2139170] - net/sched: remove hacks added to dev_trans_start() for bonding to work (Ivan Vecera) [2139170] - net: bonding: replace dev_trans_start() with the jiffies of the last ARP/NS (Ivan Vecera) [2139170] - net/sched: sch_cbq: change the type of cbq_set_lss to void (Ivan Vecera) [2139170] - net/sched: flower: Add PPPoE filter (Ivan Vecera) [2139170] - net/sched: cls_api: Fix flow action initialization (Ivan Vecera) [2139170] - net/sched: remove qdisc_root_lock() helper (Ivan Vecera) [2139170] - net/sched: sch_cbq: Delete unused delay_timer (Ivan Vecera) [2139170] - net/sched: remove return value of unregister_tcf_proto_ops (Ivan Vecera) [2139170] - net: extract port range fields from fl_flow_key (Ivan Vecera) [2139170] - netfilter: flowtable: fix incorrect Kconfig dependencies (Ivan Vecera) [2139170] - config: set CONFIG_NF_FLOW_TABLE_PROCFS (Ivan Vecera) [2139170] - netfilter: nf_flow_table: count pending offload workqueue tasks (Ivan Vecera) [2139170] - net/sched: act_ct: set 'net' pointer when creating new nf_flow_table (Ivan Vecera) [2139170] - net: sched: provide shim definitions for taprio_offload_{get,free} (Ivan Vecera) [2139170] - time64.h: consolidate uses of PSEC_PER_NSEC (Ivan Vecera) [2139170] - selftests: tc-testing: Add testcases to test new flush behaviour (Ivan Vecera) [2139170] - net/sched: act_api: Notify user space if any actions were flushed before error (Ivan Vecera) [2139170] - net: Print hashed skb addresses for all net and qdisc events (Ivan Vecera) [2139170] - tc-testing: gitignore, delete plugins directory (Ivan Vecera) [2139170] - net/sched: sch_netem: Fix arithmetic in netem_dump() for 32-bit platforms (Ivan Vecera) [2139170] - net_sched: em_meta: add READ_ONCE() in var_sk_bound_if() (Ivan Vecera) [2139170] - selftests: forwarding: tc_actions: allow mirred egress test to run on non-offloaded h2 (Ivan Vecera) [2139170] - net/sched: flower: Consider the number of tags for vlan filters (Ivan Vecera) [2139170] - net/sched: flower: Add number of vlan tags filter (Ivan Vecera) [2139170] - net/sched: flower: Reduce identation after is_key_vlan refactoring (Ivan Vecera) [2139170] - net/sched: flower: Helper function for vlan ethtype checks (Ivan Vecera) [2139170] - net: sched: support hash selecting tx queue (Ivan Vecera) [2139170] - net: sched: use queue_mapping to pick tx queue (Ivan Vecera) [2139170] - config: set CONFIG_NETFILTER_EGRESS (Ivan Vecera) [2139170] - netfilter: Introduce egress hook (Ivan Vecera) [2139170] - netfilter: Generalize ingress hook include file (Ivan Vecera) [2139170] - netfilter: Rename ingress hook include file (Ivan Vecera) [2139170] - net_sched: make qdisc_reset() smaller (Ivan Vecera) [2139170] - net/sched: flower: Avoid overwriting error messages (Ivan Vecera) [2139170] - net/sched: matchall: Avoid overwriting error messages (Ivan Vecera) [2139170] - net/sched: cls_api: Add extack message for unsupported action offload (Ivan Vecera) [2139170] - net/sched: act_vlan: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_tunnel_key: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_skbedit: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_police: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_pedit: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_mpls: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_mirred: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_gact: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_api: Add extack to offload_act_setup() callback (Ivan Vecera) [2139170] - net/sched: flower: Take verbose flag into account when logging error messages (Ivan Vecera) [2139170] - net/sched: matchall: Take verbose flag into account when logging error messages (Ivan Vecera) [2139170] - gtp: Fix inconsistent indenting (Ivan Vecera) [2139170] - gtp: Add support for checking GTP device type (Ivan Vecera) [2139170] - net/sched: Allow flower to match on GTP options (Ivan Vecera) [2139170] - gtp: Implement GTP echo request (Ivan Vecera) [2139170] - gtp: Implement GTP echo response (Ivan Vecera) [2139170] - gtp: Allow to create GTP device without FDs (Ivan Vecera) [2139170] - net/vdpa: Use readers/writers semaphore instead of cf_mutex (Ivan Vecera) [2143373] - net/vdpa: Use readers/writers semaphore instead of vdpa_dev_mutex (Ivan Vecera) [2143373] - vdpa: Add support for querying vendor statistics (Ivan Vecera) [2143373] - vdpa: Fix error logic in vdpa_nl_cmd_dev_get_doit (Ivan Vecera) [2143373] - vdpa: factor out vdpa_set_features_unlocked for vdpa internal use (Ivan Vecera) [2143373] - sctp: handle the error returned from sctp_auth_asoc_init_active_key (Xin Long) [2134900] - sctp: leave the err path free in sctp_stream_init to sctp_stream_free (Xin Long) [2134900] - sctp: fix sleep in atomic context bug in timer handlers (Xin Long) [2134900] - Documentation: fix sctp_wmem in ip-sysctl.rst (Xin Long) [2134900] - Documentation: add description for net.sctp.ecn_enable (Xin Long) [2134900] - Documentation: add description for net.sctp.intl_enable (Xin Long) [2134900] - Documentation: add description for net.sctp.reconf_enable (Xin Long) [2134900] - stcp: Use memset_after() to zero sctp_stream_out_ext (Xin Long) [2134900] - sctp: read sk->sk_bound_dev_if once in sctp_rcv() (Xin Long) [2134900] - vdpa_sim_blk: add support for discard and write-zeroes (Stefano Garzarella) [2136818] - vdpa_sim_blk: add support for VIRTIO_BLK_T_FLUSH (Stefano Garzarella) [2136818] - vdpa_sim_blk: make vdpasim_blk_check_range usable by other requests (Stefano Garzarella) [2136818] - vdpa_sim_blk: check if sector is 0 for commands other than read or write (Stefano Garzarella) [2136818] - vdpa_sim_blk: call vringh_complete_iotlb() also in the error path (Stefano Garzarella) [2136818] - vdpa_sim_blk: limit the number of request handled per batch (Stefano Garzarella) [2136818] - vdpa_sim_blk: use dev_dbg() to print errors (Stefano Garzarella) [2136818] - vringh: iterate on iotlb_translate to handle large translations (Stefano Garzarella) [2136818] - vringh: Fix loop descriptors check in the indirect cases (Stefano Garzarella) [2136818] - rpminspect: disable kmidiff and abidiff (Frantisek Hrbata) - Update automotive tree for CI (kpet-db) to autosd-rhivos-rt from c9s-rt (bgrech) - xfs: remove all COW fork extents when remounting readonly (Carlos Maiolino) [2125724] - xfs: remove incorrect ASSERT in xfs_rename (Carlos Maiolino) [2125724] - xfs: sync xfs_btree_split macros with userspace libxfs (Carlos Maiolino) [2125724] - xfs: #ifdef out perag code for userspace (Carlos Maiolino) [2125724] - xfs: use swap() to make dabtree code cleaner (Carlos Maiolino) [2125724] - xfs: use swap() to make code cleaner (Carlos Maiolino) [2125724] - xfs: Remove duplicated include in xfs_super (Carlos Maiolino) [2125724] - xfs: remove unused parameter from refcount code (Carlos Maiolino) [2125724] - xfs: reduce the size of struct xfs_extent_free_item (Carlos Maiolino) [2125724] - xfs: rename xfs_bmap_add_free to xfs_free_extent_later (Carlos Maiolino) [2125724] - xfs: create slab caches for frequently-used deferred items (Carlos Maiolino) [2125724] - xfs: compact deferred intent item structures (Carlos Maiolino) [2125724] - xfs: rename _zone variables to _cache (Carlos Maiolino) [2125724] - xfs: remove kmem_zone typedef (Carlos Maiolino) [2125724] - xfs: use separate btree cursor cache for each btree type (Carlos Maiolino) [2125724] - xfs: compute absolute maximum nlevels for each btree type (Carlos Maiolino) [2125724] - xfs: kill XFS_BTREE_MAXLEVELS (Carlos Maiolino) [2125724] - xfs: compute the maximum height of the rmap btree when reflink enabled (Carlos Maiolino) [2125724] - xfs: clean up xfs_btree_{calc_size,compute_maxlevels} (Carlos Maiolino) [2125724] - xfs: compute maximum AG btree height for critical reservation calculation (Carlos Maiolino) [2125724] - xfs: rename m_ag_maxlevels to m_allocbt_maxlevels (Carlos Maiolino) [2125724] - xfs: dynamically allocate cursors based on maxlevels (Carlos Maiolino) [2125724] - xfs: encode the max btree height in the cursor (Carlos Maiolino) [2125724] - xfs: refactor btree cursor allocation function (Carlos Maiolino) [2125724] - xfs: rearrange xfs_btree_cur fields for better packing (Carlos Maiolino) [2125724] - xfs: prepare xfs_btree_cur for dynamic cursor heights (Carlos Maiolino) [2125724] - xfs: dynamically allocate btree scrub context structure (Carlos Maiolino) [2125724] - xfs: don't track firstrec/firstkey separately in xchk_btree (Carlos Maiolino) [2125724] - xfs: reduce the size of nr_ops for refcount btree cursors (Carlos Maiolino) [2125724] - xfs: remove xfs_btree_cur.bc_blocklog (Carlos Maiolino) [2125724] - xfs: fix incorrect decoding in xchk_btree_cur_fsbno (Carlos Maiolino) [2125724] - xfs: fix perag reference leak on iteration race with growfs (Carlos Maiolino) [2125724] - xfs: terminate perag iteration reliably on agcount (Carlos Maiolino) [2125724] - xfs: rename the next_agno perag iteration variable (Carlos Maiolino) [2125724] - xfs: fold perag loop iteration logic into helper function (Carlos Maiolino) [2125724] - xfs: replace snprintf in show functions with sysfs_emit (Carlos Maiolino) [2125724] - xfs: remove the xfs_dqblk_t typedef (Carlos Maiolino) [2125724] - xfs: remove the xfs_dsb_t typedef (Carlos Maiolino) [2125724] - xfs: remove the xfs_dinode_t typedef (Carlos Maiolino) [2125724] - xfs: check that bc_nlevels never overflows (Carlos Maiolino) [2125724] - xfs: stricter btree height checking when scanning for btree roots (Carlos Maiolino) [2125724] - xfs: stricter btree height checking when looking for errors (Carlos Maiolino) [2125724] - xfs: don't allocate scrub contexts on the stack (Carlos Maiolino) [2125724] - xfs: remove xfs_btree_cur_t typedef (Carlos Maiolino) [2125724] - xfs: port the defer ops capture and continue to resource capture (Carlos Maiolino) [2125724] - xfs: formalize the process of holding onto resources across a defer roll (Carlos Maiolino) [2125724] - xfs: Use kvcalloc() instead of kvzalloc() (Carlos Maiolino) [2125724] - perf: Fix pmu_filter_match() (Michael Petlan) [2123229] - perf: Fix lockdep_assert_event_ctx() (Michael Petlan) [2123229] - perf: Add a few assertions (Michael Petlan) [2123229] - perf test: Fix skipping branch stack sampling test (Michael Petlan) [2123229] - tools: Fix math.h breakage (Michael Petlan) [2123229] - perf stat: Fix cpu check to use id.cpu.cpu in aggr_printout() (Michael Petlan) [2123229] - perf list: Fix PMU name pai_crypto in perf list on s390 (Michael Petlan) [2123229] - perf tests stat+csv_output: Include sanity check for topology (Michael Petlan) [2123229] - perf tests stat+json_output: Include sanity check for topology (Michael Petlan) [2123229] - perf inject: Fix GEN_ELF_TEXT_OFFSET for jit (Michael Petlan) [2123229] - perf lock contention: Fix a build error on 32-bit (Michael Petlan) [2123229] - perf build: Fixup disabling of -Wdeprecated-declarations for the python scripting engine (Michael Petlan) [2123229] - perf tests mmap-basic: Remove unused variable to address clang 15 warning (Michael Petlan) [2123229] - perf parse-events: Ignore clang 15 warning about variable set but unused in bison produced code (Michael Petlan) [2123229] - perf tests record: Fail the test if the 'errs' counter is not zero (Michael Petlan) [2123229] - perf test: Fix test case 87 ("perf record tests") for hybrid systems (Michael Petlan) [2123229] - perf arm-spe: augment the data source type with neoverse_spe list (Michael Petlan) [2123229] - perf tests vmlinux-kallsyms: Update is_ignored_symbol function to match the kernel ignored list (Michael Petlan) [2123229] - perf tests powerpc: Fix branch stack sampling test to include sanity check for branch filter (Michael Petlan) [2123229] - perf parse-events: Remove "not supported" hybrid cache events (Michael Petlan) [2123229] - perf print-events: Fix "perf list" can not display the PMU prefix for some hybrid cache events (Michael Petlan) [2123229] - perf tools: Get a perf cgroup more portably in BPF (Michael Petlan) [2123229] - perf tools: Honor namespace when synthesizing build-ids (Michael Petlan) [2123229] - perf kcore_copy: Do not check /proc/modules is unchanged (Michael Petlan) [2123229] - libperf evlist: Fix polling of system-wide events (Michael Petlan) [2123229] - perf record: Fix cpu mask bit setting for mixed mmaps (Michael Petlan) [2123229] - perf test: Skip wp modify test on old kernels (Michael Petlan) [2123229] - perf jit: Include program header in ELF files (Michael Petlan) [2123229] - perf test: Add a new test for perf stat cgroup BPF counter (Michael Petlan) [2123229] - perf stat: Use evsel->core.cpus to iterate cpus in BPF cgroup counters (Michael Petlan) [2123229] - perf stat: Fix cpu map index in bperf cgroup code (Michael Petlan) [2123229] - perf stat: Fix BPF program section name (Michael Petlan) [2123229] - perf record: Fix synthesis failure warnings (Michael Petlan) [2123229] - perf tools: Don't install data files with x permissions (Michael Petlan) [2123229] - perf script: Fix Cannot print 'iregs' field for hybrid systems (Michael Petlan) [2123229] - perf lock: Remove redundant word 'contention' in help message (Michael Petlan) [2123229] - perf dlfilter dlfilter-show-cycles: Fix types for print format (Michael Petlan) [2123229] - perf c2c: Prevent potential memory leak in c2c_he_zalloc() (Michael Petlan) [2123229] - perf genelf: Switch deprecated openssl MD5_* functions to new EVP API (Michael Petlan) [2123229] - tools/perf: Fix out of bound access to cpu mask array (Michael Petlan) [2123229] - perf affinity: Fix out of bound access to "sched_cpus" mask (Michael Petlan) [2123229] - perf stat: Fix L2 Topdown metrics disappear for raw events (Michael Petlan) [2123229] - perf script: Skip dummy event attr check (Michael Petlan) [2123229] - perf metric: Return early if no CPU PMU table exists (Michael Petlan) [2123229] - perf stat: Capitalize topdown metrics' names (Michael Petlan) [2123229] - perf docs: Update the documentation for the save_type filter (Michael Petlan) [2123229] - perf sched: Fix memory leaks in __cmd_record detected with -fsanitize=address (Michael Petlan) [2123229] - perf record: Fix manpage formatting of description of support to hybrid systems (Michael Petlan) [2123229] - perf test: Stat test for repeat with a weak group (Michael Petlan) [2123229] - perf stat: Clear evsel->reset_group for each stat run (Michael Petlan) [2123229] - perf tools: Fix compile error for x86 (Michael Petlan) [2123229] - perf tools: Support reading PERF_FORMAT_LOST (Michael Petlan) [2123229] - libperf: Add a test case for read formats (Michael Petlan) [2123229] - libperf: Handle read format in perf_evsel__read() (Michael Petlan) [2123229] - perf beauty: Update copy of linux/socket.h with the kernel sources (Michael Petlan) [2123229] - perf cpumap: Fix alignment for masks in event encoding (Michael Petlan) [2123229] - perf cpumap: Compute mask size in constant time (Michael Petlan) [2123229] - perf cpumap: Synthetic events and const/static (Michael Petlan) [2123229] - perf cpumap: Const map for max() (Michael Petlan) [2123229] - perf test: Refactor shell tests allowing subdirs (Michael Petlan) [2123229] - perf vendor events: Update events for snowridgex (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for skylakex (Michael Petlan) [2123229] - perf vendor events: Update metrics for sapphirerapids (Michael Petlan) [2123229] - perf vendor events: Update events for knightslanding (Michael Petlan) [2123229] - perf vendor events: Update metrics for jaketown (Michael Petlan) [2123229] - perf vendor events: Update metrics for ivytown (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for icelakex (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for haswellx (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for cascadelakex (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for broadwellx (Michael Petlan) [2123229] - perf vendor events: Update metrics for broadwellde (Michael Petlan) [2123229] - perf jevents: Fold strings optimization (Michael Petlan) [2123229] - perf jevents: Compress the pmu_events_table (Michael Petlan) [2123229] - perf metrics: Copy entire pmu_event in find metric (Michael Petlan) [2123229] - perf pmu-events: Hide the pmu_events (Michael Petlan) [2123229] - perf pmu-events: Don't assume pmu_event is an array (Michael Petlan) [2123229] - perf pmu-events: Move test events/metrics to JSON (Michael Petlan) [2123229] - perf test: Use full metric resolution (Michael Petlan) [2123229] - perf pmu-events: Hide pmu_events_map (Michael Petlan) [2123229] - perf pmu-events: Avoid passing pmu_events_map (Michael Petlan) [2123229] - perf pmu-events: Hide pmu_sys_event_tables (Michael Petlan) [2123229] - perf jevents: Sort JSON files entries (Michael Petlan) [2123229] - perf jevents: Provide path to JSON file on error (Michael Petlan) [2123229] - perf jevents: Remove the type/version variables (Michael Petlan) [2123229] - perf jevent: Add an 'all' architecture argument (Michael Petlan) [2123229] - perf stat: Remove duplicated include in builtin-stat.c (Michael Petlan) [2123229] - perf scripting python: Delete repeated word in comments (Michael Petlan) [2123229] - perf tools: Fix double word in comments (Michael Petlan) [2123229] - perf trace: Fix double word in comments (Michael Petlan) [2123229] - perf script: Delete repeated word "from" (Michael Petlan) [2123229] - perf test: Fix double word in comments (Michael Petlan) [2123229] - perf record: Improve error message of -p not_existing_pid (Michael Petlan) [2123229] - perf build-id: Print debuginfod queries if -v option is used (Michael Petlan) [2123229] - perf build-id: Fix coding style, replace 8 spaces by tabs (Michael Petlan) [2123229] - perf c2c: Update documentation for new display option 'peer' (Michael Petlan) [2123229] - perf c2c: Use 'peer' as default display for Arm64 (Michael Petlan) [2123229] - perf c2c: Sort on peer snooping for load operations (Michael Petlan) [2123229] - perf c2c: Refactor display string (Michael Petlan) [2123229] - perf c2c: Refactor node header (Michael Petlan) [2123229] - perf c2c: Rename dimension from 'percent_hitm' to 'percent_costly_snoop' (Michael Petlan) [2123229] - perf c2c: Use explicit names for display macros (Michael Petlan) [2123229] - perf c2c: Add mean dimensions for peer operations (Michael Petlan) [2123229] - perf c2c: Add dimensions of peer metrics for cache line view (Michael Petlan) [2123229] - perf c2c: Add dimensions for peer load operations (Michael Petlan) [2123229] - perf c2c: Output statistics for peer snooping (Michael Petlan) [2123229] - perf mem: Add statistics for peer snooping (Michael Petlan) [2123229] - perf arm-spe: Use SPE data source for neoverse cores (Michael Petlan) [2123229] - perf mem: Print snoop peer flag (Michael Petlan) [2123229] - perf tools: Sync addition of PERF_MEM_SNOOPX_PEER (Michael Petlan) [2123229] - perf arm64: Add missing -I for tools/arch/arm64/include/ to find asm/sysreg.h when building arm_spe.h (Michael Petlan) [2123229] - perf tools: Tidy guest option documentation (Michael Petlan) [2123229] - perf inject: Fix missing guestmount option documentation (Michael Petlan) [2123229] - perf script: Fix missing guest option documentation (Michael Petlan) [2123229] - perf offcpu: Update offcpu test for child process (Michael Petlan) [2123229] - perf offcpu: Track child processes (Michael Petlan) [2123229] - perf offcpu: Parse process id separately (Michael Petlan) [2123229] - perf offcpu: Check process id for the given workload (Michael Petlan) [2123229] - perf tools: Do not pass NULL to parse_events() (Michael Petlan) [2123229] - perf tests: Fix Track with sched_switch test for hybrid case (Michael Petlan) [2123229] - perf parse-events: Fix segfault when event parser gets an error (Michael Petlan) [2123229] - perf machine: Fix missing free of machine->kallsyms_filename (Michael Petlan) [2123229] - perf script: Fix reference to perf insert instead of perf inject (Michael Petlan) [2123229] - perf sched latency: Fix subcommand matching error (Michael Petlan) [2123229] - perf kvm: Fix subcommand matching error (Michael Petlan) [2123229] - perf probe: Fix an error handling path in 'parse_perf_probe_command()' (Michael Petlan) [2123229] - perf inject jit: Ignore memfd and anonymous mmap events if jitdump present (Michael Petlan) [2123229] - perf list: Add PMU pai_crypto event description for IBM z16 (Michael Petlan) [2123229] - perf vendor events: Remove bad jaketown uncore events (Michael Petlan) [2123229] - perf vendor events: Remove bad ivytown uncore events (Michael Petlan) [2123229] - perf vendor events: Remove bad broadwellde uncore events (Michael Petlan) [2123229] - perf jevents: Add JEVENTS_ARCH make option (Michael Petlan) [2123229] - perf jevents: Simplify generation of C-string (Michael Petlan) [2123229] - perf jevents: Clean up pytype warnings (Michael Petlan) [2123229] - tools build: Switch to new openssl API for test-libcrypto (Michael Petlan) [2123229] - Revert "perf build: Suppress openssl v3 deprecation warnings in libcrypto feature test" (Michael Petlan) [2123229] - perf test: JSON format checking (Michael Petlan) [2123229] - perf stat: Add JSON output option (Michael Petlan) [2123229] - perf stat: Refactor __run_perf_stat() common code (Michael Petlan) [2123229] - perf lock: Print the number of lost entries for BPF (Michael Petlan) [2123229] - perf lock: Add --map-nr-entries option (Michael Petlan) [2123229] - perf lock: Introduce struct lock_contention (Michael Petlan) [2123229] - perf scripting python: Do not build fail on deprecation warnings (Michael Petlan) [2123229] - genelf: Use HAVE_LIBCRYPTO_SUPPORT, not the never defined HAVE_LIBCRYPTO (Michael Petlan) [2123229] - perf build: Suppress openssl v3 deprecation warnings in libcrypto feature test (Michael Petlan) [2123229] - perf parse-events: Break out tracepoint and printing (Michael Petlan) [2123229] - perf parse-events: Don't #define YY_EXTRA_TYPE (Michael Petlan) [2123229] - perf test: Add ARM SPE system wide test (Michael Petlan) [2123229] - perf bpf: Convert legacy map definition to BTF-defined (Michael Petlan) [2123229] - perf lock: Implement cpu and task filters for BPF (Michael Petlan) [2123229] - perf lock: Use BPF for lock contention analysis (Michael Petlan) [2123229] - perf lock: Pass machine pointer to is_lock_function() (Michael Petlan) [2123229] - perf test: Add user space counter reading tests (Michael Petlan) [2123229] - perf test: Remove x86 rdpmc test (Michael Petlan) [2123229] - perf stat: Add topdown metrics in the default perf stat on the hybrid machine (Michael Petlan) [2123229] - perf x86 evlist: Add default hybrid events for perf stat (Michael Petlan) [2123229] - perf evlist: Always use arch_evlist__add_default_attrs() (Michael Petlan) [2123229] - perf evsel: Add arch_evsel__hw_name() (Michael Petlan) [2123229] - perf stat: Revert "perf stat: Add default hybrid events" (Michael Petlan) [2123229] - perf test: Fix test case 95 ("Check branch stack sampling") on s390 and use same event (Michael Petlan) [2123229] - perf vendor events arm64: Arm Cortex-A78C and X1C (Michael Petlan) [2123229] - perf vendor events: Update Intel snowridgex (Michael Petlan) [2123229] - perf vendor events: Update Intel westmereex (Michael Petlan) [2123229] - perf vendor events: Update Intel westmereep-sp (Michael Petlan) [2123229] - perf vendor events: Update Intel westmereep-dp (Michael Petlan) [2123229] - perf vendor events: Update Intel tigerlake (Michael Petlan) [2123229] - perf vendor events: Update Intel skylakex (Michael Petlan) [2123229] - perf vendor events: Update Intel skylake (Michael Petlan) [2123229] - perf vendor events: Update Intel silvermont (Michael Petlan) [2123229] - perf vendor events: Update Intel sapphirerapids (Michael Petlan) [2123229] - perf vendor events: Update Intel sandybridge (Michael Petlan) [2123229] - perf vendor events: Update Intel nehalemex (Michael Petlan) [2123229] - perf vendor events: Update Intel nehalemep (Michael Petlan) [2123229] - perf vendor events: Add Intel meteorlake (Michael Petlan) [2123229] - perf vendor events: Update Intel knightslanding (Michael Petlan) [2123229] - perf vendor events: Update Intel jaketown (Michael Petlan) [2123229] - perf vendor events: Update Intel ivytown (Michael Petlan) [2123229] - perf vendor events: Update Intel ivybridge (Michael Petlan) [2123229] - perf vendor events: Update Intel icelakex (Michael Petlan) [2123229] - perf vendor events: Update Intel icelake (Michael Petlan) [2123229] - perf vendor events: Update Intel haswellx (Michael Petlan) [2123229] - perf vendor events: Update Intel haswell (Michael Petlan) [2123229] - perf vendor events: Update goldmontplus mapfile.csv (Michael Petlan) [2123229] - perf vendor events: Update goldmont mapfile.csv (Michael Petlan) [2123229] - perf vendor events: Update Intel elkhartlake (Michael Petlan) [2123229] - perf vendor events: Update Intel cascadelakex (Michael Petlan) [2123229] - perf vendor events: Update bonnell mapfile.csv (Michael Petlan) [2123229] - perf vendor events: Update Intel alderlake (Michael Petlan) [2123229] - perf vendor events: Update Intel broadwellde (Michael Petlan) [2123229] - perf vendor events: Update Intel broadwell (Michael Petlan) [2123229] - perf vendor events: Update Intel broadwellx (Michael Petlan) [2123229] - perf test: Avoid sysfs state affecting fake events (Michael Petlan) [2123229] - perf vendor events intel: Update event list for haswellx (Michael Petlan) [2123229] - perf vendor events intel: Update event list for broadwellx (Michael Petlan) [2123229] - perf vendor events intel: Update event list for Snowridgex (Michael Petlan) [2123229] - perf vendor events intel: Rename tremontx to snowridgex (Michael Petlan) [2123229] - perf vendor events intel: Update event list for Sapphirerapids (Michael Petlan) [2123229] - perf vendor events intel: Update event list for Alderlake (Michael Petlan) [2123229] - perf inject: Fix spelling mistake "theads" -> "threads" (Michael Petlan) [2123229] - perf kwork: Add workqueue trace BPF support (Michael Petlan) [2123229] - perf kwork: Add softirq trace BPF support (Michael Petlan) [2123229] - perf kwork: Add IRQ trace BPF support (Michael Petlan) [2123229] - perf kwork: Implement BPF trace (Michael Petlan) [2123229] - perf kwork: Implement perf kwork timehist (Michael Petlan) [2123229] - perf kwork: Add workqueue latency support (Michael Petlan) [2123229] - perf kwork: Add softirq latency support (Michael Petlan) [2123229] - perf kwork: Implement perf kwork latency (Michael Petlan) [2123229] - perf kwork: Add workqueue report support (Michael Petlan) [2123229] - perf kwork: Add softirq report support (Michael Petlan) [2123229] - perf kwork: Add irq report support (Michael Petlan) [2123229] - perf kwork: Implement 'report' subcommand (Michael Petlan) [2123229] - tools lib: Add list_last_entry_or_null() (Michael Petlan) [2123229] - perf kwork: Add workqueue kwork record support (Michael Petlan) [2123229] - perf kwork: Add softirq kwork record support (Michael Petlan) [2123229] - perf kwork: Add irq kwork record support (Michael Petlan) [2123229] - perf kwork: New tool to trace time properties of kernel work (such as softirq, and workqueue) (Michael Petlan) [2123229] - perf data: Add missing unistd.h header needed for pid_t (Michael Petlan) [2123229] - perf lock: Support -t option for 'contention' subcommand (Michael Petlan) [2123229] - perf lock: Add -k and -F options to 'contention' subcommand (Michael Petlan) [2123229] - perf lock: Add 'contention' subcommand (Michael Petlan) [2123229] - perf lock: Add lock aggregation enum (Michael Petlan) [2123229] - perf lock: Add flags field in the lock_stat (Michael Petlan) [2123229] - perf test: Add test for #system_tsc_freq in metrics (Michael Petlan) [2123229] - perf tsc: Add cpuinfo fall back for arch_get_tsc_freq() (Michael Petlan) [2123229] - perf tsc: Add arch TSC frequency information (Michael Petlan) [2123229] - perf lock: Fix a copy-n-paste bug (Michael Petlan) [2123229] - perf python: Ignore unused command line arguments when building with clang (Michael Petlan) [2123229] - perf build: Avoid defining _FORTIFY_SOURCE multiple times (Michael Petlan) [2123229] - perf cs-etm: Fix duplicated 'the' in comment (Michael Petlan) [2123229] - perf probe: Fix duplicated 'the' in comment (Michael Petlan) [2123229] - perf scripting perl: Ignore some warnings to keep building with perl headers (Michael Petlan) [2123229] - perf python: Avoid deprecation warning on distutils (Michael Petlan) [2123229] - perf gtk: Only support --gtk if compiled in (Michael Petlan) [2123229] - perf intel-pt: Add documentation for tracing guest machine user space (Michael Petlan) [2123229] - perf intel-pt: Use guest pid/tid etc in guest samples (Michael Petlan) [2123229] - perf intel-pt: Add machine_pid and vcpu to auxtrace_error (Michael Petlan) [2123229] - perf intel-pt: Determine guest thread from guest sideband (Michael Petlan) [2123229] - perf intel-pt: Disable sync switch with guest sideband (Michael Petlan) [2123229] - perf intel-pt: Track guest context switches (Michael Petlan) [2123229] - perf intel-pt: Add some more logging to intel_pt_walk_next_insn() (Michael Petlan) [2123229] - perf intel-pt: Remove guest_machine_pid (Michael Petlan) [2123229] - perf tools: Add perf_event__is_guest() (Michael Petlan) [2123229] - perf tools: Handle injected guest kernel mmap event (Michael Petlan) [2123229] - perf machine: Use realloc_array_as_needed() in machine__set_current_tid() (Michael Petlan) [2123229] - perf inject: Add support for injecting guest sideband events (Michael Petlan) [2123229] - perf tools: Add reallocarray_as_needed() (Michael Petlan) [2123229] - perf tools: Automatically use guest kcore_dir if present (Michael Petlan) [2123229] - perf tools: Make has_kcore_dir() work also for guest kcore_dir (Michael Petlan) [2123229] - perf tools: Remove also guest kcore_dir with host kcore_dir (Michael Petlan) [2123229] - perf script python: intel-pt-events: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf script python: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf auxtrace: Add machine_pid and vcpu to auxtrace_error (Michael Petlan) [2123229] - perf dlfilter: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf script: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf session: Use sample->machine_pid to find guest machine (Michael Petlan) [2123229] - perf tools: Add machine_pid and vcpu to perf_sample (Michael Petlan) [2123229] - perf tools: Add guest_cpu to hypervisor threads (Michael Petlan) [2123229] - perf session: Create guest machines from id_index (Michael Petlan) [2123229] - perf tools: Add machine_pid and vcpu to id_index (Michael Petlan) [2123229] - perf buildid-cache: Do not require purge files to also be in the file system (Michael Petlan) [2123229] - perf buildid-cache: Add guestmount'd files to the build ID cache (Michael Petlan) [2123229] - perf script: Add --dump-unsorted-raw-trace option (Michael Petlan) [2123229] - perf tools: Add perf_event__synthesize_id_sample() (Michael Petlan) [2123229] - perf tools: Factor out evsel__id_hdr_size() (Michael Petlan) [2123229] - perf tools: Export perf_event__process_finished_round() (Michael Petlan) [2123229] - perf ordered_events: Add ordered_events__last_flush_time() (Michael Petlan) [2123229] - perf tools: Export dsos__for_each_with_build_id() (Michael Petlan) [2123229] - perf tools: Fix dso_id inode generation comparison (Michael Petlan) [2123229] - perf buildid-list: Add a "-m" option to show kernel and modules build-ids (Michael Petlan) [2123229] - perf lock: Look up callchain for the contended locks (Michael Petlan) [2123229] - perf record: Allow to specify max stack depth of fp callchain (Michael Petlan) [2123229] - perf lock: Handle lock contention tracepoints (Michael Petlan) [2123229] - perf lock: Add lock contention tracepoints record support (Michael Petlan) [2123229] - perf lock: Skip print_bad_events() if nothing bad (Michael Petlan) [2123229] - perf lock: Allow to use different kernel symbols (Michael Petlan) [2123229] - perf lock: Print wait times with unit (Michael Petlan) [2123229] - perf test: Add test for branch stack sampling (Michael Petlan) [2123229] - perf test: Make all metrics test more tolerant (Michael Petlan) [2123229] - perf test: Add debug line to diagnose broken metrics (Michael Petlan) [2123229] - perf jevents: Remove jevents.c (Michael Petlan) [2123229] - perf jevents: Switch build to use jevents.py (Michael Petlan) [2123229] - perf jevents: Add python converter script (Michael Petlan) [2123229] - perf python: Prefer python3 (Michael Petlan) [2123229] - perf script ibs: Support new IBS bits in raw trace dump (Michael Petlan) [2123229] - perf tool ibs: Sync AMD IBS header file (Michael Petlan) [2123229] - perf header: Record non-CPU PMU capabilities (Michael Petlan) [2123229] - perf header: Store PMU caps in an array of strings (Michael Petlan) [2123229] - perf header: Pass "cpu" pmu name while printing caps (Michael Petlan) [2123229] - perf pmu: Parse pmu caps sysfs only once (Michael Petlan) [2123229] - perf record ibs: Warn about sampling period skew (Michael Petlan) [2123229] - perf script: Add some missing event dumps (Michael Petlan) [2123229] - perf record: Add finished init event (Michael Petlan) [2123229] - perf record: Add new option to sample identifier (Michael Petlan) [2123229] - perf record: Always record id index (Michael Petlan) [2123229] - perf record: Always get text_poke events with --kcore option (Michael Petlan) [2123229] - perf data convert: Prefer sampled CPU when exporting JSON (Michael Petlan) [2123229] - uapi: asm-generic: fcntl: Fix typo 'the the' in comment (Michael Petlan) [2123229] - perf/core: Fix reentry problem in perf_output_read_group() (Michael Petlan) [2123229] - perf/x86/intel: Fix unchecked MSR access error for Alder Lake N (Michael Petlan) [2123229] - perf/x86/intel/uncore: Fix broken read_counter() for SNB IMC PMU (Michael Petlan) [2123229] - perf/x86/intel: Fix pebs event constraints for ADL (Michael Petlan) [2123229] - perf/x86/intel/ds: Fix precise store latency handling (Michael Petlan) [2123229] - perf/x86/core: Set pebs_capable and PMU_FL_PEBS_ALL for the Baseline (Michael Petlan) [2123229] - perf/x86/lbr: Enable the branch type for the Arch LBR by default (Michael Petlan) [2123229] - perf/core: Fix ';;' typo (Michael Petlan) [2123229] - powerpc/perf: Include caps feature for power10 DD1 version (Michael Petlan) [2123229] - powerpc/perf: Use PVR rather than oprofile field to determine CPU version (Michael Petlan) [2123229] - docs: ABI: sysfs-bus-event_source-devices: Document sysfs caps entry for PMU (Michael Petlan) [2123229] - powerpc/perf: Add support for caps under sysfs in powerpc (Michael Petlan) [2123229] - powerpc/perf: Add __init attribute to eligible functions (Michael Petlan) [2123229] - powerpc/perf: Give generic PMU a nice name (Michael Petlan) [2123229] - powerpc/perf: Update MMCR2 to support event exclude_idle (Michael Petlan) [2123229] - x86: events: Do not return bogus capabilities if PMU is broken (Michael Petlan) [2123229] - perf/x86/intel: Fix the comment about guest LBR support on KVM (Michael Petlan) [2123229] - perf/x86/intel: Fix PEBS data source encoding for ADL (Michael Petlan) [2123229] - perf/x86/intel: Fix PEBS memory access info encoding for ADL (Michael Petlan) [2123229] - perf/x86/amd/uncore: Add PerfMonV2 RDPMC assignments (Michael Petlan) [2123229] - perf/x86/amd/uncore: Add PerfMonV2 DF event format (Michael Petlan) [2123229] - perf/x86/amd/uncore: Detect available DF counters (Michael Petlan) [2123229] - perf/x86/amd/uncore: Use attr_update for format attributes (Michael Petlan) [2123229] - perf/x86/amd/uncore: Use dynamic events array (Michael Petlan) [2123229] - x86/events/intel/ds: Enable large PEBS for PERF_SAMPLE_WEIGHT_TYPE (Michael Petlan) [2123229] - fuse: fix readdir cache race (Miklos Szeredi) [2142657] - gen_compile_commands: fix missing 'sys' package (Íñigo Huguet) [2136526] - gen_compile_commands: extract compiler command from a series of commands (Íñigo Huguet) [2136526] Resolves: rhbz#2139170, rhbz#2143373, rhbz#2134900, rhbz#2136818, rhbz#2125724, rhbz#2123229, rhbz#2142657, rhbz#2136526 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-23 07:51:21 +00:00
CONFIG_NETFILTER_EGRESS=y
CONFIG_NETFILTER_INGRESS=y
# CONFIG_NETFILTER_NETLINK_ACCT is not set
CONFIG_NETFILTER_NETLINK_GLUE_CT=y
CONFIG_NETFILTER_NETLINK_HOOK=m
CONFIG_NETFILTER_NETLINK_LOG=m
CONFIG_NETFILTER_NETLINK=m
CONFIG_NETFILTER_NETLINK_OSF=m
CONFIG_NETFILTER_NETLINK_QUEUE=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_NETFILTER_XTABLES_COMPAT is not set
CONFIG_NETFILTER_XTABLES=y
CONFIG_NETFILTER_XT_CONNMARK=m
CONFIG_NETFILTER_XT_MARK=m
CONFIG_NETFILTER_XT_MATCH_ADDRTYPE=m
CONFIG_NETFILTER_XT_MATCH_BPF=m
CONFIG_NETFILTER_XT_MATCH_CGROUP=m
CONFIG_NETFILTER_XT_MATCH_CLUSTER=m
CONFIG_NETFILTER_XT_MATCH_COMMENT=m
CONFIG_NETFILTER_XT_MATCH_CONNBYTES=m
CONFIG_NETFILTER_XT_MATCH_CONNLABEL=m
CONFIG_NETFILTER_XT_MATCH_CONNLIMIT=m
CONFIG_NETFILTER_XT_MATCH_CONNMARK=m
CONFIG_NETFILTER_XT_MATCH_CONNTRACK=m
CONFIG_NETFILTER_XT_MATCH_CPU=m
CONFIG_NETFILTER_XT_MATCH_DCCP=m
CONFIG_NETFILTER_XT_MATCH_DEVGROUP=m
CONFIG_NETFILTER_XT_MATCH_DSCP=m
CONFIG_NETFILTER_XT_MATCH_ECN=m
CONFIG_NETFILTER_XT_MATCH_ESP=m
CONFIG_NETFILTER_XT_MATCH_HASHLIMIT=m
CONFIG_NETFILTER_XT_MATCH_HELPER=m
CONFIG_NETFILTER_XT_MATCH_HL=m
# CONFIG_NETFILTER_XT_MATCH_IPCOMP is not set
CONFIG_NETFILTER_XT_MATCH_IPRANGE=m
CONFIG_NETFILTER_XT_MATCH_IPVS=m
# CONFIG_NETFILTER_XT_MATCH_L2TP is not set
CONFIG_NETFILTER_XT_MATCH_LENGTH=m
CONFIG_NETFILTER_XT_MATCH_LIMIT=m
CONFIG_NETFILTER_XT_MATCH_MAC=m
CONFIG_NETFILTER_XT_MATCH_MARK=m
CONFIG_NETFILTER_XT_MATCH_MULTIPORT=m
# CONFIG_NETFILTER_XT_MATCH_NFACCT is not set
CONFIG_NETFILTER_XT_MATCH_OSF=m
CONFIG_NETFILTER_XT_MATCH_OWNER=m
CONFIG_NETFILTER_XT_MATCH_PHYSDEV=m
CONFIG_NETFILTER_XT_MATCH_PKTTYPE=m
CONFIG_NETFILTER_XT_MATCH_POLICY=m
CONFIG_NETFILTER_XT_MATCH_QUOTA=m
CONFIG_NETFILTER_XT_MATCH_RATEEST=m
CONFIG_NETFILTER_XT_MATCH_REALM=m
CONFIG_NETFILTER_XT_MATCH_RECENT=m
CONFIG_NETFILTER_XT_MATCH_SCTP=m
CONFIG_NETFILTER_XT_MATCH_SOCKET=m
CONFIG_NETFILTER_XT_MATCH_STATE=m
CONFIG_NETFILTER_XT_MATCH_STATISTIC=m
CONFIG_NETFILTER_XT_MATCH_STRING=m
CONFIG_NETFILTER_XT_MATCH_TCPMSS=m
# CONFIG_NETFILTER_XT_MATCH_TIME is not set
# CONFIG_NETFILTER_XT_MATCH_U32 is not set
CONFIG_NETFILTER_XT_SET=m
CONFIG_NETFILTER_XT_TARGET_AUDIT=m
CONFIG_NETFILTER_XT_TARGET_CHECKSUM=m
CONFIG_NETFILTER_XT_TARGET_CLASSIFY=m
CONFIG_NETFILTER_XT_TARGET_CONNMARK=m
CONFIG_NETFILTER_XT_TARGET_CONNSECMARK=m
CONFIG_NETFILTER_XT_TARGET_CT=m
CONFIG_NETFILTER_XT_TARGET_DSCP=m
CONFIG_NETFILTER_XT_TARGET_HMARK=m
CONFIG_NETFILTER_XT_TARGET_IDLETIMER=m
# CONFIG_NETFILTER_XT_TARGET_LED is not set
CONFIG_NETFILTER_XT_TARGET_LOG=m
CONFIG_NETFILTER_XT_TARGET_MARK=m
CONFIG_NETFILTER_XT_TARGET_NFLOG=m
CONFIG_NETFILTER_XT_TARGET_NFQUEUE=m
CONFIG_NETFILTER_XT_TARGET_NOTRACK=m
CONFIG_NETFILTER_XT_TARGET_RATEEST=m
CONFIG_NETFILTER_XT_TARGET_SECMARK=m
CONFIG_NETFILTER_XT_TARGET_TCPMSS=m
CONFIG_NETFILTER_XT_TARGET_TCPOPTSTRIP=m
CONFIG_NETFILTER_XT_TARGET_TEE=m
CONFIG_NETFILTER_XT_TARGET_TPROXY=m
CONFIG_NETFILTER_XT_TARGET_TRACE=m
CONFIG_NETFILTER=y
# CONFIG_NET_FOU_IP_TUNNELS is not set
# CONFIG_NET_FOU is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_NETFS_STATS=y
CONFIG_NETFS_SUPPORT=m
# CONFIG_NET_IFE is not set
CONFIG_NET_IPGRE_BROADCAST=y
CONFIG_NET_IPGRE_DEMUX=m
CONFIG_NET_IPGRE=m
CONFIG_NET_IPIP=m
CONFIG_NET_IPVTI=m
CONFIG_NET_KEY=m
CONFIG_NET_KEY_MIGRATE=y
CONFIG_NET_L3_MASTER_DEV=y
CONFIG_NETLABEL=y
CONFIG_NETLINK_DIAG=y
CONFIG_NET_MPLS_GSO=y
# CONFIG_NET_NCSI is not set
CONFIG_NET_NSH=y
kernel-5.14.0-123.el9 * Fri Jul 01 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-123.el9] - exec: Force single empty string when argv is empty (Diego Domingos) [2099482] - scsi: ibmvfc: Store vhost pointer during subcrq allocation (Gustavo Walbon) [2098103] - powerpc: Enable execve syscall exit tracepoint (Steve Best) [2095526] - rcu-tasks: Use delayed_work to delay rcu_tasks_verify_self_tests() (Waiman Long) [2094506] - rcu-tasks: Be more patient for RCU Tasks boot-time testing (Waiman Long) [2094506] - redhat/configs: Add new refcnt tracker options (Ivan Vecera) [2096377] - net/sched: cls_u32: fix possible leak in u32_init_knode() (Ivan Vecera) [2096377] - net/sched: cls_u32: fix netns refcount changes in u32_change() (Ivan Vecera) [2096377] - net: add dev->dev_registered_tracker (Ivan Vecera) [2096377] - net/smc: use GFP_ATOMIC allocation in smc_pnet_add_eth() (Ivan Vecera) [2096377] - net/smc: fix ref_tracker issue in smc_pnet_add() (Ivan Vecera) [2096377] - net: refine dev_put()/dev_hold() debugging (Ivan Vecera) [2096377] - ref_tracker: add a count of untracked references (Ivan Vecera) [2096377] - ref_tracker: implement use-after-free detection (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct rpc_xprt (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct gss_auth (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct svc_xprt (Ivan Vecera) [2096377] - net/smc: fix possible NULL deref in smc_pnet_add_eth() (Ivan Vecera) [2096377] - net: bridge: fix net device refcount tracking issue in error path (Ivan Vecera) [2096377] - ref_tracker: use __GFP_NOFAIL more carefully (Ivan Vecera) [2096377] - net: sched: do not allocate a tracker in tcf_exts_init() (Ivan Vecera) [2096377] - af_packet: fix tracking issues in packet_do_bind() (Ivan Vecera) [2096377] - netlink: do not allocate a device refcount tracker in ethnl_default_notify() (Ivan Vecera) [2096377] - net/sched: add missing tracker information in qdisc_create() (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: add netns refcount tracker to masq_dev_work (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: defer conntrack walk to work queue (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: make async masq_inet6_event handling generic (Ivan Vecera) [2096377] - netfilter: nfnetlink: add netns refcount tracker to struct nfulnl_instance (Ivan Vecera) [2096377] - ethtool: always write dev in ethnl_parse_header_dev_get (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct packet_type (Ivan Vecera) [2096377] - ethtool: use ethnl_parse_header_dev_put() (Ivan Vecera) [2096377] - net: dev_replace_track() cleanup (Ivan Vecera) [2096377] - net: linkwatch: be more careful about dev->linkwatch_dev_tracker (Ivan Vecera) [2096377] - mptcp: adjust to use netns refcount tracker (Ivan Vecera) [2096377] - ipv6: use GFP_ATOMIC in rt6_probe() (Ivan Vecera) [2096377] - xfrm: add net device refcount tracker to struct xfrm_state_offload (Ivan Vecera) [2096377] - ethtool: fix null-ptr-deref on ref tracker (Ivan Vecera) [2096377] - ppp: add netns refcount tracker (Ivan Vecera) [2096377] - l2tp: add netns refcount tracker to l2tp_dfs_seq_data (Ivan Vecera) [2096377] - net: sched: add netns refcount tracker to struct tcf_exts (Ivan Vecera) [2096377] - net: add netns refcount tracker to struct seq_net_private (Ivan Vecera) [2096377] - net: add netns refcount tracker to struct sock (Ivan Vecera) [2096377] - net: add networking namespace refcount tracker (Ivan Vecera) [2096377] - xfrm: use net device refcount tracker helpers (Ivan Vecera) [2096377] - net: sched: act_mirred: add net device refcount tracker (Ivan Vecera) [2096377] - openvswitch: add net device refcount tracker to struct vport (Ivan Vecera) [2096377] - netlink: add net device refcount tracker to struct ethnl_req_info (Ivan Vecera) [2096377] - net/smc: add net device tracker to struct smc_pnetentry (Ivan Vecera) [2096377] - pktgen add net device refcount tracker (Ivan Vecera) [2096377] - llc: add net device refcount tracker (Ivan Vecera) [2096377] - ax25: add net device refcount tracker (Ivan Vecera) [2096377] - inet: add net device refcount tracker to struct fib_nh_common (Ivan Vecera) [2096377] - net: switchdev: add net device refcount tracker (Ivan Vecera) [2096377] - net: watchdog: add net device refcount tracker (Ivan Vecera) [2096377] - net: bridge: add net device refcount tracker (Ivan Vecera) [2096377] - vlan: add net device refcount tracker (Ivan Vecera) [2096377] - net: eql: add net device refcount tracker (Ivan Vecera) [2096377] - vrf: use dev_replace_track() for better tracking (Ivan Vecera) [2096377] - netpoll: add net device refcount tracker to struct netpoll (Ivan Vecera) [2096377] - ipmr, ip6mr: add net device refcount tracker to struct vif_device (Ivan Vecera) [2096377] - net: failover: add net device refcount tracker (Ivan Vecera) [2096377] - net: linkwatch: add net device refcount tracker (Ivan Vecera) [2096377] - net/sched: add net device refcount tracker to struct Qdisc (Ivan Vecera) [2096377] - ipv4: add net device refcount tracker to struct in_device (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to struct inet6_dev (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_adjacent (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct neigh_parms (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct pneigh_entry (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct neighbour (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to struct ip6_tnl (Ivan Vecera) [2096377] - sit: add net device refcount tracking to ip_tunnel (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to rt6_probe_deferred() (Ivan Vecera) [2096377] - net: dst: add net device refcount tracking to dst_entry (Ivan Vecera) [2096377] - drop_monitor: add net device refcount tracker (Ivan Vecera) [2096377] - net: add net device refcount tracker to dev_ifsioc() (Ivan Vecera) [2096377] - net: add net device refcount tracker to ethtool_phys_id() (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_queue (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_rx_queue (Ivan Vecera) [2096377] - net: add net device refcount tracker infrastructure (Ivan Vecera) [2096377] - lib: add tests for reference tracker (Ivan Vecera) [2096377] - lib: add reference counting tracking infrastructure (Ivan Vecera) [2096377] - net: drop nopreempt requirement on sock_prot_inuse_add() (Ivan Vecera) [2096377] - net: merge net->core.prot_inuse and net->core.sock_inuse (Ivan Vecera) [2096377] - net: make sock_inuse_add() available (Ivan Vecera) [2096377] - net: inline sock_prot_inuse_add() (Ivan Vecera) [2096377] - netdevice: move xdp_rxq within netdev_rx_queue (Ivan Vecera) [2096377] - nfs: fix broken handling of the softreval mount option (Benjamin Coddington) [2095275] Resolves: rhbz#2099482, rhbz#2098103, rhbz#2095526, rhbz#2094506, rhbz#2096377, rhbz#2095275 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-01 07:45:38 +00:00
CONFIG_NET_NS_REFCNT_TRACKER=y
CONFIG_NET_NS=y
CONFIG_NET_PKTGEN=m
CONFIG_NET_POLL_CONTROLLER=y
CONFIG_NET_RX_BUSY_POLL=y
# CONFIG_NET_SB1000 is not set
# CONFIG_NET_SCH_ATM is not set
CONFIG_NET_SCH_CAKE=m
# CONFIG_NET_SCH_CBQ is not set
CONFIG_NET_SCH_CBS=m
# CONFIG_NET_SCH_CHOKE is not set
# CONFIG_NET_SCH_CODEL is not set
CONFIG_NET_SCH_DEFAULT=y
# CONFIG_NET_SCH_DRR is not set
# CONFIG_NET_SCH_DSMARK is not set
CONFIG_NET_SCHED=y
CONFIG_NET_SCH_ETF=m
CONFIG_NET_SCH_ETS=m
CONFIG_NET_SCH_FQ_CODEL=y
CONFIG_NET_SCH_FQ=m
CONFIG_NET_SCH_GRED=m
CONFIG_NET_SCH_HFSC=m
# CONFIG_NET_SCH_HHF is not set
CONFIG_NET_SCH_HTB=m
CONFIG_NET_SCH_INGRESS=m
CONFIG_NET_SCH_MQPRIO=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_NET_SCH_MULTIQ=m
CONFIG_NET_SCH_NETEM=m
# CONFIG_NET_SCH_PIE is not set
# CONFIG_NET_SCH_PLUG is not set
CONFIG_NET_SCH_PRIO=m
# CONFIG_NET_SCH_QFQ is not set
CONFIG_NET_SCH_RED=m
# CONFIG_NET_SCH_SFB is not set
CONFIG_NET_SCH_SFQ=m
# CONFIG_NET_SCH_SKBPRIO is not set
CONFIG_NET_SCH_TAPRIO=m
CONFIG_NET_SCH_TBF=m
# CONFIG_NET_SCH_TEQL is not set
CONFIG_NET_SWITCHDEV=y
CONFIG_NET_TC_SKB_EXT=y
CONFIG_NET_TEAM=m
CONFIG_NET_TEAM_MODE_ACTIVEBACKUP=m
CONFIG_NET_TEAM_MODE_BROADCAST=m
CONFIG_NET_TEAM_MODE_LOADBALANCE=m
CONFIG_NET_TEAM_MODE_RANDOM=m
CONFIG_NET_TEAM_MODE_ROUNDROBIN=m
# CONFIG_NET_TULIP is not set
CONFIG_NET_UDP_TUNNEL=m
# CONFIG_NET_VENDOR_3COM is not set
# CONFIG_NET_VENDOR_ADAPTEC is not set
# CONFIG_NET_VENDOR_AGERE is not set
# CONFIG_NET_VENDOR_ALACRITECH is not set
# CONFIG_NET_VENDOR_ALTEON is not set
CONFIG_NET_VENDOR_AMAZON=y
# CONFIG_NET_VENDOR_AMD is not set
CONFIG_NET_VENDOR_AQUANTIA=y
# CONFIG_NET_VENDOR_ARC is not set
CONFIG_NET_VENDOR_ATHEROS=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_NET_VENDOR_BROADCOM=y
CONFIG_NET_VENDOR_BROCADE=y
# CONFIG_NET_VENDOR_CADENCE is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_NET_VENDOR_CAVIUM is not set
CONFIG_NET_VENDOR_CHELSIO=y
CONFIG_NET_VENDOR_CISCO=y
# CONFIG_NET_VENDOR_CORTINA is not set
CONFIG_NET_VENDOR_DEC=y
# CONFIG_NET_VENDOR_DLINK is not set
CONFIG_NET_VENDOR_EMULEX=y
# CONFIG_NET_VENDOR_EZCHIP is not set
CONFIG_NET_VENDOR_GOOGLE=y
# CONFIG_NET_VENDOR_HUAWEI is not set
# CONFIG_NET_VENDOR_I825XX is not set
CONFIG_NET_VENDOR_IBM=y
CONFIG_NET_VENDOR_INTEL=y
kernel-5.14.0-146.el9 * Tue Aug 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-146.el9] - cpufreq: fix memory leak in sun50i_cpufreq_nvmem_probe (Mark Langsdorf) [2072976] - cpufreq: powernow-k8: Re-order the init checks (Mark Langsdorf) [2072976] - cpufreq: amd-pstate: Add more tracepoint for AMD P-State module (Mark Langsdorf) [2072976] - cpufreq: CPPC: Fix performance/frequency conversion (Mark Langsdorf) [2072976] - cpufreq: unify show() and store() naming and use __ATTR_XX (Mark Langsdorf) [2072976] - cpufreq: longhaul: Replace acpi_bus_get_device() (Mark Langsdorf) [2072976] - cpufreq: Move to_gov_attr_set() to cpufreq.h (Mark Langsdorf) [2072976] - cpufreq: use default_groups in kobj_type (Mark Langsdorf) [2072976] - cpufreq: Fix initialization of min and max frequency QoS requests (Mark Langsdorf) [2072976] - cpufreq: Fix a comment in cpufreq_policy_free (Mark Langsdorf) [2072976] - cpufreq: Fix get_cpu_device() failure in add_cpu_dev_symlink() (Mark Langsdorf) [2072976] - cpufreq: Use CPUFREQ_RELATION_E in DVFS governors (Mark Langsdorf) [2072976] - cpufreq: Introducing CPUFREQ_RELATION_E (Mark Langsdorf) [2072976] - cpufreq: Add an interface to mark inefficient frequencies (Mark Langsdorf) [2072976] - cpufreq: Make policy min/max hard requirements (Mark Langsdorf) [2072976] - PM: EM: Allow skipping inefficient states (Mark Langsdorf) [2072976] - PM: EM: Extend em_perf_domain with a flag field (Mark Langsdorf) [2072976] - PM: EM: Mark inefficient states (Mark Langsdorf) [2072976] - PM: EM: Fix inefficient states detection (Mark Langsdorf) [2072976] - PM: EM: Increase energy calculation precision (Mark Langsdorf) [2072976] - cpufreq: tegra186/tegra194: Handle errors in BPMP response (Mark Langsdorf) [2072976] - cpufreq: remove useless INIT_LIST_HEAD() (Mark Langsdorf) [2072976] - cpufreq: s3c244x: add fallthrough comments for switch (Mark Langsdorf) [2072976] - cpufreq: vexpress: Drop unused variable (Mark Langsdorf) [2072976] - qede: Reduce verbosity of ptp tx timestamp (Manish Chopra) [2080656] - redhat/configs: Enable Marvell OcteonTX2 crypto device (Vladis Dronov) [2042239] - hwrng: cn10k - Enable compile testing (Vladis Dronov) [2042239] - hwrng: cn10k - Make check_rng_health() return an error code (Vladis Dronov) [2042239] - hwrng: cn10k - Optimize cn10k_rng_read() (Vladis Dronov) [2042239] - hwrng: cn10k - Add random number generator support (Vladis Dronov) [2042239] - hwrng: cavium - fix NULL but dereferenced coccicheck error (Vladis Dronov) [2042261] - hwrng: cavium - HW_RANDOM_CAVIUM should depend on ARCH_THUNDER (Vladis Dronov) [2042261] - hwrng: cavium - Check health status while reading random data (Vladis Dronov) [2042261] - crypto: octeontx2 - fix potential null pointer access (Vladis Dronov) [2041740] - crypto: octeontx2 - add firmware version in devlink info (Vladis Dronov) [2041740] - crypto: octeontx2 - simplify the return expression of otx2_cpt_aead_cbc_aes_sha_setkey() (Vladis Dronov) [2041740] - crypto: octeontx2 - fix missing unlock (Vladis Dronov) [2041740] - crypto: octeontx2 - add synchronization between mailbox accesses (Vladis Dronov) [2041740] - crypto: octeontx2 - remove CONFIG_DM_CRYPT check (Vladis Dronov) [2041740] - crypto: octeontx2 - increase CPT HW instruction queue length (Vladis Dronov) [2041740] - crypto: octeontx2 - disable DMA black hole on an DMA fault (Vladis Dronov) [2041740] - crypto: octeontx2 - CN10K CPT to RNM workaround (Vladis Dronov) [2041740] - crypto: octeontx2 - Avoid stack variable overflow (Vladis Dronov) [2041740] - crypto: octeontx2 - prevent underflow in get_cores_bmap() (Vladis Dronov) [2041740] - crypto: octeontx2 - out of bounds access in otx2_cpt_dl_custom_egrp_delete() (Vladis Dronov) [2041740] - crypto: octeontx2 - Use swap() instead of swap_engines() (Vladis Dronov) [2041740] - crypto: octeontx2 - parameters for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - add apis for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - uninitialized variable in kvf_limits_store() (Vladis Dronov) [2041740] - crypto: octeontx2 - use swap() to make code cleaner (Vladis Dronov) [2041740] - crypto: octeontx2 - set assoclen in aead_do_fallback() (Vladis Dronov) [2041740] Resolves: rhbz#2072976, rhbz#2080656, rhbz#2042239, rhbz#2042261, rhbz#2041740 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-16 17:29:33 +00:00
CONFIG_NET_VENDOR_MARVELL=y
# CONFIG_NET_VENDOR_MICREL is not set
# CONFIG_NET_VENDOR_MICROCHIP is not set
# CONFIG_NET_VENDOR_MICROSEMI is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_NET_VENDOR_MICROSOFT=y
CONFIG_NET_VENDOR_MYRI=y
# CONFIG_NET_VENDOR_NATSEMI is not set
# CONFIG_NET_VENDOR_NETERION is not set
CONFIG_NET_VENDOR_NETRONOME=y
# CONFIG_NET_VENDOR_NI is not set
# CONFIG_NET_VENDOR_NVIDIA is not set
CONFIG_NET_VENDOR_OKI=y
# CONFIG_NET_VENDOR_PACKET_ENGINES is not set
CONFIG_NET_VENDOR_PENSANDO=y
CONFIG_NET_VENDOR_QLOGIC=y
# CONFIG_NET_VENDOR_QUALCOMM is not set
# CONFIG_NET_VENDOR_RDC is not set
CONFIG_NET_VENDOR_REALTEK=y
# CONFIG_NET_VENDOR_RENESAS is not set
CONFIG_NET_VENDOR_ROCKER=y
# CONFIG_NET_VENDOR_SAMSUNG is not set
# CONFIG_NET_VENDOR_SEEQ is not set
# CONFIG_NET_VENDOR_SILAN is not set
# CONFIG_NET_VENDOR_SIS is not set
# CONFIG_NET_VENDOR_SMSC is not set
# CONFIG_NET_VENDOR_SOCIONEXT is not set
CONFIG_NET_VENDOR_SOLARFLARE=y
# CONFIG_NET_VENDOR_STMICRO is not set
# CONFIG_NET_VENDOR_SUN is not set
# CONFIG_NET_VENDOR_SYNOPSYS is not set
# CONFIG_NET_VENDOR_TEHUTI is not set
# CONFIG_NET_VENDOR_TI is not set
# CONFIG_NET_VENDOR_VIA is not set
# CONFIG_NET_VENDOR_WIZNET is not set
# CONFIG_NET_VENDOR_XILINX is not set
CONFIG_NET_VRF=m
CONFIG_NETWORK_FILESYSTEMS=y
CONFIG_NETWORK_PHY_TIMESTAMPING=y
CONFIG_NETXEN_NIC=m
CONFIG_NET=y
CONFIG_NEW_LEDS=y
# CONFIG_NFC is not set
CONFIG_NF_CONNTRACK_AMANDA=m
CONFIG_NF_CONNTRACK_BRIDGE=m
CONFIG_NF_CONNTRACK_EVENTS=y
CONFIG_NF_CONNTRACK_FTP=m
CONFIG_NF_CONNTRACK_H323=m
CONFIG_NF_CONNTRACK_IRC=m
CONFIG_NF_CONNTRACK=m
CONFIG_NF_CONNTRACK_MARK=y
CONFIG_NF_CONNTRACK_NETBIOS_NS=m
CONFIG_NF_CONNTRACK_PPTP=m
CONFIG_NF_CONNTRACK_PROCFS=y
CONFIG_NF_CONNTRACK_SANE=m
CONFIG_NF_CONNTRACK_SECMARK=y
CONFIG_NF_CONNTRACK_SIP=m
CONFIG_NF_CONNTRACK_SNMP=m
CONFIG_NF_CONNTRACK_TFTP=m
CONFIG_NF_CONNTRACK_TIMEOUT=y
CONFIG_NF_CONNTRACK_TIMESTAMP=y
CONFIG_NF_CONNTRACK_ZONES=y
CONFIG_NF_CT_NETLINK_HELPER=m
CONFIG_NF_CT_NETLINK=m
CONFIG_NF_CT_NETLINK_TIMEOUT=m
CONFIG_NF_CT_PROTO_DCCP=y
CONFIG_NF_CT_PROTO_SCTP=y
CONFIG_NF_CT_PROTO_UDPLITE=y
CONFIG_NF_DUP_NETDEV=m
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_NF_FLOW_TABLE_INET=m
CONFIG_NF_FLOW_TABLE_IPV4=m
CONFIG_NF_FLOW_TABLE_IPV6=m
CONFIG_NF_FLOW_TABLE=m
kernel-5.14.0-201.el9 * Wed Nov 23 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-201.el9] - net: sched: Fix use after free in red_enqueue() (Ivan Vecera) [2139170] - Revert "net/sched: taprio: make qdisc_leaf() see the per-netdev-queue pfifo child qdiscs" (Ivan Vecera) [2139170] - netfilter: egress: Report interface as outgoing (Ivan Vecera) [2139170] - netfilter: egress: avoid a lockdep splat (Ivan Vecera) [2139170] - netfilter: core: Fix clang warnings about unused static inlines (Ivan Vecera) [2139170] - net: sched: act_ct: fix possible refcount leak in tcf_ct_init() (Ivan Vecera) [2139170] - net: sched: fix possible refcount leak in tc_new_tfilter() (Ivan Vecera) [2139170] - net/sched: taprio: make qdisc_leaf() see the per-netdev-queue pfifo child qdiscs (Ivan Vecera) [2139170] - net/sched: taprio: avoid disabling offload when it was never enabled (Ivan Vecera) [2139170] - sch_sfb: Also store skb len before calling child enqueue (Ivan Vecera) [2139170] - sch_sfb: Don't assume the skb is still around after enqueueing to child (Ivan Vecera) [2139170] - net/sched: fix netdevice reference leaks in attach_default_qdiscs() (Ivan Vecera) [2139170] - net: sched: tbf: don't call qdisc_put() while holding tree lock (Ivan Vecera) [2139170] - net_sched: cls_route: disallow handle of 0 (Ivan Vecera) [2139170] - net_sched: cls_route: remove from list when handle is 0 (Ivan Vecera) [2139170] - net/sched: remove hacks added to dev_trans_start() for bonding to work (Ivan Vecera) [2139170] - net: bonding: replace dev_trans_start() with the jiffies of the last ARP/NS (Ivan Vecera) [2139170] - net/sched: sch_cbq: change the type of cbq_set_lss to void (Ivan Vecera) [2139170] - net/sched: flower: Add PPPoE filter (Ivan Vecera) [2139170] - net/sched: cls_api: Fix flow action initialization (Ivan Vecera) [2139170] - net/sched: remove qdisc_root_lock() helper (Ivan Vecera) [2139170] - net/sched: sch_cbq: Delete unused delay_timer (Ivan Vecera) [2139170] - net/sched: remove return value of unregister_tcf_proto_ops (Ivan Vecera) [2139170] - net: extract port range fields from fl_flow_key (Ivan Vecera) [2139170] - netfilter: flowtable: fix incorrect Kconfig dependencies (Ivan Vecera) [2139170] - config: set CONFIG_NF_FLOW_TABLE_PROCFS (Ivan Vecera) [2139170] - netfilter: nf_flow_table: count pending offload workqueue tasks (Ivan Vecera) [2139170] - net/sched: act_ct: set 'net' pointer when creating new nf_flow_table (Ivan Vecera) [2139170] - net: sched: provide shim definitions for taprio_offload_{get,free} (Ivan Vecera) [2139170] - time64.h: consolidate uses of PSEC_PER_NSEC (Ivan Vecera) [2139170] - selftests: tc-testing: Add testcases to test new flush behaviour (Ivan Vecera) [2139170] - net/sched: act_api: Notify user space if any actions were flushed before error (Ivan Vecera) [2139170] - net: Print hashed skb addresses for all net and qdisc events (Ivan Vecera) [2139170] - tc-testing: gitignore, delete plugins directory (Ivan Vecera) [2139170] - net/sched: sch_netem: Fix arithmetic in netem_dump() for 32-bit platforms (Ivan Vecera) [2139170] - net_sched: em_meta: add READ_ONCE() in var_sk_bound_if() (Ivan Vecera) [2139170] - selftests: forwarding: tc_actions: allow mirred egress test to run on non-offloaded h2 (Ivan Vecera) [2139170] - net/sched: flower: Consider the number of tags for vlan filters (Ivan Vecera) [2139170] - net/sched: flower: Add number of vlan tags filter (Ivan Vecera) [2139170] - net/sched: flower: Reduce identation after is_key_vlan refactoring (Ivan Vecera) [2139170] - net/sched: flower: Helper function for vlan ethtype checks (Ivan Vecera) [2139170] - net: sched: support hash selecting tx queue (Ivan Vecera) [2139170] - net: sched: use queue_mapping to pick tx queue (Ivan Vecera) [2139170] - config: set CONFIG_NETFILTER_EGRESS (Ivan Vecera) [2139170] - netfilter: Introduce egress hook (Ivan Vecera) [2139170] - netfilter: Generalize ingress hook include file (Ivan Vecera) [2139170] - netfilter: Rename ingress hook include file (Ivan Vecera) [2139170] - net_sched: make qdisc_reset() smaller (Ivan Vecera) [2139170] - net/sched: flower: Avoid overwriting error messages (Ivan Vecera) [2139170] - net/sched: matchall: Avoid overwriting error messages (Ivan Vecera) [2139170] - net/sched: cls_api: Add extack message for unsupported action offload (Ivan Vecera) [2139170] - net/sched: act_vlan: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_tunnel_key: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_skbedit: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_police: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_pedit: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_mpls: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_mirred: Add extack message for offload failure (Ivan Vecera) [2139170] - net/sched: act_gact: Add extack messages for offload failure (Ivan Vecera) [2139170] - net/sched: act_api: Add extack to offload_act_setup() callback (Ivan Vecera) [2139170] - net/sched: flower: Take verbose flag into account when logging error messages (Ivan Vecera) [2139170] - net/sched: matchall: Take verbose flag into account when logging error messages (Ivan Vecera) [2139170] - gtp: Fix inconsistent indenting (Ivan Vecera) [2139170] - gtp: Add support for checking GTP device type (Ivan Vecera) [2139170] - net/sched: Allow flower to match on GTP options (Ivan Vecera) [2139170] - gtp: Implement GTP echo request (Ivan Vecera) [2139170] - gtp: Implement GTP echo response (Ivan Vecera) [2139170] - gtp: Allow to create GTP device without FDs (Ivan Vecera) [2139170] - net/vdpa: Use readers/writers semaphore instead of cf_mutex (Ivan Vecera) [2143373] - net/vdpa: Use readers/writers semaphore instead of vdpa_dev_mutex (Ivan Vecera) [2143373] - vdpa: Add support for querying vendor statistics (Ivan Vecera) [2143373] - vdpa: Fix error logic in vdpa_nl_cmd_dev_get_doit (Ivan Vecera) [2143373] - vdpa: factor out vdpa_set_features_unlocked for vdpa internal use (Ivan Vecera) [2143373] - sctp: handle the error returned from sctp_auth_asoc_init_active_key (Xin Long) [2134900] - sctp: leave the err path free in sctp_stream_init to sctp_stream_free (Xin Long) [2134900] - sctp: fix sleep in atomic context bug in timer handlers (Xin Long) [2134900] - Documentation: fix sctp_wmem in ip-sysctl.rst (Xin Long) [2134900] - Documentation: add description for net.sctp.ecn_enable (Xin Long) [2134900] - Documentation: add description for net.sctp.intl_enable (Xin Long) [2134900] - Documentation: add description for net.sctp.reconf_enable (Xin Long) [2134900] - stcp: Use memset_after() to zero sctp_stream_out_ext (Xin Long) [2134900] - sctp: read sk->sk_bound_dev_if once in sctp_rcv() (Xin Long) [2134900] - vdpa_sim_blk: add support for discard and write-zeroes (Stefano Garzarella) [2136818] - vdpa_sim_blk: add support for VIRTIO_BLK_T_FLUSH (Stefano Garzarella) [2136818] - vdpa_sim_blk: make vdpasim_blk_check_range usable by other requests (Stefano Garzarella) [2136818] - vdpa_sim_blk: check if sector is 0 for commands other than read or write (Stefano Garzarella) [2136818] - vdpa_sim_blk: call vringh_complete_iotlb() also in the error path (Stefano Garzarella) [2136818] - vdpa_sim_blk: limit the number of request handled per batch (Stefano Garzarella) [2136818] - vdpa_sim_blk: use dev_dbg() to print errors (Stefano Garzarella) [2136818] - vringh: iterate on iotlb_translate to handle large translations (Stefano Garzarella) [2136818] - vringh: Fix loop descriptors check in the indirect cases (Stefano Garzarella) [2136818] - rpminspect: disable kmidiff and abidiff (Frantisek Hrbata) - Update automotive tree for CI (kpet-db) to autosd-rhivos-rt from c9s-rt (bgrech) - xfs: remove all COW fork extents when remounting readonly (Carlos Maiolino) [2125724] - xfs: remove incorrect ASSERT in xfs_rename (Carlos Maiolino) [2125724] - xfs: sync xfs_btree_split macros with userspace libxfs (Carlos Maiolino) [2125724] - xfs: #ifdef out perag code for userspace (Carlos Maiolino) [2125724] - xfs: use swap() to make dabtree code cleaner (Carlos Maiolino) [2125724] - xfs: use swap() to make code cleaner (Carlos Maiolino) [2125724] - xfs: Remove duplicated include in xfs_super (Carlos Maiolino) [2125724] - xfs: remove unused parameter from refcount code (Carlos Maiolino) [2125724] - xfs: reduce the size of struct xfs_extent_free_item (Carlos Maiolino) [2125724] - xfs: rename xfs_bmap_add_free to xfs_free_extent_later (Carlos Maiolino) [2125724] - xfs: create slab caches for frequently-used deferred items (Carlos Maiolino) [2125724] - xfs: compact deferred intent item structures (Carlos Maiolino) [2125724] - xfs: rename _zone variables to _cache (Carlos Maiolino) [2125724] - xfs: remove kmem_zone typedef (Carlos Maiolino) [2125724] - xfs: use separate btree cursor cache for each btree type (Carlos Maiolino) [2125724] - xfs: compute absolute maximum nlevels for each btree type (Carlos Maiolino) [2125724] - xfs: kill XFS_BTREE_MAXLEVELS (Carlos Maiolino) [2125724] - xfs: compute the maximum height of the rmap btree when reflink enabled (Carlos Maiolino) [2125724] - xfs: clean up xfs_btree_{calc_size,compute_maxlevels} (Carlos Maiolino) [2125724] - xfs: compute maximum AG btree height for critical reservation calculation (Carlos Maiolino) [2125724] - xfs: rename m_ag_maxlevels to m_allocbt_maxlevels (Carlos Maiolino) [2125724] - xfs: dynamically allocate cursors based on maxlevels (Carlos Maiolino) [2125724] - xfs: encode the max btree height in the cursor (Carlos Maiolino) [2125724] - xfs: refactor btree cursor allocation function (Carlos Maiolino) [2125724] - xfs: rearrange xfs_btree_cur fields for better packing (Carlos Maiolino) [2125724] - xfs: prepare xfs_btree_cur for dynamic cursor heights (Carlos Maiolino) [2125724] - xfs: dynamically allocate btree scrub context structure (Carlos Maiolino) [2125724] - xfs: don't track firstrec/firstkey separately in xchk_btree (Carlos Maiolino) [2125724] - xfs: reduce the size of nr_ops for refcount btree cursors (Carlos Maiolino) [2125724] - xfs: remove xfs_btree_cur.bc_blocklog (Carlos Maiolino) [2125724] - xfs: fix incorrect decoding in xchk_btree_cur_fsbno (Carlos Maiolino) [2125724] - xfs: fix perag reference leak on iteration race with growfs (Carlos Maiolino) [2125724] - xfs: terminate perag iteration reliably on agcount (Carlos Maiolino) [2125724] - xfs: rename the next_agno perag iteration variable (Carlos Maiolino) [2125724] - xfs: fold perag loop iteration logic into helper function (Carlos Maiolino) [2125724] - xfs: replace snprintf in show functions with sysfs_emit (Carlos Maiolino) [2125724] - xfs: remove the xfs_dqblk_t typedef (Carlos Maiolino) [2125724] - xfs: remove the xfs_dsb_t typedef (Carlos Maiolino) [2125724] - xfs: remove the xfs_dinode_t typedef (Carlos Maiolino) [2125724] - xfs: check that bc_nlevels never overflows (Carlos Maiolino) [2125724] - xfs: stricter btree height checking when scanning for btree roots (Carlos Maiolino) [2125724] - xfs: stricter btree height checking when looking for errors (Carlos Maiolino) [2125724] - xfs: don't allocate scrub contexts on the stack (Carlos Maiolino) [2125724] - xfs: remove xfs_btree_cur_t typedef (Carlos Maiolino) [2125724] - xfs: port the defer ops capture and continue to resource capture (Carlos Maiolino) [2125724] - xfs: formalize the process of holding onto resources across a defer roll (Carlos Maiolino) [2125724] - xfs: Use kvcalloc() instead of kvzalloc() (Carlos Maiolino) [2125724] - perf: Fix pmu_filter_match() (Michael Petlan) [2123229] - perf: Fix lockdep_assert_event_ctx() (Michael Petlan) [2123229] - perf: Add a few assertions (Michael Petlan) [2123229] - perf test: Fix skipping branch stack sampling test (Michael Petlan) [2123229] - tools: Fix math.h breakage (Michael Petlan) [2123229] - perf stat: Fix cpu check to use id.cpu.cpu in aggr_printout() (Michael Petlan) [2123229] - perf list: Fix PMU name pai_crypto in perf list on s390 (Michael Petlan) [2123229] - perf tests stat+csv_output: Include sanity check for topology (Michael Petlan) [2123229] - perf tests stat+json_output: Include sanity check for topology (Michael Petlan) [2123229] - perf inject: Fix GEN_ELF_TEXT_OFFSET for jit (Michael Petlan) [2123229] - perf lock contention: Fix a build error on 32-bit (Michael Petlan) [2123229] - perf build: Fixup disabling of -Wdeprecated-declarations for the python scripting engine (Michael Petlan) [2123229] - perf tests mmap-basic: Remove unused variable to address clang 15 warning (Michael Petlan) [2123229] - perf parse-events: Ignore clang 15 warning about variable set but unused in bison produced code (Michael Petlan) [2123229] - perf tests record: Fail the test if the 'errs' counter is not zero (Michael Petlan) [2123229] - perf test: Fix test case 87 ("perf record tests") for hybrid systems (Michael Petlan) [2123229] - perf arm-spe: augment the data source type with neoverse_spe list (Michael Petlan) [2123229] - perf tests vmlinux-kallsyms: Update is_ignored_symbol function to match the kernel ignored list (Michael Petlan) [2123229] - perf tests powerpc: Fix branch stack sampling test to include sanity check for branch filter (Michael Petlan) [2123229] - perf parse-events: Remove "not supported" hybrid cache events (Michael Petlan) [2123229] - perf print-events: Fix "perf list" can not display the PMU prefix for some hybrid cache events (Michael Petlan) [2123229] - perf tools: Get a perf cgroup more portably in BPF (Michael Petlan) [2123229] - perf tools: Honor namespace when synthesizing build-ids (Michael Petlan) [2123229] - perf kcore_copy: Do not check /proc/modules is unchanged (Michael Petlan) [2123229] - libperf evlist: Fix polling of system-wide events (Michael Petlan) [2123229] - perf record: Fix cpu mask bit setting for mixed mmaps (Michael Petlan) [2123229] - perf test: Skip wp modify test on old kernels (Michael Petlan) [2123229] - perf jit: Include program header in ELF files (Michael Petlan) [2123229] - perf test: Add a new test for perf stat cgroup BPF counter (Michael Petlan) [2123229] - perf stat: Use evsel->core.cpus to iterate cpus in BPF cgroup counters (Michael Petlan) [2123229] - perf stat: Fix cpu map index in bperf cgroup code (Michael Petlan) [2123229] - perf stat: Fix BPF program section name (Michael Petlan) [2123229] - perf record: Fix synthesis failure warnings (Michael Petlan) [2123229] - perf tools: Don't install data files with x permissions (Michael Petlan) [2123229] - perf script: Fix Cannot print 'iregs' field for hybrid systems (Michael Petlan) [2123229] - perf lock: Remove redundant word 'contention' in help message (Michael Petlan) [2123229] - perf dlfilter dlfilter-show-cycles: Fix types for print format (Michael Petlan) [2123229] - perf c2c: Prevent potential memory leak in c2c_he_zalloc() (Michael Petlan) [2123229] - perf genelf: Switch deprecated openssl MD5_* functions to new EVP API (Michael Petlan) [2123229] - tools/perf: Fix out of bound access to cpu mask array (Michael Petlan) [2123229] - perf affinity: Fix out of bound access to "sched_cpus" mask (Michael Petlan) [2123229] - perf stat: Fix L2 Topdown metrics disappear for raw events (Michael Petlan) [2123229] - perf script: Skip dummy event attr check (Michael Petlan) [2123229] - perf metric: Return early if no CPU PMU table exists (Michael Petlan) [2123229] - perf stat: Capitalize topdown metrics' names (Michael Petlan) [2123229] - perf docs: Update the documentation for the save_type filter (Michael Petlan) [2123229] - perf sched: Fix memory leaks in __cmd_record detected with -fsanitize=address (Michael Petlan) [2123229] - perf record: Fix manpage formatting of description of support to hybrid systems (Michael Petlan) [2123229] - perf test: Stat test for repeat with a weak group (Michael Petlan) [2123229] - perf stat: Clear evsel->reset_group for each stat run (Michael Petlan) [2123229] - perf tools: Fix compile error for x86 (Michael Petlan) [2123229] - perf tools: Support reading PERF_FORMAT_LOST (Michael Petlan) [2123229] - libperf: Add a test case for read formats (Michael Petlan) [2123229] - libperf: Handle read format in perf_evsel__read() (Michael Petlan) [2123229] - perf beauty: Update copy of linux/socket.h with the kernel sources (Michael Petlan) [2123229] - perf cpumap: Fix alignment for masks in event encoding (Michael Petlan) [2123229] - perf cpumap: Compute mask size in constant time (Michael Petlan) [2123229] - perf cpumap: Synthetic events and const/static (Michael Petlan) [2123229] - perf cpumap: Const map for max() (Michael Petlan) [2123229] - perf test: Refactor shell tests allowing subdirs (Michael Petlan) [2123229] - perf vendor events: Update events for snowridgex (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for skylakex (Michael Petlan) [2123229] - perf vendor events: Update metrics for sapphirerapids (Michael Petlan) [2123229] - perf vendor events: Update events for knightslanding (Michael Petlan) [2123229] - perf vendor events: Update metrics for jaketown (Michael Petlan) [2123229] - perf vendor events: Update metrics for ivytown (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for icelakex (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for haswellx (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for cascadelakex (Michael Petlan) [2123229] - perf vendor events: Update events and metrics for broadwellx (Michael Petlan) [2123229] - perf vendor events: Update metrics for broadwellde (Michael Petlan) [2123229] - perf jevents: Fold strings optimization (Michael Petlan) [2123229] - perf jevents: Compress the pmu_events_table (Michael Petlan) [2123229] - perf metrics: Copy entire pmu_event in find metric (Michael Petlan) [2123229] - perf pmu-events: Hide the pmu_events (Michael Petlan) [2123229] - perf pmu-events: Don't assume pmu_event is an array (Michael Petlan) [2123229] - perf pmu-events: Move test events/metrics to JSON (Michael Petlan) [2123229] - perf test: Use full metric resolution (Michael Petlan) [2123229] - perf pmu-events: Hide pmu_events_map (Michael Petlan) [2123229] - perf pmu-events: Avoid passing pmu_events_map (Michael Petlan) [2123229] - perf pmu-events: Hide pmu_sys_event_tables (Michael Petlan) [2123229] - perf jevents: Sort JSON files entries (Michael Petlan) [2123229] - perf jevents: Provide path to JSON file on error (Michael Petlan) [2123229] - perf jevents: Remove the type/version variables (Michael Petlan) [2123229] - perf jevent: Add an 'all' architecture argument (Michael Petlan) [2123229] - perf stat: Remove duplicated include in builtin-stat.c (Michael Petlan) [2123229] - perf scripting python: Delete repeated word in comments (Michael Petlan) [2123229] - perf tools: Fix double word in comments (Michael Petlan) [2123229] - perf trace: Fix double word in comments (Michael Petlan) [2123229] - perf script: Delete repeated word "from" (Michael Petlan) [2123229] - perf test: Fix double word in comments (Michael Petlan) [2123229] - perf record: Improve error message of -p not_existing_pid (Michael Petlan) [2123229] - perf build-id: Print debuginfod queries if -v option is used (Michael Petlan) [2123229] - perf build-id: Fix coding style, replace 8 spaces by tabs (Michael Petlan) [2123229] - perf c2c: Update documentation for new display option 'peer' (Michael Petlan) [2123229] - perf c2c: Use 'peer' as default display for Arm64 (Michael Petlan) [2123229] - perf c2c: Sort on peer snooping for load operations (Michael Petlan) [2123229] - perf c2c: Refactor display string (Michael Petlan) [2123229] - perf c2c: Refactor node header (Michael Petlan) [2123229] - perf c2c: Rename dimension from 'percent_hitm' to 'percent_costly_snoop' (Michael Petlan) [2123229] - perf c2c: Use explicit names for display macros (Michael Petlan) [2123229] - perf c2c: Add mean dimensions for peer operations (Michael Petlan) [2123229] - perf c2c: Add dimensions of peer metrics for cache line view (Michael Petlan) [2123229] - perf c2c: Add dimensions for peer load operations (Michael Petlan) [2123229] - perf c2c: Output statistics for peer snooping (Michael Petlan) [2123229] - perf mem: Add statistics for peer snooping (Michael Petlan) [2123229] - perf arm-spe: Use SPE data source for neoverse cores (Michael Petlan) [2123229] - perf mem: Print snoop peer flag (Michael Petlan) [2123229] - perf tools: Sync addition of PERF_MEM_SNOOPX_PEER (Michael Petlan) [2123229] - perf arm64: Add missing -I for tools/arch/arm64/include/ to find asm/sysreg.h when building arm_spe.h (Michael Petlan) [2123229] - perf tools: Tidy guest option documentation (Michael Petlan) [2123229] - perf inject: Fix missing guestmount option documentation (Michael Petlan) [2123229] - perf script: Fix missing guest option documentation (Michael Petlan) [2123229] - perf offcpu: Update offcpu test for child process (Michael Petlan) [2123229] - perf offcpu: Track child processes (Michael Petlan) [2123229] - perf offcpu: Parse process id separately (Michael Petlan) [2123229] - perf offcpu: Check process id for the given workload (Michael Petlan) [2123229] - perf tools: Do not pass NULL to parse_events() (Michael Petlan) [2123229] - perf tests: Fix Track with sched_switch test for hybrid case (Michael Petlan) [2123229] - perf parse-events: Fix segfault when event parser gets an error (Michael Petlan) [2123229] - perf machine: Fix missing free of machine->kallsyms_filename (Michael Petlan) [2123229] - perf script: Fix reference to perf insert instead of perf inject (Michael Petlan) [2123229] - perf sched latency: Fix subcommand matching error (Michael Petlan) [2123229] - perf kvm: Fix subcommand matching error (Michael Petlan) [2123229] - perf probe: Fix an error handling path in 'parse_perf_probe_command()' (Michael Petlan) [2123229] - perf inject jit: Ignore memfd and anonymous mmap events if jitdump present (Michael Petlan) [2123229] - perf list: Add PMU pai_crypto event description for IBM z16 (Michael Petlan) [2123229] - perf vendor events: Remove bad jaketown uncore events (Michael Petlan) [2123229] - perf vendor events: Remove bad ivytown uncore events (Michael Petlan) [2123229] - perf vendor events: Remove bad broadwellde uncore events (Michael Petlan) [2123229] - perf jevents: Add JEVENTS_ARCH make option (Michael Petlan) [2123229] - perf jevents: Simplify generation of C-string (Michael Petlan) [2123229] - perf jevents: Clean up pytype warnings (Michael Petlan) [2123229] - tools build: Switch to new openssl API for test-libcrypto (Michael Petlan) [2123229] - Revert "perf build: Suppress openssl v3 deprecation warnings in libcrypto feature test" (Michael Petlan) [2123229] - perf test: JSON format checking (Michael Petlan) [2123229] - perf stat: Add JSON output option (Michael Petlan) [2123229] - perf stat: Refactor __run_perf_stat() common code (Michael Petlan) [2123229] - perf lock: Print the number of lost entries for BPF (Michael Petlan) [2123229] - perf lock: Add --map-nr-entries option (Michael Petlan) [2123229] - perf lock: Introduce struct lock_contention (Michael Petlan) [2123229] - perf scripting python: Do not build fail on deprecation warnings (Michael Petlan) [2123229] - genelf: Use HAVE_LIBCRYPTO_SUPPORT, not the never defined HAVE_LIBCRYPTO (Michael Petlan) [2123229] - perf build: Suppress openssl v3 deprecation warnings in libcrypto feature test (Michael Petlan) [2123229] - perf parse-events: Break out tracepoint and printing (Michael Petlan) [2123229] - perf parse-events: Don't #define YY_EXTRA_TYPE (Michael Petlan) [2123229] - perf test: Add ARM SPE system wide test (Michael Petlan) [2123229] - perf bpf: Convert legacy map definition to BTF-defined (Michael Petlan) [2123229] - perf lock: Implement cpu and task filters for BPF (Michael Petlan) [2123229] - perf lock: Use BPF for lock contention analysis (Michael Petlan) [2123229] - perf lock: Pass machine pointer to is_lock_function() (Michael Petlan) [2123229] - perf test: Add user space counter reading tests (Michael Petlan) [2123229] - perf test: Remove x86 rdpmc test (Michael Petlan) [2123229] - perf stat: Add topdown metrics in the default perf stat on the hybrid machine (Michael Petlan) [2123229] - perf x86 evlist: Add default hybrid events for perf stat (Michael Petlan) [2123229] - perf evlist: Always use arch_evlist__add_default_attrs() (Michael Petlan) [2123229] - perf evsel: Add arch_evsel__hw_name() (Michael Petlan) [2123229] - perf stat: Revert "perf stat: Add default hybrid events" (Michael Petlan) [2123229] - perf test: Fix test case 95 ("Check branch stack sampling") on s390 and use same event (Michael Petlan) [2123229] - perf vendor events arm64: Arm Cortex-A78C and X1C (Michael Petlan) [2123229] - perf vendor events: Update Intel snowridgex (Michael Petlan) [2123229] - perf vendor events: Update Intel westmereex (Michael Petlan) [2123229] - perf vendor events: Update Intel westmereep-sp (Michael Petlan) [2123229] - perf vendor events: Update Intel westmereep-dp (Michael Petlan) [2123229] - perf vendor events: Update Intel tigerlake (Michael Petlan) [2123229] - perf vendor events: Update Intel skylakex (Michael Petlan) [2123229] - perf vendor events: Update Intel skylake (Michael Petlan) [2123229] - perf vendor events: Update Intel silvermont (Michael Petlan) [2123229] - perf vendor events: Update Intel sapphirerapids (Michael Petlan) [2123229] - perf vendor events: Update Intel sandybridge (Michael Petlan) [2123229] - perf vendor events: Update Intel nehalemex (Michael Petlan) [2123229] - perf vendor events: Update Intel nehalemep (Michael Petlan) [2123229] - perf vendor events: Add Intel meteorlake (Michael Petlan) [2123229] - perf vendor events: Update Intel knightslanding (Michael Petlan) [2123229] - perf vendor events: Update Intel jaketown (Michael Petlan) [2123229] - perf vendor events: Update Intel ivytown (Michael Petlan) [2123229] - perf vendor events: Update Intel ivybridge (Michael Petlan) [2123229] - perf vendor events: Update Intel icelakex (Michael Petlan) [2123229] - perf vendor events: Update Intel icelake (Michael Petlan) [2123229] - perf vendor events: Update Intel haswellx (Michael Petlan) [2123229] - perf vendor events: Update Intel haswell (Michael Petlan) [2123229] - perf vendor events: Update goldmontplus mapfile.csv (Michael Petlan) [2123229] - perf vendor events: Update goldmont mapfile.csv (Michael Petlan) [2123229] - perf vendor events: Update Intel elkhartlake (Michael Petlan) [2123229] - perf vendor events: Update Intel cascadelakex (Michael Petlan) [2123229] - perf vendor events: Update bonnell mapfile.csv (Michael Petlan) [2123229] - perf vendor events: Update Intel alderlake (Michael Petlan) [2123229] - perf vendor events: Update Intel broadwellde (Michael Petlan) [2123229] - perf vendor events: Update Intel broadwell (Michael Petlan) [2123229] - perf vendor events: Update Intel broadwellx (Michael Petlan) [2123229] - perf test: Avoid sysfs state affecting fake events (Michael Petlan) [2123229] - perf vendor events intel: Update event list for haswellx (Michael Petlan) [2123229] - perf vendor events intel: Update event list for broadwellx (Michael Petlan) [2123229] - perf vendor events intel: Update event list for Snowridgex (Michael Petlan) [2123229] - perf vendor events intel: Rename tremontx to snowridgex (Michael Petlan) [2123229] - perf vendor events intel: Update event list for Sapphirerapids (Michael Petlan) [2123229] - perf vendor events intel: Update event list for Alderlake (Michael Petlan) [2123229] - perf inject: Fix spelling mistake "theads" -> "threads" (Michael Petlan) [2123229] - perf kwork: Add workqueue trace BPF support (Michael Petlan) [2123229] - perf kwork: Add softirq trace BPF support (Michael Petlan) [2123229] - perf kwork: Add IRQ trace BPF support (Michael Petlan) [2123229] - perf kwork: Implement BPF trace (Michael Petlan) [2123229] - perf kwork: Implement perf kwork timehist (Michael Petlan) [2123229] - perf kwork: Add workqueue latency support (Michael Petlan) [2123229] - perf kwork: Add softirq latency support (Michael Petlan) [2123229] - perf kwork: Implement perf kwork latency (Michael Petlan) [2123229] - perf kwork: Add workqueue report support (Michael Petlan) [2123229] - perf kwork: Add softirq report support (Michael Petlan) [2123229] - perf kwork: Add irq report support (Michael Petlan) [2123229] - perf kwork: Implement 'report' subcommand (Michael Petlan) [2123229] - tools lib: Add list_last_entry_or_null() (Michael Petlan) [2123229] - perf kwork: Add workqueue kwork record support (Michael Petlan) [2123229] - perf kwork: Add softirq kwork record support (Michael Petlan) [2123229] - perf kwork: Add irq kwork record support (Michael Petlan) [2123229] - perf kwork: New tool to trace time properties of kernel work (such as softirq, and workqueue) (Michael Petlan) [2123229] - perf data: Add missing unistd.h header needed for pid_t (Michael Petlan) [2123229] - perf lock: Support -t option for 'contention' subcommand (Michael Petlan) [2123229] - perf lock: Add -k and -F options to 'contention' subcommand (Michael Petlan) [2123229] - perf lock: Add 'contention' subcommand (Michael Petlan) [2123229] - perf lock: Add lock aggregation enum (Michael Petlan) [2123229] - perf lock: Add flags field in the lock_stat (Michael Petlan) [2123229] - perf test: Add test for #system_tsc_freq in metrics (Michael Petlan) [2123229] - perf tsc: Add cpuinfo fall back for arch_get_tsc_freq() (Michael Petlan) [2123229] - perf tsc: Add arch TSC frequency information (Michael Petlan) [2123229] - perf lock: Fix a copy-n-paste bug (Michael Petlan) [2123229] - perf python: Ignore unused command line arguments when building with clang (Michael Petlan) [2123229] - perf build: Avoid defining _FORTIFY_SOURCE multiple times (Michael Petlan) [2123229] - perf cs-etm: Fix duplicated 'the' in comment (Michael Petlan) [2123229] - perf probe: Fix duplicated 'the' in comment (Michael Petlan) [2123229] - perf scripting perl: Ignore some warnings to keep building with perl headers (Michael Petlan) [2123229] - perf python: Avoid deprecation warning on distutils (Michael Petlan) [2123229] - perf gtk: Only support --gtk if compiled in (Michael Petlan) [2123229] - perf intel-pt: Add documentation for tracing guest machine user space (Michael Petlan) [2123229] - perf intel-pt: Use guest pid/tid etc in guest samples (Michael Petlan) [2123229] - perf intel-pt: Add machine_pid and vcpu to auxtrace_error (Michael Petlan) [2123229] - perf intel-pt: Determine guest thread from guest sideband (Michael Petlan) [2123229] - perf intel-pt: Disable sync switch with guest sideband (Michael Petlan) [2123229] - perf intel-pt: Track guest context switches (Michael Petlan) [2123229] - perf intel-pt: Add some more logging to intel_pt_walk_next_insn() (Michael Petlan) [2123229] - perf intel-pt: Remove guest_machine_pid (Michael Petlan) [2123229] - perf tools: Add perf_event__is_guest() (Michael Petlan) [2123229] - perf tools: Handle injected guest kernel mmap event (Michael Petlan) [2123229] - perf machine: Use realloc_array_as_needed() in machine__set_current_tid() (Michael Petlan) [2123229] - perf inject: Add support for injecting guest sideband events (Michael Petlan) [2123229] - perf tools: Add reallocarray_as_needed() (Michael Petlan) [2123229] - perf tools: Automatically use guest kcore_dir if present (Michael Petlan) [2123229] - perf tools: Make has_kcore_dir() work also for guest kcore_dir (Michael Petlan) [2123229] - perf tools: Remove also guest kcore_dir with host kcore_dir (Michael Petlan) [2123229] - perf script python: intel-pt-events: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf script python: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf auxtrace: Add machine_pid and vcpu to auxtrace_error (Michael Petlan) [2123229] - perf dlfilter: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf script: Add machine_pid and vcpu (Michael Petlan) [2123229] - perf session: Use sample->machine_pid to find guest machine (Michael Petlan) [2123229] - perf tools: Add machine_pid and vcpu to perf_sample (Michael Petlan) [2123229] - perf tools: Add guest_cpu to hypervisor threads (Michael Petlan) [2123229] - perf session: Create guest machines from id_index (Michael Petlan) [2123229] - perf tools: Add machine_pid and vcpu to id_index (Michael Petlan) [2123229] - perf buildid-cache: Do not require purge files to also be in the file system (Michael Petlan) [2123229] - perf buildid-cache: Add guestmount'd files to the build ID cache (Michael Petlan) [2123229] - perf script: Add --dump-unsorted-raw-trace option (Michael Petlan) [2123229] - perf tools: Add perf_event__synthesize_id_sample() (Michael Petlan) [2123229] - perf tools: Factor out evsel__id_hdr_size() (Michael Petlan) [2123229] - perf tools: Export perf_event__process_finished_round() (Michael Petlan) [2123229] - perf ordered_events: Add ordered_events__last_flush_time() (Michael Petlan) [2123229] - perf tools: Export dsos__for_each_with_build_id() (Michael Petlan) [2123229] - perf tools: Fix dso_id inode generation comparison (Michael Petlan) [2123229] - perf buildid-list: Add a "-m" option to show kernel and modules build-ids (Michael Petlan) [2123229] - perf lock: Look up callchain for the contended locks (Michael Petlan) [2123229] - perf record: Allow to specify max stack depth of fp callchain (Michael Petlan) [2123229] - perf lock: Handle lock contention tracepoints (Michael Petlan) [2123229] - perf lock: Add lock contention tracepoints record support (Michael Petlan) [2123229] - perf lock: Skip print_bad_events() if nothing bad (Michael Petlan) [2123229] - perf lock: Allow to use different kernel symbols (Michael Petlan) [2123229] - perf lock: Print wait times with unit (Michael Petlan) [2123229] - perf test: Add test for branch stack sampling (Michael Petlan) [2123229] - perf test: Make all metrics test more tolerant (Michael Petlan) [2123229] - perf test: Add debug line to diagnose broken metrics (Michael Petlan) [2123229] - perf jevents: Remove jevents.c (Michael Petlan) [2123229] - perf jevents: Switch build to use jevents.py (Michael Petlan) [2123229] - perf jevents: Add python converter script (Michael Petlan) [2123229] - perf python: Prefer python3 (Michael Petlan) [2123229] - perf script ibs: Support new IBS bits in raw trace dump (Michael Petlan) [2123229] - perf tool ibs: Sync AMD IBS header file (Michael Petlan) [2123229] - perf header: Record non-CPU PMU capabilities (Michael Petlan) [2123229] - perf header: Store PMU caps in an array of strings (Michael Petlan) [2123229] - perf header: Pass "cpu" pmu name while printing caps (Michael Petlan) [2123229] - perf pmu: Parse pmu caps sysfs only once (Michael Petlan) [2123229] - perf record ibs: Warn about sampling period skew (Michael Petlan) [2123229] - perf script: Add some missing event dumps (Michael Petlan) [2123229] - perf record: Add finished init event (Michael Petlan) [2123229] - perf record: Add new option to sample identifier (Michael Petlan) [2123229] - perf record: Always record id index (Michael Petlan) [2123229] - perf record: Always get text_poke events with --kcore option (Michael Petlan) [2123229] - perf data convert: Prefer sampled CPU when exporting JSON (Michael Petlan) [2123229] - uapi: asm-generic: fcntl: Fix typo 'the the' in comment (Michael Petlan) [2123229] - perf/core: Fix reentry problem in perf_output_read_group() (Michael Petlan) [2123229] - perf/x86/intel: Fix unchecked MSR access error for Alder Lake N (Michael Petlan) [2123229] - perf/x86/intel/uncore: Fix broken read_counter() for SNB IMC PMU (Michael Petlan) [2123229] - perf/x86/intel: Fix pebs event constraints for ADL (Michael Petlan) [2123229] - perf/x86/intel/ds: Fix precise store latency handling (Michael Petlan) [2123229] - perf/x86/core: Set pebs_capable and PMU_FL_PEBS_ALL for the Baseline (Michael Petlan) [2123229] - perf/x86/lbr: Enable the branch type for the Arch LBR by default (Michael Petlan) [2123229] - perf/core: Fix ';;' typo (Michael Petlan) [2123229] - powerpc/perf: Include caps feature for power10 DD1 version (Michael Petlan) [2123229] - powerpc/perf: Use PVR rather than oprofile field to determine CPU version (Michael Petlan) [2123229] - docs: ABI: sysfs-bus-event_source-devices: Document sysfs caps entry for PMU (Michael Petlan) [2123229] - powerpc/perf: Add support for caps under sysfs in powerpc (Michael Petlan) [2123229] - powerpc/perf: Add __init attribute to eligible functions (Michael Petlan) [2123229] - powerpc/perf: Give generic PMU a nice name (Michael Petlan) [2123229] - powerpc/perf: Update MMCR2 to support event exclude_idle (Michael Petlan) [2123229] - x86: events: Do not return bogus capabilities if PMU is broken (Michael Petlan) [2123229] - perf/x86/intel: Fix the comment about guest LBR support on KVM (Michael Petlan) [2123229] - perf/x86/intel: Fix PEBS data source encoding for ADL (Michael Petlan) [2123229] - perf/x86/intel: Fix PEBS memory access info encoding for ADL (Michael Petlan) [2123229] - perf/x86/amd/uncore: Add PerfMonV2 RDPMC assignments (Michael Petlan) [2123229] - perf/x86/amd/uncore: Add PerfMonV2 DF event format (Michael Petlan) [2123229] - perf/x86/amd/uncore: Detect available DF counters (Michael Petlan) [2123229] - perf/x86/amd/uncore: Use attr_update for format attributes (Michael Petlan) [2123229] - perf/x86/amd/uncore: Use dynamic events array (Michael Petlan) [2123229] - x86/events/intel/ds: Enable large PEBS for PERF_SAMPLE_WEIGHT_TYPE (Michael Petlan) [2123229] - fuse: fix readdir cache race (Miklos Szeredi) [2142657] - gen_compile_commands: fix missing 'sys' package (Íñigo Huguet) [2136526] - gen_compile_commands: extract compiler command from a series of commands (Íñigo Huguet) [2136526] Resolves: rhbz#2139170, rhbz#2143373, rhbz#2134900, rhbz#2136818, rhbz#2125724, rhbz#2123229, rhbz#2142657, rhbz#2136526 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-23 07:51:21 +00:00
CONFIG_NF_FLOW_TABLE_PROCFS=y
# CONFIG_NFIT_SECURITY_DEBUG is not set
CONFIG_NF_LOG_ARP=m
CONFIG_NF_LOG_IPV4=m
CONFIG_NF_LOG_IPV6=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_NF_LOG_SYSLOG=m
CONFIG_NF_NAT=m
CONFIG_NF_NAT_SNMP_BASIC=m
CONFIG_NFP_APP_ABM_NIC=y
CONFIG_NFP_APP_FLOWER=y
CONFIG_NFP_DEBUG=y
CONFIG_NFP=m
CONFIG_NF_REJECT_IPV4=m
CONFIG_NF_REJECT_IPV6=m
# CONFIG_NFSD_BLOCKLAYOUT is not set
# CONFIG_NFSD_FLEXFILELAYOUT is not set
kernel-5.14.0-40.el9 * Mon Jan 10 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-40.el9] - cpu/hotplug: Remove deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - livepatch: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - coresight: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - hwmon: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - tracing: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - padata: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - crypto: virtio - Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - platform/x86: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - powerpc: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/mce/inject: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/microcode: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/mtrr: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/mmiotrace: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - workqueue: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - net/iucv: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - s390/sclp: replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - s390: replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - net: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - virtio_net: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - ACPI: processor: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - PM: sleep: s2idle: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - cpufreq: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - powercap: intel_rapl: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - sgi-xpc: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - Input: i8042 - Add quirk for Fujitsu Lifebook T725 (Neal Gompa) [2019937] - sctp: remove unreachable code from sctp_sf_violation_chunk() (Xin Long) [2024909] - sctp: return true only for pathmtu update in sctp_transport_pl_toobig (Xin Long) [2024909] - sctp: subtract sctphdr len in sctp_transport_pl_hlen (Xin Long) [2024909] - sctp: reset probe_timer in sctp_transport_pl_update (Xin Long) [2024909] - sctp: allow IP fragmentation when PLPMTUD enters Error state (Xin Long) [2024909] - sctp: fix transport encap_port update in sctp_vtag_verify (Xin Long) [2024909] - sctp: account stream padding length for reconf chunk (Xin Long) [2024909] - sctp: break out if skb_header_pointer returns NULL in sctp_rcv_ootb (Xin Long) [2024909] - sctp: add vtag check in sctp_sf_ootb (Xin Long) [2003494] {CVE-2021-3772} - sctp: add vtag check in sctp_sf_do_8_5_1_E_sa (Xin Long) [2003494] {CVE-2021-3772} - sctp: add vtag check in sctp_sf_violation (Xin Long) [2003494] {CVE-2021-3772} - sctp: fix the processing for COOKIE_ECHO chunk (Xin Long) [2003494] {CVE-2021-3772} - sctp: fix the processing for INIT_ACK chunk (Xin Long) [2003494] {CVE-2021-3772} - sctp: fix the processing for INIT chunk (Xin Long) [2003494] {CVE-2021-3772} - sctp: use init_tag from inithdr for ABORT chunk (Xin Long) [2003494] {CVE-2021-3772} - drm/nouveau: clean up all clients on device removal (Karol Herbst) [1911185] {CVE-2020-27820} - drm/nouveau: Add a dedicated mutex for the clients list (Karol Herbst) [1911185] {CVE-2020-27820} - drm/nouveau: use drm_dev_unplug() during device removal (Karol Herbst) [1911185] {CVE-2020-27820} - redhat/configs: NFS: disable UDP, insecure enctypes (Benjamin Coddington) [1952863] Resolves: rhbz#1911185, rhbz#1952863, rhbz#2003494, rhbz#2019937, rhbz#2023079, rhbz#2024909 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-10 15:31:34 +00:00
CONFIG_NFS_DISABLE_UDP_SUPPORT=y
CONFIG_NFSD=m
CONFIG_NFSD_PNFS=y
CONFIG_NFSD_SCSILAYOUT=y
kernel-5.14.0-313.el9 * Mon May 15 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-313.el9] - livepatch: Move the result-invariant calculation out of the loop (Julia Denham) [RHEL-257] - livepatch: add sysfs entry "patched" for each klp_object (Julia Denham) [RHEL-257] - selftests/livepatch: add sysfs test (Julia Denham) [RHEL-257] - selftests/livepatch: normalize sysctl error message (Julia Denham) [RHEL-257] - livepatch: Add a missing newline character in klp_module_coming() (Julia Denham) [RHEL-257] - livepatch: fix race between fork and KLP transition (Julia Denham) [RHEL-257] - entry/kvm: Exit to user mode when TIF_NOTIFY_SIGNAL is set (Julia Denham) [RHEL-257] - net/iucv: Fix size of interrupt data (Tobias Huschle) [2192506] - SUNRPC: Fix server-side fault injection documentation (Scott Mayhew) [2183621] - SUNRPC: Avoid relying on crypto API to derive CBC-CTS output IV (Scott Mayhew) [2183621] - SUNRPC: Fix failures of checksum Kunit tests (Scott Mayhew) [2183621] - sunrpc: Fix RFC6803 encryption test (Scott Mayhew) [2183621] - SUNRPC: Properly terminate test case arrays (Scott Mayhew) [2183621] - SUNRPC: Let Kunit tests run with some enctypes compiled out (Scott Mayhew) [2183621] - SUNRPC: Add encryption self-tests (Scott Mayhew) [2183621] - SUNRPC: Add RFC 8009 encryption KUnit tests (Scott Mayhew) [2183621] - SUNRPC: Add RFC 8009 checksum KUnit tests (Scott Mayhew) [2183621] - SUNRPC: Add KDF-HMAC-SHA2 Kunit tests (Scott Mayhew) [2183621] - SUNRPC: Add encryption KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621] - SUNRPC: Add checksum KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621] - SUNRPC: Add KDF KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621] - SUNRPC: Add Kunit tests for RFC 3962-defined encryption/decryption (Scott Mayhew) [2183621] - SUNRPC: Add KUnit tests RFC 3961 Key Derivation (Scott Mayhew) [2183621] - SUNRPC: Export get_gss_krb5_enctype() (Scott Mayhew) [2183621] - SUNRPC: Add KUnit tests for rpcsec_krb5.ko (Scott Mayhew) [2183621] - kunit: add macro to allow conditionally exposing static symbols to tests (Scott Mayhew) [2183621] - redhat: add gss_krb5_test to mod-internal.list (Scott Mayhew) [2183621] - redhat/configs: add CONFIG_RPCSEC_GSS_KRB5_KUNIT_TEST (Scott Mayhew) [2183621] - NFSD: callback request does not use correct credential for AUTH_SYS (Scott Mayhew) [2183621] - sunrpc: only free unix grouplist after RCU settles (Scott Mayhew) [2183621] - nfsd: call op_release, even when op_func returns an error (Scott Mayhew) [2183621] - NFSD: Avoid calling OPDESC() with ops->opnum == OP_ILLEGAL (Scott Mayhew) [2183621] - SUNRPC: fix shutdown of NFS TCP client socket (Scott Mayhew) [2183621] - lockd: set file_lock start and end when decoding nlm4 testargs (Scott Mayhew) [2183621] - NFS: Fix /proc/PID/io read_bytes for buffered reads (Scott Mayhew) [2183621] - NFSD: Protect against filesystem freezing (Scott Mayhew) [2183621] - NFSD: Clean up nfsd_symlink() (Scott Mayhew) [2183621] - SUNRPC: Fix occasional warning when destroying gss_krb5_enctypes (Scott Mayhew) [2183621] - SUNRPC: Remove ->xpo_secure_port() (Scott Mayhew) [2183621] - SUNRPC: Clean up the svc_xprt_flags() macro (Scott Mayhew) [2183621] - SUNRPC: Fix whitespace damage in svcauth_unix.c (Scott Mayhew) [2183621] - SUNRPC: Replace pool stats with per-CPU variables (Scott Mayhew) [2183621] - SUNRPC: Use per-CPU counters to tally server RPC counts (Scott Mayhew) [2183621] - SUNRPC: Go back to using gsd->body_start (Scott Mayhew) [2183621] - SUNRPC: Set rq_accept_statp inside ->accept methods (Scott Mayhew) [2183621] - SUNRPC: Refactor RPC server dispatch method (Scott Mayhew) [2183621] - SUNRPC: Remove no-longer-used helper functions (Scott Mayhew) [2183621] - SUNRPC: Final clean-up of svc_process_common() (Scott Mayhew) [2183621] - SUNRPC: Convert RPC Reply header encoding to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Hoist init_encode out of svc_authenticate() (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream for encoding GSS reply verifiers (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode replies in server-side GSS upcall helpers (Scott Mayhew) [2183621] - SUNRPC: Convert unwrap data paths to use xdr_stream for replies (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_tls_accept() (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_unix_accept() (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_null_accept() (Scott Mayhew) [2183621] - SUNRPC: Move svcxdr_init_encode() into ->accept methods (Scott Mayhew) [2183621] - SUNRPC: Push svcxdr_init_encode() into svc_process_common() (Scott Mayhew) [2183621] - SUNRPC: Add XDR encoding helper for opaque_auth (Scott Mayhew) [2183621] - SUNRPC: Remove the rpc_stat variable in svc_process_common() (Scott Mayhew) [2183621] - SUNRPC: Check rq_auth_stat when preparing to wrap a response (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_gss_wrap_priv() to use xdr_stream() (Scott Mayhew) [2183621] - SUNRPC: Add @head and @tail variables in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621] - SUNRPC: Record gss_wrap() errors in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_priv() (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_gss_wrap_integ() to use xdr_stream() (Scott Mayhew) [2183621] - SUNRPC: Replace checksum construction in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621] - SUNRPC: Record gss_get_mic() errors in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_integ() (Scott Mayhew) [2183621] - SUNRPC: Clean up svcauth_gss_release() (Scott Mayhew) [2183621] - SUNRPC: Hoist svcxdr_init_decode() into svc_process() (Scott Mayhew) [2183621] - SUNRPC: Remove svc_process_common's argv parameter (Scott Mayhew) [2183621] - SUNRPC: Decode most of RPC header with xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Eliminate unneeded variable (Scott Mayhew) [2183621] - SUNRPC: Re-order construction of the first reply fields (Scott Mayhew) [2183621] - SUNRPC: Hoist init_decode out of svc_authenticate() (Scott Mayhew) [2183621] - SUNRPC: Convert the svcauth_gss_accept() pre-amble to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Clean up svcauth_gss_accept's NULL procedure check (Scott Mayhew) [2183621] - SUNRPC: Convert gss_verify_header() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Convert unwrap_priv_data() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in unwrap_priv_data() (Scott Mayhew) [2183621] - SUNRPC: Convert unwrap_integ_data() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in unwrap_integ_data() (Scott Mayhew) [2183621] - SUNRPC: Replace read_u32_from_xdr_buf() with existing XDR helper (Scott Mayhew) [2183621] - SUNRPC: Convert server-side GSS upcall helpers to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Remove gss_read_verf() (Scott Mayhew) [2183621] - SUNRPC: Remove gss_read_common_verf() (Scott Mayhew) [2183621] - SUNRPC: Hoist common verifier decoding code into svcauth_gss_proc_init() (Scott Mayhew) [2183621] - SUNRPC: Move the server-side GSS upcall to a noinline function (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_tls_accept() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_unix_accept() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_null_accept() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Add an XDR decoding helper for struct opaque_auth (Scott Mayhew) [2183621] - SUNRPC: Move svcxdr_init_decode() into ->accept methods (Scott Mayhew) [2183621] - SUNRPC: Push svcxdr_init_decode() into svc_process_common() (Scott Mayhew) [2183621] - NFS: nfs_encode_fh: Remove S_AUTOMOUNT check (Scott Mayhew) [2183621] - fs: namei: Allow follow_down() to uncover auto mounts (Scott Mayhew) [2183621] - NFSD: Teach nfsd_mountpoint() auto mounts (Scott Mayhew) [2183621] - fs/nfs: Replace kmap_atomic() with kmap_local_page() in dir.c (Scott Mayhew) [2183621] - pNFS/filelayout: treat GETDEVICEINFO errors as layout failure (Scott Mayhew) [2183621] - NFS: fix disabling of swap (Scott Mayhew) [2183621] - SUNRPC: make kobj_type structures constant (Scott Mayhew) [2183621] - nfs4trace: fix state manager flag printing (Scott Mayhew) [2183621] - NFS: Remove unnecessary check in nfs_read_folio() (Scott Mayhew) [2183621] - NFS: Improve tracing of nfs_wb_folio() (Scott Mayhew) [2183621] - NFS: Enable tracing of nfs_invalidate_folio() and nfs_launder_folio() (Scott Mayhew) [2183621] - NFS: fix up nfs_release_folio() to try to release the page (Scott Mayhew) [2183621] - NFS: Clean up O_DIRECT request allocation (Scott Mayhew) [2183621] - NFS: Fix up nfs_vm_page_mkwrite() for folios (Scott Mayhew) [2183621] - NFS: Convert nfs_write_begin/end to use folios (Scott Mayhew) [2183621] - NFS: Remove unused function nfs_wb_page() (Scott Mayhew) [2183621] - NFS: Convert buffered writes to use folios (Scott Mayhew) [2183621] - NFS: Convert the function nfs_wb_page() to use folios (Scott Mayhew) [2183621] - NFS: Convert buffered reads to use folios (Scott Mayhew) [2183621] - NFS: Add a helper nfs_wb_folio() (Scott Mayhew) [2183621] - NFS: Convert the remaining pagelist helper functions to support folios (Scott Mayhew) [2183621] - NFS: Add a helper to convert a struct nfs_page into an inode (Scott Mayhew) [2183621] - NFS: Fix nfs_coalesce_size() to work with folios (Scott Mayhew) [2183621] - NFS: Support folios in nfs_generic_pgio() (Scott Mayhew) [2183621] - NFS: Add basic functionality for tracking folios in struct nfs_page (Scott Mayhew) [2183621] - NFS: Fix for xfstests generic/208 (Scott Mayhew) [2183621] - XArray: Add calls to might_alloc() (Scott Mayhew) [2183621] - nfsd: remove fetch_iversion export operation (Scott Mayhew) [2183621] - nfsd: use the getattr operation to fetch i_version (Scott Mayhew) [2183621] - nfsd: move nfsd4_change_attribute to nfsfh.c (Scott Mayhew) [2183621] - ceph: report the inode version in getattr if requested (Scott Mayhew) [2183621] - nfs: report the inode version in getattr if requested (Scott Mayhew) [2183621] - vfs: plumb i_version handling into struct kstat (Scott Mayhew) [2183621] - fs: clarify when the i_version counter must be updated (Scott Mayhew) [2183621] - fs: uninline inode_query_iversion (Scott Mayhew) [2183621] - fs: uninline inode_maybe_inc_iversion() (Scott Mayhew) [2183621] - iversion: use atomic64_try_cmpxchg) (Scott Mayhew) [2183621] - NFSD: replace delayed_work with work_struct for nfsd_client_shrinker (Scott Mayhew) [2183621] - NFSD: Use set_bit(RQ_DROPME) (Scott Mayhew) [2183621] - NFS: Fix up a sparse warning (Scott Mayhew) [2183621] - NFSD: Avoid clashing function prototypes (Scott Mayhew) [2183621] - SUNRPC: Fix crasher in unwrap_integ_data() (Scott Mayhew) [2183621] - SUNRPC: Make the svc_authenticate tracepoint conditional (Scott Mayhew) [2183621] - NFSD: Use only RQ_DROPME to signal the need to drop a reply (Scott Mayhew) [2183621] - SUNRPC: Clean up xdr_write_pages() (Scott Mayhew) [2183621] - NFSD: add CB_RECALL_ANY tracepoints (Scott Mayhew) [2183621] - NFSD: add delegation reaper to react to low memory condition (Scott Mayhew) [2183621] - NFSD: add support for sending CB_RECALL_ANY (Scott Mayhew) [2183621] - trace: Relocate event helper files (Scott Mayhew) [2183621] - lockd: fix file selection in nlmsvc_cancel_blocked (Scott Mayhew) [2183621] - lockd: ensure we use the correct file descriptor when unlocking (Scott Mayhew) [2183621] - lockd: set missing fl_flags field when retrieving args (Scott Mayhew) [2183621] - NFSD: Use struct_size() helper in alloc_session() (Scott Mayhew) [2183621] - sunrpc: svc: Remove an unused static function svc_ungetu32() (Scott Mayhew) [2183621] - fs: nfs: sysfs: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621] - NFS: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621] - NFSv4.2: Fix up READ_PLUS alignment (Scott Mayhew) [2183621] - NFSv4.2: Set the correct size scratch buffer for decoding READ_PLUS (Scott Mayhew) [2183621] - NFS: avoid spurious warning of lost lock that is being unlocked. (Scott Mayhew) [2183621] - nfs: fix possible null-ptr-deref when parsing param (Scott Mayhew) [2183621] - NFSv4: check FMODE_EXEC from open context mode in nfs4_opendata_access() (Scott Mayhew) [2183621] - NFS: make sure open context mode have FMODE_EXEC when file open for exec (Scott Mayhew) [2183621] - NFS4.x/pnfs: Fix up logging of layout stateids (Scott Mayhew) [2183621] - NFSD: Trace stateids returned via DELEGRETURN (Scott Mayhew) [2183621] - nfsd: allow disabling NFSv2 at compile time (Scott Mayhew) [2183621] - redhat/configs: add CONFIG_NFSD_V2 (Scott Mayhew) [2183621] - nfsd: move nfserrno() to vfs.c (Scott Mayhew) [2183621] - nfsd: ignore requests to disable unsupported versions (Scott Mayhew) [2183621] - SUNRPC: Remove unused svc_rqst::rq_lock field (Scott Mayhew) [2183621] - NFSD: Remove redundant assignment to variable host_err (Scott Mayhew) [2183621] - NFSD: Simplify READ_PLUS (Scott Mayhew) [2183621] - NFS: Fix a race in nfs_call_unlink() (Scott Mayhew) [2183621] - NFSD: Fix trace_nfsd_fh_verify_err() crasher (Scott Mayhew) [2183621] - SUNRPC: Fix crasher in gss_unwrap_resp_integ() (Scott Mayhew) [2183621] - NFS: Avoid memcpy() run-time warning for struct sockaddr overflows (Scott Mayhew) [2183621] - nfs: Remove redundant null checks before kfree (Scott Mayhew) [2183621] - nfsd: ensure we always call fh_verify_error tracepoint (Scott Mayhew) [2183621] - NFSv4/flexfiles: Cancel I/O if the layout is recalled or revoked (Scott Mayhew) [2183621] - SUNRPC: Add API to force the client to disconnect (Scott Mayhew) [2183621] - SUNRPC: Add a helper to allow pNFS drivers to selectively cancel RPC calls (Scott Mayhew) [2183621] - SUNRPC: Fix races with rpc_killall_tasks() (Scott Mayhew) [2183621] - xprtrdma: Fix uninitialized variable (Scott Mayhew) [2183621] - xprtrdma: Prevent memory allocations from driving a reclaim (Scott Mayhew) [2183621] - xprtrdma: Memory allocation should be allowed to fail during connect (Scott Mayhew) [2183621] - xprtrdma: MR-related memory allocation should be allowed to fail (Scott Mayhew) [2183621] - xprtrdma: Clean up synopsis of rpcrdma_regbuf_alloc() (Scott Mayhew) [2183621] - xprtrdma: Clean up synopsis of rpcrdma_req_create() (Scott Mayhew) [2183621] - svcrdma: Clean up RPCRDMA_DEF_GFP (Scott Mayhew) [2183621] - SUNRPC: Replace the use of the xprtiod WQ in rpcrdma (Scott Mayhew) [2183621] - NFSv4.2: Add a tracepoint for listxattr (Scott Mayhew) [2183621] - NFSv4.2: Add tracepoints for getxattr, setxattr, and removexattr (Scott Mayhew) [2183621] - NFSv4.2: Move TRACE_DEFINE_ENUM(NFS4_CONTENT_*) under CONFIG_NFS_V4_2 (Scott Mayhew) [2183621] - NFSv4.2: Add special handling for LISTXATTR receiving NFS4ERR_NOXATTR (Scott Mayhew) [2183621] - nfs: remove nfs_wait_atomic_killable() and nfs_write_prepare() declaration (Scott Mayhew) [2183621] - NFSv4: remove nfs4_renewd_prepare_shutdown() declaration (Scott Mayhew) [2183621] - fs/nfs/pnfs_nfs.c: fix spelling typo and syntax error in comment (Scott Mayhew) [2183621] - NFS: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - SUNRPC: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - NFS: clean up a needless assignment in nfs_file_write() (Scott Mayhew) [2183621] - nfs: remove unnecessary (void*) conversions. (Scott Mayhew) [2183621] - SUNRPC: use max_t() to simplify open code (Scott Mayhew) [2183621] - NFSv4: Directly use ida_alloc()/free() (Scott Mayhew) [2183621] - SUNRPC: Directly use ida_alloc()/free() (Scott Mayhew) [2183621] - nfsd: extra checks when freeing delegation stateids (Scott Mayhew) [2183621] - nfsd: make nfsd4_run_cb a bool return function (Scott Mayhew) [2183621] - nfsd: fix comments about spinlock handling with delegations (Scott Mayhew) [2183621] - nfsd: only fill out return pointer on success in nfsd4_lookup_stateid (Scott Mayhew) [2183621] - nfsd: use DEFINE_SHOW_ATTRIBUTE to define client_info_fops (Scott Mayhew) [2183621] - nfsd: use DEFINE_PROC_SHOW_ATTRIBUTE to define nfsd_proc_ops (Scott Mayhew) [2183621] - NFSD: Pack struct nfsd4_compoundres (Scott Mayhew) [2183621] - NFSD: Clean up nfs4svc_encode_compoundres() (Scott Mayhew) [2183621] - SUNRPC: Fix typo in xdr_buf_subsegment's kdoc comment (Scott Mayhew) [2183621] - NFSD: Clean up WRITE arg decoders (Scott Mayhew) [2183621] - NFSD: Use xdr_inline_decode() to decode NFSv3 symlinks (Scott Mayhew) [2183621] - NFSD: Refactor common code out of dirlist helpers (Scott Mayhew) [2183621] - SUNRPC: Clarify comment that documents svc_max_payload() (Scott Mayhew) [2183621] - NFSD: Reduce amount of struct nfsd4_compoundargs that needs clearing (Scott Mayhew) [2183621] - SUNRPC: Parametrize how much of argsize should be zeroed (Scott Mayhew) [2183621] - SUNRPC: Optimize svc_process() (Scott Mayhew) [2183621] - NFSD: Return nfserr_serverfault if splice_ok but buf->pages have data (Scott Mayhew) [2183621] - NFSD: Make nfsd4_remove() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621] - NFSD: Make nfsd4_rename() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621] - NFSD: Make nfsd4_setattr() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621] - NFSD: Refactor nfsd_setattr() (Scott Mayhew) [2183621] - NFSD: Add a mechanism to wait for a DELEGRETURN (Scott Mayhew) [2183621] - NFSD: Add tracepoints to report NFSv4 callback completions (Scott Mayhew) [2183621] - NFSD: Trace NFSv4 COMPOUND tags (Scott Mayhew) [2183621] - NFSD: Replace dprintk() call site in fh_verify() (Scott Mayhew) [2183621] - nfsd: remove nfsd4_prepare_cb_recall() declaration (Scott Mayhew) [2183621] - nfsd: clean up mounted_on_fileid handling (Scott Mayhew) [2183621] - NFSD: drop fname and flen args from nfsd_create_locked() (Scott Mayhew) [2183621] - nfsd: Propagate some error code returned by memdup_user() (Scott Mayhew) [2183621] - nfsd: Avoid some useless tests (Scott Mayhew) [2183621] - NFSD: remove redundant variable status (Scott Mayhew) [2183621] - lockd: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - NFSD: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - _nfs42_proc_copy(): use ->f_mapping instead of file_inode()->i_mapping (Scott Mayhew) [2183621] - nfs_finish_open(): don't open-code file_inode() (Scott Mayhew) [2183621] - NFS: Cleanup to remove unused flag NFS_CONTEXT_RESEND_WRITES (Scott Mayhew) [2183621] - NFS: Remove a bogus flag setting in pnfs_write_done_resend_to_mds (Scott Mayhew) [2183621] - NFS: Fix missing unlock in nfs_unlink() (Scott Mayhew) [2183621] - NFS: Improve readpage/writepage tracing (Scott Mayhew) [2183621] - NFS: Improve O_DIRECT tracing (Scott Mayhew) [2183621] - NFS: Improve write error tracing (Scott Mayhew) [2183621] - NFS: don't unhash dentry during unlink/rename (Scott Mayhew) [2183621] - lockd: detect and reject lock arguments that overflow (Scott Mayhew) [2183621] - NFSv4/pnfs: Fix a use-after-free bug in open (Scott Mayhew) [2183621] - NFS: nfs_async_write_reschedule_io must not recurse into the writeback code (Scott Mayhew) [2183621] - SUNRPC: Expand the svc_alloc_arg_err tracepoint (Scott Mayhew) [2183621] - SUNRPC: Remove xdr_align_data() and xdr_expand_hole() (Scott Mayhew) [2183621] - NFS: Replace the READ_PLUS decoding code (Scott Mayhew) [2183621] - SUNRPC: Add a function for zeroing out a portion of an xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Add a function for directly setting the xdr page len (Scott Mayhew) [2183621] - SUNRPC: Introduce xdr_stream_move_subsegment() (Scott Mayhew) [2183621] - NFS: Replace fs_context-related dprintk() call sites with tracepoints (Scott Mayhew) [2183621] - SUNRPC: Replace dprintk() call site in xs_data_ready (Scott Mayhew) [2183621] - SUNRPC: Fail faster on bad verifier (Scott Mayhew) [2183621] - SUNRPC: Shrink size of struct rpc_task (Scott Mayhew) [2183621] - nfs: fix port value parsing (Scott Mayhew) [2183621] - nfs: Replace kmap() with kmap_local_page() (Scott Mayhew) [2183621] - NFS: remove redundant code in nfs_file_write() (Scott Mayhew) [2183621] - nfs/blocklayout: refactor block device opening (Scott Mayhew) [2183621] - NFS: Fix case insensitive renames (Scott Mayhew) [2183621] - pNFS/files: Handle RDMA connection errors correctly (Scott Mayhew) [2183621] - SUNRPC: Fix an RPC/RDMA performance regression (Scott Mayhew) [2183621] - nfs: Leave pages in the pagecache if readpage failed (Scott Mayhew) [2183621] - SUNRPC: Clean up xdr_commit_encode() (Scott Mayhew) [2183621] - SUNRPC: Optimize xdr_reserve_space() (Scott Mayhew) [2183621] - xprtrdma: treat all calls not a bcall when bc_serv is NULL (Scott Mayhew) [2183621] - m->mnt_root->d_inode->i_sb is a weird way to spell m->mnt_sb... (Scott Mayhew) [2183621] - SUNRPC: Remove svc_rqst::rq_xprt_hlen (Scott Mayhew) [2183621] - SUNRPC: Remove dead code in svc_tcp_release_rqst() (Scott Mayhew) [2183621] - SUNRPC: Make cache_req::thread_wait an unsigned long (Scott Mayhew) [2183621] - SUNRPC: Cache deferral injection (Scott Mayhew) [2183621] - SUNRPC: Clean up svc_deferred_class trace events (Scott Mayhew) [2183621] - NFS: Improve warning message when locks are lost. (Scott Mayhew) [2183621] - NFSv4.1: Enable access to the NFSv4.1 'dacl' and 'sacl' attributes (Scott Mayhew) [2183621] - NFSv4: Add encoders/decoders for the NFSv4.1 dacl and sacl attributes (Scott Mayhew) [2183621] - NFSv4: Specify the type of ACL to cache (Scott Mayhew) [2183621] - NFSv4: Don't hold the layoutget locks across multiple RPC calls (Scott Mayhew) [2183621] - pNFS/files: Fall back to I/O through the MDS on non-fatal layout errors (Scott Mayhew) [2183621] - nfs: rename nfs_direct_IO and use as ->swap_rw (Scott Mayhew) [2183621] - um: Remove duplicated include in syscalls_64.c (Prarit Bhargava) [2164042] - x86/traps: Mark do_int3() NOKPROBE_SYMBOL (Prarit Bhargava) [2164042] - x86/ptrace: Fix xfpregs_set()'s incorrect xmm clearing (Prarit Bhargava) [2164042] - xen/x2apic: Fix inconsistent indenting (Prarit Bhargava) [2164042] - xen/x86: detect support for extended destination ID (Prarit Bhargava) [2164042] - xen/x86: obtain full video frame buffer address for Dom0 also under EFI (Prarit Bhargava) [2164042] - x86/Xen: streamline (and fix) PV CPU enumeration (Prarit Bhargava) [2164042] - x86/MCE/AMD: Allow thresholding interface updates after init (Prarit Bhargava) [2164042] - kcov: fix generic Kconfig dependencies if ARCH_WANTS_NO_INSTR (Prarit Bhargava) [2164042] - Replace for_each_*_bit_from() with for_each_*_bit() where appropriate (Prarit Bhargava) [2164042] - mm/mempolicy: wire up syscall set_mempolicy_home_node (Prarit Bhargava) [2164042] - x86/kbuild: Enable CONFIG_KALLSYMS_ALL=y in the defconfigs (Prarit Bhargava) [2164042] - x86, sched: Fix undefined reference to init_freq_invariance_cppc() build error (Prarit Bhargava) [2164042] - xen/x86: obtain upper 32 bits of video frame buffer address for Dom0 (Prarit Bhargava) [2164042] - crypto: x86/aesni - don't require alignment of data (Prarit Bhargava) [2164042] - x86/purgatory: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042] - x86/vdso: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042] - x86/defconfig: Enable CONFIG_LOCALVERSION_AUTO=y in the defconfig (Prarit Bhargava) [2164042] - x86/mce/inject: Avoid out-of-bounds write when setting flags (Prarit Bhargava) [2164042] - crypto: x86/curve25519 - use in/out register constraints more precisely (Prarit Bhargava) [2164042] - x86/boot/compressed: Move CLANG_FLAGS to beginning of KBUILD_CFLAGS (Prarit Bhargava) [2164042] - um: remove set_fs (Prarit Bhargava) [2164042] - um, x86: bury crypto_tfm_ctx_offset (Prarit Bhargava) [2164042] - uml/i386: missing include in barrier.h (Prarit Bhargava) [2164042] - um: stop polluting the namespace with registers.h contents (Prarit Bhargava) [2164042] - um: move amd64 variant of mmap(2) to arch/x86/um/syscalls_64.c (Prarit Bhargava) [2164042] - uml: trim unused junk from arch/x86/um/sys_call_table_*.c (Prarit Bhargava) [2164042] - um: registers: Rename function names to avoid conflicts and build problems (Prarit Bhargava) [2164042] - uml: x86: add FORCE to user_constants.h (Prarit Bhargava) [2164042] - x86/mce: Mark mce_start() noinstr (Prarit Bhargava) [2164042] - x86/mce: Move the tainting outside of the noinstr region (Prarit Bhargava) [2164042] - x86/mce: Mark mce_read_aux() noinstr (Prarit Bhargava) [2164042] - x86/mce: Mark mce_end() noinstr (Prarit Bhargava) [2164042] - x86/mce: Mark mce_panic() noinstr (Prarit Bhargava) [2164042] - x86/mce: Allow instrumentation during task work queueing (Prarit Bhargava) [2164042] - x86/mce: Remove noinstr annotation from mce_setup() (Prarit Bhargava) [2164042] - x86/mce: Use mce_rdmsrl() in severity checking code (Prarit Bhargava) [2164042] - x86/mce: Remove function-local cpus variables (Prarit Bhargava) [2164042] - x86/mmx_32: Remove X86_USE_3DNOW (Prarit Bhargava) [2164042] - x86/boot/string: Add missing function prototypes (Prarit Bhargava) [2164042] - x86/fpu: Remove duplicate copy_fpstate_to_sigframe() prototype (Prarit Bhargava) [2164042] - x86/uaccess: Move variable into switch case statement (Prarit Bhargava) [2164042] - x86/barriers, kcsan: Use generic instrumentation for non-smp barriers (Prarit Bhargava) [2164042] - x86/mce/inject: Set the valid bit in MCA_STATUS before error injection (Prarit Bhargava) [2164042] - x86/mce/inject: Check if a bank is populated before injecting (Prarit Bhargava) [2164042] - x86/csum: Rewrite/optimize csum_partial() (Prarit Bhargava) [2164042] - x86/mm: Flush global TLB when switching to trampoline page-table (Prarit Bhargava) [2164042] - x86/mm: Add missing <asm/cpufeatures.h> dependency to <asm/page_64.h> (Prarit Bhargava) [2164042] - x86/ce4100: Replace "ti,pcf8575" by "nxp,pcf8575" (Prarit Bhargava) [2164042] - x86/cpu: Don't write CSTAR MSR on Intel CPUs (Prarit Bhargava) [2164042] - x86/fpu: Correct AVX512 state tracking (Prarit Bhargava) [2164042] - x86/amd_nb, EDAC/amd64: Move DF Indirect Read to AMD64 EDAC (Prarit Bhargava) [2164042] - x86/MCE/AMD, EDAC/amd64: Move address translation to AMD64 EDAC (Prarit Bhargava) [2164042] - powerpc/pseries: reset the RCU watchdogs after a LPM (Mamatha Inamdar) [2192569] - sched/debug: Put sched/domains files under the verbose flag (Phil Auld) [2053117] - soc: imx: imx8m-blk-ctrl: reordering the fields (Steve Best) [2182875] - soc: imx8m: Support building imx8m soc driver as module (Steve Best) [2182875] - soc: imx8m: Add MODULE_LICENSE (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: Fix typo of imx8m_blk_ctrl_of_match (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Scan subnodes and bind drivers to them (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: set HDMI LCDIF panic read hurry level (Steve Best) [2182875] - soc: imx8m: Fix incorrect check for of_clk_get_by_name() (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: set LCDIF panic read hurry level (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: don't set power device name (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: expose high performance PLL clock (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: add instance specific probe function (Steve Best) [2182875] - soc: imx: add Kconfig symbols for blk-ctrl drivers (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: enable global pixclk with HDMI_TX_PHY PD (Steve Best) [2182875] - soc: imx8m: Enable OCOTP clock before reading the register (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Defer probe if 'bus' genpd is not yet ready (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Use genpd_xlate_onecell (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: handle PCIe PHY resets (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add i.MX8MP VPU blk ctrl (Steve Best) [2182875] - dt-bindings: power: imx8mp-power: add HDMI HDCP/HRV (Steve Best) [2182875] - dt-bindings: soc: imx: add i.MX8MP vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: imx: drop minItems for i.MX8MM vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: add binding for i.MX8MM VPU blk-ctrl (Steve Best) [2182875] - soc: imx: add i.MX8MP HDMI blk ctrl HDCP/HRV_MWR (Steve Best) [2182875] - soc: imx: add icc paths for i.MX8MP hsio/hdmi blk ctrl (Steve Best) [2182875] - soc: imx: add icc paths for i.MX8MP media blk ctrl (Steve Best) [2182875] - interconnect: add device managed bulk API (Steve Best) [2182875] - interconnect: Add stubs for the bulk API (Steve Best) [2182875] - Revert "soc: imx: imx8m-blk-ctrl: set power device name" (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Make error prints useful (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: fix display clock for LCDIF2 power domain (Steve Best) [2182875] - soc: imx: fix semicolon.cocci warnings (Steve Best) [2182875] - soc: imx: add i.MX8MP HDMI blk-ctrl (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Add i.MX8MP media blk-ctrl (Steve Best) [2182875] - soc: imx: add i.MX8MP HSIO blk-ctrl (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: set power device name (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Fix IMX8MN_DISPBLK_PD_ISI hang (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add i.MX8MQ VPU blk-ctrl (Steve Best) [2182875] - soc: imx: Remove Layerscape check (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add i.MX8MN DISP blk-ctrl (Steve Best) [2182875] - soc: imx: Register SoC device only on i.MX boards (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Fix imx8mm mipi reset (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: off by one in imx8m_blk_ctrl_xlate() (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add DISP blk-ctrl (Steve Best) [2182875] - soc: imx: add i.MX8M blk-ctrl driver (Steve Best) [2182875] - imx: soc: Select REGMAP_MMIO (Steve Best) [2182875] - soc: qcom: socinfo: add support for SA8775P (Adrien Thierry) [2193174] - dt-bindings: arm: qcom: add the SoC ID for SA8775P (Adrien Thierry) [2193174] - soc: qcom: smem: update max processor count (Adrien Thierry) [2193174] - pwm: imx27: Simplify using devm_pwmchip_add() (Steve Best) [2184318] - sched/rt: Fix bad task migration for rt tasks (Valentin Schneider) [2182900] - cgroup/cpuset: Make cpuset_attach_task() skip subpartitions CPUs for top_cpuset (Waiman Long) [2182238] - cgroup/cpuset: Add cpuset_can_fork() and cpuset_cancel_fork() methods (Waiman Long) [2182238] - cgroup/cpuset: Make cpuset_fork() handle CLONE_INTO_CGROUP properly (Waiman Long) [2182238] - cgroup/cpuset: Wake up cpuset_attach_wq tasks in cpuset_cancel_attach() (Waiman Long) [2182238] - cgroup/cpuset: Fix partition root's cpuset.cpus update bug (Waiman Long) [2182238] - cpuset: Call set_cpus_allowed_ptr() with appropriate mask for task (Waiman Long) [2182238] - cgroup/cpuset: Don't filter offline CPUs in cpuset_cpus_allowed() for top cpuset tasks (Waiman Long) [2182238] - cgroup/cpuset: Fix wrong check in update_parent_subparts_cpumask() (Waiman Long) [2182238] - cgroup/cpuset: fix a few kernel-doc warnings & coding style (Waiman Long) [2182238] - cgroup/cpuset: no need to explicitly init a global static variable (Waiman Long) [2182238] - cgroup/cpuset: Improve cpuset_css_alloc() description (Waiman Long) [2182238] - cgroup/cpuset: use hotplug_memory_notifier() directly (Waiman Long) [2182238] - cgroup: Export cgroup_mutex (Waiman Long) [2182238] - sched/core: Adjusting the order of scanning CPU (Phil Auld) [RHEL-310] - sched/fair: Remove capacity inversion detection (Phil Auld) [RHEL-310] - sched/fair: unlink misfit task from cpu overutilized (Phil Auld) [RHEL-310] - sched/fair: Fixes for capacity inversion detection (Phil Auld) [RHEL-310] - sched/uclamp: Fix a uninitialized variable warnings (Phil Auld) [RHEL-310] - sched: Introduce struct balance_callback to avoid CFI mismatches (Phil Auld) [RHEL-310] - sched/fair: Check if prev_cpu has highest spare cap in feec() (Phil Auld) [RHEL-310] - sched/fair: Consider capacity inversion in util_fits_cpu() (Phil Auld) [RHEL-310] - sched/fair: Detect capacity inversion (Phil Auld) [RHEL-310] - sched/uclamp: Cater for uclamp in find_energy_efficient_cpu()'s early exit condition (Phil Auld) [RHEL-310] - sched/uclamp: Make cpu_overutilized() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Make asym_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Make select_idle_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Fix fits_capacity() check in feec() (Phil Auld) [RHEL-310] - sched/uclamp: Make task_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Fix relationship between uclamp and migration margin (Phil Auld) [RHEL-310] Resolves: rhbz#2053117, rhbz#2164042, rhbz#2182238, rhbz#2182875, rhbz#2182900, rhbz#2183621, rhbz#2184318, rhbz#2192506, rhbz#2192569, rhbz#2193174, RHEL-257, RHEL-310 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-15 07:58:48 +00:00
# CONFIG_NFSD_V2 is not set
CONFIG_NFSD_V3_ACL=y
CONFIG_NFSD_V3=y
CONFIG_NFSD_V4_2_INTER_SSC=y
CONFIG_NFSD_V4_SECURITY_LABEL=y
CONFIG_NFSD_V4=y
CONFIG_NFS_FSCACHE=y
CONFIG_NFS_FS=m
CONFIG_NF_SOCKET_IPV4=m
CONFIG_NF_SOCKET_IPV6=m
# CONFIG_NFS_SWAP is not set
# CONFIG_NFS_USE_LEGACY_DNS is not set
# CONFIG_NFS_V2 is not set
CONFIG_NFS_V3_ACL=y
CONFIG_NFS_V3=m
CONFIG_NFS_V4_1_IMPLEMENTATION_ID_DOMAIN="kernel.org"
# CONFIG_NFS_V4_1_MIGRATION is not set
CONFIG_NFS_V4_1=y
# CONFIG_NFS_V4_2_READ_PLUS is not set
CONFIG_NFS_V4_2=y
CONFIG_NFS_V4=m
CONFIG_NF_TABLES_ARP=y
CONFIG_NF_TABLES_BRIDGE=m
CONFIG_NF_TABLES_INET=y
CONFIG_NF_TABLES_IPV4=y
CONFIG_NF_TABLES_IPV6=y
CONFIG_NF_TABLES=m
CONFIG_NF_TABLES_NETDEV=y
CONFIG_NFT_BRIDGE_META=m
CONFIG_NFT_BRIDGE_REJECT=m
CONFIG_NFT_COMPAT=m
CONFIG_NFT_CONNLIMIT=m
CONFIG_NFT_COUNTER=m
CONFIG_NFT_CT=m
CONFIG_NFT_DUP_IPV4=m
CONFIG_NFT_DUP_IPV6=m
CONFIG_NFT_DUP_NETDEV=m
CONFIG_NFT_FIB_INET=m
CONFIG_NFT_FIB_IPV4=m
CONFIG_NFT_FIB_IPV6=m
CONFIG_NFT_FIB_NETDEV=m
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_NFT_FLOW_OFFLOAD=m
CONFIG_NFT_FWD_NETDEV=m
CONFIG_NFT_HASH=m
CONFIG_NFT_LIMIT=m
# CONFIG_NFTL is not set
CONFIG_NFT_LOG=m
CONFIG_NFT_MASQ=m
CONFIG_NFT_NAT=m
CONFIG_NFT_NUMGEN=m
CONFIG_NFT_OBJREF=m
CONFIG_NFT_OSF=m
CONFIG_NF_TPROXY_IPV4=m
CONFIG_NF_TPROXY_IPV6=m
CONFIG_NFT_QUEUE=m
CONFIG_NFT_QUOTA=m
CONFIG_NFT_REDIR=m
CONFIG_NFT_REJECT_INET=m
CONFIG_NFT_REJECT_IPV4=m
CONFIG_NFT_REJECT_IPV6=m
CONFIG_NFT_REJECT=m
CONFIG_NFT_REJECT_NETDEV=m
CONFIG_NFT_SOCKET=m
kernel-5.14.0-84.el9 * Tue May 03 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-84.el9] - sctp: use the correct skb for security_sctp_assoc_request (Ondrej Mosnacek) [2078856] - security: implement sctp_assoc_established hook in selinux (Ondrej Mosnacek) [2078856] - security: add sctp_assoc_established hook (Ondrej Mosnacek) [2078856] - security: call security_sctp_assoc_request in sctp_sf_do_5_1D_ce (Ondrej Mosnacek) [2078856] - security: pass asoc to sctp_assoc_request and sctp_sk_clone (Ondrej Mosnacek) [2078856] - string.h: Introduce memset_startat() for wiping trailing members and padding (Íñigo Huguet) [2077839] - string.h: Introduce memset_after() for wiping trailing members/padding (Íñigo Huguet) [2077839] - net_tstamp: define new flag HWTSTAMP_FLAG_BONDED_PHC_INDEX (Petr Oros) [2073458] - net_tstamp: add new flag HWTSTAMP_FLAG_BONDED_PHC_INDEX (Petr Oros) [2073458] - bnx2x: truncate value to original sizing (Manish Chopra) [2040283] - bnx2x: use correct format characters (Manish Chopra) [2040283] - bnx2x: fix built-in kernel driver load failure (Manish Chopra) [2040283] - bnx2x: fix driver load from initrd (Manish Chopra) [2040283] - bnx2x: Replace one-element array with flexible-array member (Manish Chopra) [2040283] - bnx2x: Remove useless DMA-32 fallback configuration (Manish Chopra) [2040283] - bnx2x: Invalidate fastpath HSI version for VFs (Manish Chopra) [2040283] - bnx2x: Utilize firmware 7.13.21.0 (Manish Chopra) [2040283] - bnx2x: constify static inline stub for dev_addr (Manish Chopra) [2040283] - net: bnx2x: fix variable dereferenced before check (Manish Chopra) [2040283] - ethernet: bnx2x: use eth_hw_addr_set() (Manish Chopra) [2040283] - cacheflush.h: Add forward declaration for struct folio (Aristeu Rozanski) [2019485] - mm/swap: fix confusing comment in folio_mark_accessed (Aristeu Rozanski) [2019485] - mm: page->mapping folio->mapping should have the same offset (Aristeu Rozanski) [2019485] - mm: delete unsafe BUG from page_cache_add_speculative() (Aristeu Rozanski) [2019485] - mm: Add functions to zero portions of a folio (Aristeu Rozanski) [2019485] - fs: Rename AS_THP_SUPPORT and mapping_thp_support (Aristeu Rozanski) [2019485] - fs: Remove FS_THP_SUPPORT (Aristeu Rozanski) [2019485] - mm: Remove folio_test_single (Aristeu Rozanski) [2019485] - mm: Rename folio_test_multi to folio_test_large (Aristeu Rozanski) [2019485] - Add linux/cacheflush.h (Aristeu Rozanski) [2019485] - folio: Add a function to get the host inode for a folio (Aristeu Rozanski) [2019485] - folio: Add a function to change the private data attached to a folio (Aristeu Rozanski) [2019485] - mm: fix mismerge of folio page flag manipulators (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_write_one (Aristeu Rozanski) [2019485] - mm/filemap: Add FGP_STABLE (Aristeu Rozanski) [2019485] - mm/filemap: Add filemap_get_folio (Aristeu Rozanski) [2019485] - mm/filemap: Convert mapping_get_entry to return a folio (Aristeu Rozanski) [2019485] - mm/filemap: Add filemap_add_folio() (Aristeu Rozanski) [2019485] - mm/filemap: Add filemap_alloc_folio (Aristeu Rozanski) [2019485] - mm/page_alloc: Add folio allocation functions (Aristeu Rozanski) [2019485] - mm/lru: Add folio_add_lru() (Aristeu Rozanski) [2019485] - mm/lru: Convert __pagevec_lru_add_fn to take a folio (Aristeu Rozanski) [2019485] - mm: Add folio_evictable() (Aristeu Rozanski) [2019485] - mm/workingset: Convert workingset_refault() to take a folio (Aristeu Rozanski) [2019485] - mm/filemap: Add readahead_folio() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_mkwrite_check_truncate() (Aristeu Rozanski) [2019485] - mm/filemap: Add i_blocks_per_folio() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_redirty_for_writepage() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_account_redirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_clear_dirty_for_io() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_cancel_dirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_account_cleaned() (Aristeu Rozanski) [2019485] - mm/writeback: Add filemap_dirty_folio() (Aristeu Rozanski) [2019485] - mm/writeback: Convert tracing writeback_page_template to folios (Aristeu Rozanski) [2019485] - mm/writeback: Add __folio_mark_dirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_mark_dirty() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_start_writeback() (Aristeu Rozanski) [2019485] - mm/writeback: Add __folio_end_writeback() (Aristeu Rozanski) [2019485] - mm/writeback: Change __wb_writeout_inc() to __wb_writeout_add() (Aristeu Rozanski) [2019485] - flex_proportions: Allow N events instead of 1 (Aristeu Rozanski) [2019485] - mm/writeback: Rename __add_wb_stat() to wb_stat_mod() (Aristeu Rozanski) [2019485] - mm/migrate: Add folio_migrate_copy() (Aristeu Rozanski) [2019485] - mm/migrate: Add folio_migrate_flags() (Aristeu Rozanski) [2019485] - mm/migrate: Add folio_migrate_mapping() (Aristeu Rozanski) [2019485] - mm/rmap: Add folio_mkclean() (Aristeu Rozanski) [2019485] - mm/swap: Add folio_mark_accessed() (Aristeu Rozanski) [2019485] - mm/swap: Add folio_activate() (Aristeu Rozanski) [2019485] - mm: Add folio_young and folio_idle (Aristeu Rozanski) [2019485] - mm: Add arch_make_folio_accessible() (Aristeu Rozanski) [2019485] - mm: Add kmap_local_folio() (Aristeu Rozanski) [2019485] - mm: Add flush_dcache_folio() (Aristeu Rozanski) [2019485] - mm: Add folio_raw_mapping() (Aristeu Rozanski) [2019485] - mm: Add folio_pfn() (Aristeu Rozanski) [2019485] - mm/workingset: Convert workingset_activation to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_lruvec_relock_irq() and folio_lruvec_relock_irqsave() (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_lruvec_lock() and similar functions (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_lruvec() (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_move_account() to use a folio (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_memcg_lock() and folio_memcg_unlock() (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_track_foreign_dirty_slowpath() to folio (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_migrate() to take folios (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_uncharge() to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Convert uncharge_page() to uncharge_folio() (Aristeu Rozanski) [2019485] - mm/memcg: Convert mem_cgroup_charge() to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Convert commit_charge() to take a folio (Aristeu Rozanski) [2019485] - mm/memcg: Add folio_memcg() and related functions (Aristeu Rozanski) [2019485] - mm/memcg: Convert memcg_check_events to take a node ID (Aristeu Rozanski) [2019485] - mm/memcg: Remove soft_limit_tree_node() (Aristeu Rozanski) [2019485] - mm/memcg: Use the node id in mem_cgroup_update_tree() (Aristeu Rozanski) [2019485] - mm/memcg: Remove 'page' parameter to mem_cgroup_charge_statistics() (Aristeu Rozanski) [2019485] - mm: Add folio_nid() (Aristeu Rozanski) [2019485] - mm: Add folio_mapped() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio private_2 functions (Aristeu Rozanski) [2019485] - mm/filemap: Convert page wait queues to be folios (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_wake_bit() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_wait_bit() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_wait_stable() (Aristeu Rozanski) [2019485] - mm/writeback: Add folio_wait_writeback() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_end_writeback() (Aristeu Rozanski) [2019485] - mm/swap: Add folio_rotate_reclaimable() (Aristeu Rozanski) [2019485] - mm/filemap: Add __folio_lock_or_retry() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_wait_locked() (Aristeu Rozanski) [2019485] - mm/filemap: Add __folio_lock_async() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_lock_killable() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_lock() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_unlock() (Aristeu Rozanski) [2019485] - mm/util: Add folio_mapping() and folio_file_mapping() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_pos() and folio_file_pos() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_next_index() (Aristeu Rozanski) [2019485] - mm/filemap: Add folio_index(), folio_file_page() and folio_contains() (Aristeu Rozanski) [2019485] - mm: Handle per-folio private data (Aristeu Rozanski) [2019485] - mm/lru: Add folio LRU functions (Aristeu Rozanski) [2019485] - mm: Add folio flag manipulation functions (Aristeu Rozanski) [2019485] - mm: Add folio_try_get_rcu() (Aristeu Rozanski) [2019485] - mm: Add folio_get() (Aristeu Rozanski) [2019485] - mm: Add folio_put() (Aristeu Rozanski) [2019485] - mm: Add folio reference count functions (Aristeu Rozanski) [2019485] - mm/debug: Add VM_BUG_ON_FOLIO() and VM_WARN_ON_ONCE_FOLIO() (Aristeu Rozanski) [2019485] - mm/vmstat: Add functions to account folio statistics (Aristeu Rozanski) [2019485] - mm: Add folio_pgdat(), folio_zone() and folio_zonenum() (Aristeu Rozanski) [2019485] - mm: Introduce struct folio (Aristeu Rozanski) [2019485] - mm: Convert get_page_unless_zero() to return bool (Aristeu Rozanski) [2019485] - scripts: check_extable: fix typo in user error message (Aristeu Rozanski) [2019485] - PCI: vmd: Prevent recursive locking on interrupt allocation (Myron Stowe) [2066898] - PCI: Mark all AMD Navi10 and Navi14 GPU ATS as broken (Myron Stowe) [2066898] - PCI: mvebu: Fix device enumeration regression (Myron Stowe) [2066898] - PCI: kirin: Add dev struct for of_device_get_match_data() (Myron Stowe) [2066898] - PCI: j721e: Initialize pcie->cdns_pcie before using it (Myron Stowe) [2066898] - PCI/sysfs: Find shadow ROM before static attribute initialization (Myron Stowe) [2066898] - x86/gpu: Reserve stolen memory for first integrated Intel GPU (Myron Stowe) [2066898] - PCI: spear13xx: Avoid invalid address space conversions (Myron Stowe) [2066898] - PCI: hisi: Avoid invalid address space conversions (Myron Stowe) [2066898] - PCI: xilinx-cpm: Rename xilinx_cpm_pcie_port to xilinx_cpm_pcie (Myron Stowe) [2066898] - PCI: xilinx: Rename xilinx_pcie_port to xilinx_pcie (Myron Stowe) [2066898] - PCI: xgene: Rename xgene_pcie_port to xgene_pcie (Myron Stowe) [2066898] - PCI: uniphier: Rename uniphier_pcie_priv to uniphier_pcie (Myron Stowe) [2066898] - PCI: tegra194: Rename tegra_pcie_dw to tegra194_pcie (Myron Stowe) [2066898] - PCI: rcar-gen2: Rename rcar_pci_priv to rcar_pci (Myron Stowe) [2066898] - PCI: microchip: Rename mc_port to mc_pcie (Myron Stowe) [2066898] - PCI: mediatek-gen3: Rename mtk_pcie_port to mtk_gen3_pcie (Myron Stowe) [2066898] - PCI: ls-gen4: Rename ls_pcie_g4 to ls_g4_pcie (Myron Stowe) [2066898] - PCI: iproc: Rename iproc_pcie_pltfm_ to iproc_pltfm_pcie_ (Myron Stowe) [2066898] - PCI: iproc: Rename iproc_pcie_bcma_ to iproc_bcma_pcie_ (Myron Stowe) [2066898] - PCI: intel-gw: Rename intel_pcie_port to intel_pcie (Myron Stowe) [2066898] - PCI: j721e: Drop redundant struct device * (Myron Stowe) [2066898] - PCI: j721e: Drop pointless of_device_get_match_data() cast (Myron Stowe) [2066898] - PCI: kirin: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: keystone: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: dra7xx: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: designware-plat: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: cadence: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: artpec6: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: altera: Prefer of_device_get_match_data() (Myron Stowe) [2066898] - PCI: xgene: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: hv: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: keystone: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: Use PCI_ERROR_RESPONSE to identify config read errors (Myron Stowe) [2066898] - PCI: cpqphp: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI/PME: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI/DPC: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI: pciehp: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI: vmd: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI/ERR: Use PCI_POSSIBLE_ERROR() to check config reads (Myron Stowe) [2066898] - PCI: rockchip-host: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: rcar-host: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: altera: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: mvebu: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: aardvark: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: kirin: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: histb: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: exynos: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: mediatek: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: iproc: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: thunder: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: Drop error data fabrication when config read fails (Myron Stowe) [2066898] - PCI: Use PCI_SET_ERROR_RESPONSE() for disconnected devices (Myron Stowe) [2066898] - PCI: Set error response data when config read fails (Myron Stowe) [2066898] - PCI: Add PCI_ERROR_RESPONSE and related definitions (Myron Stowe) [2066898] - misc: pci_endpoint_test: Terminate statement with semicolon (Myron Stowe) [2066898] - PCI: Correct misspelled words (Myron Stowe) [2066898] - PCI: Change capability register offsets to hex (Myron Stowe) [2066898] - PCI: Sort Intel Device IDs by value (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Set PCI_STATUS_CAP_LIST for PCIe device (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Correctly set PCIe capabilities (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Fix definitions of reserved bits (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Properly mark reserved PCIe bits in PCI config space (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Make expansion ROM Base Address register read-only (Myron Stowe) [2066898] - PCI: xilinx-nwl: Simplify code and fix a memory leak (Myron Stowe) [2066898] - PCI: xgene: Fix IB window setup (Myron Stowe) [2066898] - PCI: xgene-msi: Use bitmap_zalloc() when applicable (Myron Stowe) [2066898] - PCI: vmd: Add DID 8086:A77F for all Intel Raptor Lake SKU's (Myron Stowe) [2066898] - PCI: vmd: Honor ACPI _OSC on PCIe features (Myron Stowe) [2066898] - PCI: vmd: Clean up domain before enumeration (Myron Stowe) [2066898] - PCI: qcom-ep: Constify static dw_pcie_ep_ops (Myron Stowe) [2066898] - PCI: qcom: Use __be16 type to store return value from cpu_to_be16() (Myron Stowe) [2066898] - PCI: mvebu: Add support for compiling driver as module (Myron Stowe) [2066898] - bus: mvebu-mbus: Export symbols for public API window functions (Myron Stowe) [2066898] - PCI: mvebu: Fix support for DEVCAP2, DEVCTL2 and LNKCTL2 registers on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix support for PCI_EXP_RTSTA on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix support for PCI_EXP_DEVCTL on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix support for PCI_BRIDGE_CTL_BUS_RESET on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Fix configuring secondary bus of PCIe Root Port via emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Set PCI Bridge Class Code to PCI Bridge (Myron Stowe) [2066898] - PCI: mvebu: Setup PCIe controller to Root Complex mode (Myron Stowe) [2066898] - PCI: mvebu: Propagate errors when updating PCI_IO_BASE and PCI_MEM_BASE registers (Myron Stowe) [2066898] - PCI: mvebu: Do not modify PCI IO type bits in conf_write (Myron Stowe) [2066898] - PCI: mvebu: Fix support for bus mastering and PCI_COMMAND on emulated bridge (Myron Stowe) [2066898] - PCI: mvebu: Disallow mapping interrupts on emulated bridges (Myron Stowe) [2066898] - PCI: mvebu: Handle invalid size of read config request (Myron Stowe) [2066898] - PCI: mvebu: Check that PCI bridge specified in DT has function number zero (Myron Stowe) [2066898] - PCI: mvebu: Check for errors from pci_bridge_emul_init() call (Myron Stowe) [2066898] - PCI: mvebu: Check for valid ports (Myron Stowe) [2066898] - arm: ioremap: Remove unused ARM-specific function pci_ioremap_io() (Myron Stowe) [2066898] - arm: ioremap: Replace pci_ioremap_io() usage by pci_remap_iospace() (Myron Stowe) [2066898] - PCI: mvebu: Remove custom mvebu_pci_host_probe() function (Myron Stowe) [2066898] - PCI: mvebu: Replace pci_ioremap_io() usage by devm_pci_remap_iospace() (Myron Stowe) [2066898] - arm: ioremap: Implement standard PCI function pci_remap_iospace() (Myron Stowe) [2066898] - PCI: mt7621: Allow COMPILE_TEST for all arches (Myron Stowe) [2066898] - PCI: Let pcibios_root_bridge_prepare() access bridge->windows (Myron Stowe) [2066898] - PCI: mediatek-gen3: Disable DVFSRC voltage request (Myron Stowe) [2066898] - PCI: mediatek: Assert PERST# for 100ms for power and clock to stabilize (Myron Stowe) [2066898] - PCI: keystone: Use phandle argument from "ti,syscon-pcie-id"/"ti,syscon-pcie-mode" (Myron Stowe) [2066898] - dt-bindings: PCI: ti,am65: Fix "ti,syscon-pcie-id"/"ti,syscon-pcie-mode" to take argument (Myron Stowe) [2066898] - PCI: endpoint: Return -EINVAL when interrupts num is smaller than 1 (Myron Stowe) [2066898] - PCI: layerscape: Change to use the DWC common link-up check function (Myron Stowe) [2066898] - PCI: imx: Add the imx8mm pcie support (Myron Stowe) [2066898] - PCI: qcom-ep: Remove surplus dev_err() when using platform_get_irq_byname() (Myron Stowe) [2066898] - PCI: dwc: Do not remap invalid res (Myron Stowe) [2066898] - PCI: brcmstb: Do not turn off WOL regulators on suspend (Myron Stowe) [2066898] - PCI: brcmstb: Add control of subdevice voltage regulators (Myron Stowe) [2066898] - PCI: brcmstb: Add mechanism to turn on subdev regulators (Myron Stowe) [2066898] - PCI: brcmstb: Split brcm_pcie_setup() into two funcs (Myron Stowe) [2066898] - dt-bindings: PCI: Add bindings for Brcmstb EP voltage regulators (Myron Stowe) [2066898] - dt-bindings: PCI: Correct brcmstb interrupts, interrupt-map. (Myron Stowe) [2066898] - PCI: brcmstb: Fix function return value handling (Myron Stowe) [2066898] - PCI: brcmstb: Do not use __GENMASK (Myron Stowe) [2066898] - PCI: brcmstb: Declare 'used' as bitmap, not unsigned long (Myron Stowe) [2066898] - PCI: aardvark: Disable common PHY when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Disable link training when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Assert PERST# when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Fix memory leak in driver unbind (Myron Stowe) [2066898] - PCI: aardvark: Mask all interrupts when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Disable bus mastering when unbinding driver (Myron Stowe) [2066898] - PCI: aardvark: Comment actions in driver remove method (Myron Stowe) [2066898] - PCI: aardvark: Clear all MSIs at setup (Myron Stowe) [2066898] - PCI: aardvark: Add support for DEVCAP2, DEVCTL2, LNKCAP2 and LNKCTL2 registers on emulated bridge (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Add definitions for missing capabilities registers (Myron Stowe) [2066898] - PCI: pci-bridge-emul: Add description for class_revision field (Myron Stowe) [2066898] - PCI: Add function 1 DMA alias quirk for Marvell 88SE9125 SATA controller (Myron Stowe) [2066898] - PCI/switchtec: Declare local state_names[] as static (Myron Stowe) [2066898] - PCI/switchtec: Add Gen4 automotive device IDs (Myron Stowe) [2066898] - PCI: Work around Intel I210 ROM BAR overlap defect (Myron Stowe) [2066898] - PCI/P2PDMA: Use percpu_ref_tryget_live_rcu() inside RCU critical section (Myron Stowe) [2066898] - MAINTAINERS: Add Logan Gunthorpe as P2PDMA maintainer (Myron Stowe) [2066898] - via-agp: convert to generic power management (Myron Stowe) [2066898] - sis-agp: convert to generic power management (Myron Stowe) [2066898] - amd64-agp: convert to generic power management (Myron Stowe) [2066898] - PCI: pciehp: Use down_read/write_nested(reset_lock) to fix lockdep errors (Myron Stowe) [2066898] - PCI: ibmphp: Remove commented-out functions (Myron Stowe) [2066898] - PCI: pciehp: Fix infinite loop in IRQ handler upon power fault (Myron Stowe) [2066898] - x86/PCI: Remove initialization of static variables to false (Myron Stowe) [2066898] - PCI: Use DWORD accesses for LTR, L1 SS to avoid erratum (Myron Stowe) [2066898] - PCI/sysfs: Use default_groups in kobj_type for slot attrs (Myron Stowe) [2066898] - PCI: Convert pci_dev_present() stub to static inline (Myron Stowe) [2066898] - PCI: Use pci_find_vsec_capability() when looking for TBT devices (Myron Stowe) [2066898] - PCI/ASPM: Remove struct aspm_latency (Myron Stowe) [2066898] - PCI/ASPM: Stop caching device L0s, L1 acceptable exit latencies (Myron Stowe) [2066898] - PCI/ASPM: Stop caching link L0s, L1 exit latencies (Myron Stowe) [2066898] - PCI/ASPM: Move pci_function_0() upward (Myron Stowe) [2066898] - PCI: Add MSI masking quirk for Nvidia ION AHCI (Myron Stowe) [2066898] - PCI/MSI: Destroy sysfs before freeing entries (Myron Stowe) [2066898] - PCI/MSI: Deal with devices lying about their MSI mask capability (Myron Stowe) [2066898] - misc: pci_endpoint_test: Ensure relationship between miscdev and PCI (Myron Stowe) [2066898] - redhat/configs: Enable CONFIG_NFT_SYNPROXY (Phil Sutter) [2069735] - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065351] {CVE-2022-1015} - netfilter: nf_tables: initialize registers in nft_do_chain() (Phil Sutter) [2067964] {CVE-2022-1016} - netfilter: conntrack: Add and use nf_ct_set_auto_assign_helper_warned() (Phil Sutter) [2069114] - ipmi: initialize len variable (Tony Camuso) [2067267] - ipmi: kcs: aspeed: Remove old bindings support (Tony Camuso) [2067267] - ipmi:ipmb: Add the ability to have a separate slave and master device (Tony Camuso) [2067267] - ipmi:ipmi_ipmb: Unregister the SMI on remove (Tony Camuso) [2067267] - ipmi: kcs: aspeed: Add AST2600 compatible string (Tony Camuso) [2067267] - ipmi: ssif: replace strlcpy with strscpy (Tony Camuso) [2067267] - ipmi/watchdog: Constify ident (Tony Camuso) [2067267] - ipmi: Fix UAF when uninstall ipmi_si and ipmi_msghandler module (Tony Camuso) [2067267] - ipmi: fix initialization when workqueue allocation fails (Tony Camuso) [2067267] - ipmi: bail out if init_srcu_struct fails (Tony Camuso) [2067267] - ipmi: ssif: initialize ssif_info->client early (Tony Camuso) [2067267] - ipmi:ipmb: Fix unknown command response (Tony Camuso) [2067267] - ipmi: fix IPMI_SMI_MSG_TYPE_IPMB_DIRECT response length checking (Tony Camuso) [2067267] - ipmi: fix oob access due to uninit smi_msg type (Tony Camuso) [2067267] - ipmi: msghandler: Make symbol 'remove_work_wq' static (Tony Camuso) [2067267] - ipmi: Move remove_work to dedicated workqueue (Tony Camuso) [2067267] - ipmi: kcs_bmc: Fix a memory leak in the error handling path of 'kcs_bmc_serio_add_device()' (Tony Camuso) [2067267] - char: ipmi: replace snprintf in show functions with sysfs_emit (Tony Camuso) [2067267] - ipmi: ipmb: fix dependencies to eliminate build error (Tony Camuso) [2067267] - ipmi:ipmb: Add OF support (Tony Camuso) [2067267] - ipmi: bt: Add ast2600 compatible string (Tony Camuso) [2067267] - ipmi: bt-bmc: Use registers directly (Tony Camuso) [2067267] - ipmi: ipmb: Fix off-by-one size check on rcvlen (Tony Camuso) [2067267] - ipmi:ssif: Use depends on, not select, for I2C (Tony Camuso) [2067267] - ipmi:ipmb: Add initial support for IPMI over IPMB (Tony Camuso) [2067267] - ipmi: Add support for IPMB direct messages (Tony Camuso) [2067267] - config: add CONFIG_IPMI_IPMB (Tony Camuso) [2067267] - ipmi: Export ipmb_checksum() (Tony Camuso) [2067267] - ipmi: Check error code before processing BMC response (Tony Camuso) [2067267] - ipmi:devintf: Return a proper error when recv buffer too small (Tony Camuso) [2067267] - ipmi: Disable some operations during a panic (Tony Camuso) [2067267] - ipmi:watchdog: Set panic count to proper value on a panic (Tony Camuso) [2067267] - parisc: Make struct parisc_driver::remove() return void (Tony Camuso) [2067267] - char: ipmi: use DEVICE_ATTR helper macro (Tony Camuso) [2067267] - ipmi: rate limit ipmi smi_event failure message (Tony Camuso) [2067267] Resolves: rhbz#2078856, rhbz#2077839, rhbz#2073458, rhbz#2040283, rhbz#2019485, rhbz#2066898, rhbz#2069735, rhbz#2065351, rhbz#2067964, rhbz#2069114, rhbz#2067267 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-03 09:13:09 +00:00
CONFIG_NFT_SYNPROXY=m
CONFIG_NFT_TPROXY=m
CONFIG_NFT_TUNNEL=m
CONFIG_NFT_XFRM=m
CONFIG_N_GSM=m
CONFIG_N_HDLC=m
# CONFIG_NI903X_WDT is not set
# CONFIG_NIC7018_WDT is not set
# CONFIG_NILFS2_FS is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_NITRO_ENCLAVES=m
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
# CONFIG_NITRO_ENCLAVES_MISC_DEV_TEST is not set
# CONFIG_NL80211_TESTMODE is not set
CONFIG_NLMON=m
CONFIG_NLS_ASCII=y
CONFIG_NLS_CODEPAGE_1250=m
CONFIG_NLS_CODEPAGE_1251=m
CONFIG_NLS_CODEPAGE_437=y
CONFIG_NLS_CODEPAGE_737=m
CONFIG_NLS_CODEPAGE_775=m
CONFIG_NLS_CODEPAGE_850=m
CONFIG_NLS_CODEPAGE_852=m
CONFIG_NLS_CODEPAGE_855=m
CONFIG_NLS_CODEPAGE_857=m
CONFIG_NLS_CODEPAGE_860=m
CONFIG_NLS_CODEPAGE_861=m
CONFIG_NLS_CODEPAGE_862=m
CONFIG_NLS_CODEPAGE_863=m
CONFIG_NLS_CODEPAGE_864=m
CONFIG_NLS_CODEPAGE_865=m
CONFIG_NLS_CODEPAGE_866=m
CONFIG_NLS_CODEPAGE_869=m
CONFIG_NLS_CODEPAGE_874=m
CONFIG_NLS_CODEPAGE_932=m
CONFIG_NLS_CODEPAGE_936=m
CONFIG_NLS_CODEPAGE_949=m
CONFIG_NLS_CODEPAGE_950=m
CONFIG_NLS_DEFAULT="utf8"
CONFIG_NLS_ISO8859_13=m
CONFIG_NLS_ISO8859_14=m
CONFIG_NLS_ISO8859_15=m
CONFIG_NLS_ISO8859_1=m
CONFIG_NLS_ISO8859_2=m
CONFIG_NLS_ISO8859_3=m
CONFIG_NLS_ISO8859_4=m
CONFIG_NLS_ISO8859_5=m
CONFIG_NLS_ISO8859_6=m
CONFIG_NLS_ISO8859_7=m
CONFIG_NLS_ISO8859_8=m
CONFIG_NLS_ISO8859_9=m
CONFIG_NLS_KOI8_R=m
CONFIG_NLS_KOI8_U=m
CONFIG_NLS_MAC_CELTIC=m
CONFIG_NLS_MAC_CENTEURO=m
CONFIG_NLS_MAC_CROATIAN=m
CONFIG_NLS_MAC_CYRILLIC=m
CONFIG_NLS_MAC_GAELIC=m
CONFIG_NLS_MAC_GREEK=m
CONFIG_NLS_MAC_ICELAND=m
CONFIG_NLS_MAC_INUIT=m
CONFIG_NLS_MAC_ROMANIAN=m
CONFIG_NLS_MAC_ROMAN=m
CONFIG_NLS_MAC_TURKISH=m
CONFIG_NLS_UTF8=m
CONFIG_NLS=y
# CONFIG_NOA1305 is not set
CONFIG_NODES_SHIFT=8
CONFIG_NO_HZ_FULL=y
# CONFIG_NO_HZ_IDLE is not set
CONFIG_NO_HZ=y
# CONFIG_NOP_USB_XCEIV is not set
# CONFIG_NOTIFIER_ERROR_INJECTION is not set
CONFIG_NOUVEAU_DEBUG=5
CONFIG_NOUVEAU_DEBUG_DEFAULT=3
CONFIG_NOUVEAU_DEBUG_MMU=y
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_NOUVEAU_DEBUG_PUSH=y
# CONFIG_NOUVEAU_LEGACY_CTX_SUPPORT is not set
CONFIG_NOZOMI=m
CONFIG_NR_CPUS=2048
CONFIG_NR_IRQS=512
# CONFIG_NTB_AMD is not set
# CONFIG_NTB_EPF is not set
# CONFIG_NTB_IDT is not set
# CONFIG_NTB_INTEL is not set
# CONFIG_NTB is not set
kernel-5.14.0-51.el9 * Mon Jan 31 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-51.el9] - selftests: bpf: Fix bind on used port (Felix Maurer) [2043528] - Add packaged but empty /lib/modules/<kver>/systemtap (Herton R. Krzesinski) [2012908] - powerpc/bpf: Update ldimm64 instructions during extra pass (Yauheni Kaliuta) [2040549] - RDMA/irdma: Don't arm the CQ more than two times if no CE for this CQ (Kamal Heib) [2039426] - RDMA/irdma: Report correct WC errors (Kamal Heib) [2039426] - RDMA/irdma: Fix a potential memory allocation issue in 'irdma_prm_add_pble_mem()' (Kamal Heib) [2039426] - RDMA/irdma: Fix a user-after-free in add_pble_prm (Kamal Heib) [2039426] - RDMA/irdma: Do not hold qos mutex twice on QP resume (Kamal Heib) [2039426] - RDMA/irdma: Set VLAN in UD work completion correctly (Kamal Heib) [2039426] - RDMA/irdma: Process extended CQ entries correctly (Kamal Heib) [2039426] - RDMA/irdma: Report correct WC error when there are MW bind errors (Kamal Heib) [2039426] - RDMA/irdma: Report correct WC error when transport retry counter is exceeded (Kamal Heib) [2039426] - RDMA/irdma: Validate number of CQ entries on create CQ (Kamal Heib) [2039426] - RDMA/irdma: Skip CQP ring during a reset (Kamal Heib) [2039426] - redhat/configs: Enable CONFIG_DM_MULTIPATH_HST (Benjamin Marzinski) [2000835] - RDMA/core: Don't infoleak GRH fields (Kamal Heib) [2036599] - RDMA/uverbs: Check for null return of kmalloc_array (Kamal Heib) [2036599] - RDMA/sa_query: Use strscpy_pad instead of memcpy to copy a string (Kamal Heib) [2036599] - RDMA/cma: Ensure rdma_addr_cancel() happens before issuing more requests (Kamal Heib) [2036599] - RDMA/cma: Fix listener leak in rdma_cma_listen_on_all() failure (Kamal Heib) [2036599] - IB/cma: Do not send IGMP leaves for sendonly Multicast groups (Kamal Heib) [2036599] - IB/core: Remove deprecated current_seq comments (Kamal Heib) [2036599] - RDMA/iwcm: Release resources if iw_cm module initialization fails (Kamal Heib) [2036599] - sched: padding for user_struct for KABI (Phil Auld) [2033084] - sched: padding for signal_struct in linux/sched/signal.h (Phil Auld) [2033084] - sched: padding for struct rq and related (Phil Auld) [2033084] - sched: Padding for sched_domain and root_domain (Phil Auld) [2033084] - sched: Padding for task_struct and related in include/linux/sched.h (Phil Auld) [2033084] - hwmon: (k10temp) Support up to 12 CCDs on AMD Family of processors (David Arcari) [2022526] - hwmon: (k10temp) Add support for AMD Family 19h Models 10h-1Fh and A0h-AFh (David Arcari) [2022526] - hwmon: (k10temp) Remove unused definitions (David Arcari) [2022526] - x86/amd_nb: Add AMD Family 19h Models (10h-1Fh) and (A0h-AFh) PCI IDs (David Arcari) [2022526] - hwmon: (k10temp) Remove residues of current and voltage (David Arcari) [2022526] - tipc: check for null after calling kmemdup (Xin Long) [2024993] - tipc: only accept encrypted MSG_CRYPTO msgs (Xin Long) [2024993] - tipc: constify dev_addr passing (Xin Long) [2024993] - tipc: increase timeout in tipc_sk_enqueue() (Xin Long) [2024993] - tipc: clean up inconsistent indenting (Xin Long) [2024993] - redhat: configs: add CONFIG_NTB and related items (John Linville) [1874186] Resolves: rhbz#1874186, rhbz#2000835, rhbz#2012908, rhbz#2022526, rhbz#2024993, rhbz#2033084, rhbz#2036599, rhbz#2039426, rhbz#2040549, rhbz#2043528 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-31 17:05:21 +00:00
# CONFIG_NTB_NETDEV is not set
# CONFIG_NTB_PERF is not set
# CONFIG_NTB_PINGPONG is not set
# CONFIG_NTB_SWITCHTEC is not set
# CONFIG_NTB_TOOL is not set
# CONFIG_NTB_TRANSPORT is not set
# CONFIG_NTFS_FS is not set
# CONFIG_NULL_TTY is not set
CONFIG_NUMA_BALANCING_DEFAULT_ENABLED=y
CONFIG_NUMA_BALANCING=y
CONFIG_NUMA=y
CONFIG_NVDIMM_DAX=y
CONFIG_NVDIMM_PFN=y
kernel-5.14.0-179.el9 * Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9] - dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028] - dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028] - dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028] - MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028] - dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028] - dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028] - dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028] - dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028] - dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028] - dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028] - dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028] - dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028] - dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028] - dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028] - dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028] - MAINTAINERS: update my email address (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028] - dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028] - dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028] - dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028] - dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028] - dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028] - dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028] - dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028] - dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028] - dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028] - Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028] - pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693] - gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693] - pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693] - ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693] - pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693] - nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526] - Enabling configs for AUTH support. (Gopal Tiwari) [2124526] - nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526] - nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526] - nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526] - nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526] - nvmet: fix a use-after-free (Gopal Tiwari) [2124526] - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526] - nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526] - nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526] - nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526] - nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526] - nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526] - nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526] - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526] - nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526] - nvme: refactor namespace probing (Gopal Tiwari) [2124526] - nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526] - nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526] - nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526] - nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526] - nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526] - nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526] - nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526] - nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526] - nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: don't always build constants.o (Gopal Tiwari) [2124526] - nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526] - nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526] - nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526] - nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526] - nvme-auth: fix off by one checks (Gopal Tiwari) [2124526] - nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526] - nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526] - nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526] - nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526] - nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526] - nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526] - nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526] - nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526] - nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526] - nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526] - nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_shash() (Gopal Tiwari) [2124526] - lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526] - nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526] - nvme: implement In-Band authentication (Gopal Tiwari) [2124526] - nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526] - nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526] - nvme: remove a double word in a comment (Gopal Tiwari) [2124526] - nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526] - nvme: remove unused timeout parameter (Gopal Tiwari) [2124526] - nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526] - nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526] - nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: fix block device naming collision (Gopal Tiwari) [2124526] - nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526] - nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526] - nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526] - nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526] - nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526] - nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526] - nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526] - block: simplify disk shutdown (Gopal Tiwari) [2124526] - nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526] - nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526] - nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526] - nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526] - nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526] - nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526] - nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526] - nvmet: fix typo in comment (Gopal Tiwari) [2124526] - nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526] - nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526] - nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526] - nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526] - nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526] - nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526] - nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526] - nvme: set dma alignment to dword (Gopal Tiwari) [2124526] - nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526] - nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526] - nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526] - nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526] - nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526] - nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526] - nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526] - nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526] - nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526] - nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526] - nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526] - nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526] - nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526] - nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526] - nvmet: don't fold lines (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526] - nvme-tcp: don't fold the line (Gopal Tiwari) [2124526] - nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526] - nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526] - nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526] - nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526] - nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526] - nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526] - nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526] - nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526] - nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526] - nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526] - nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526] - nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526] - nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526] - nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882} - watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882} - watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882} - cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632] - Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161] - net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161] - docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161] - net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161] - tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161] - net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161] - net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161] - net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161] - tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161] - net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161] - net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161] - net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161] - net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161] - tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161] - tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161] - tcp: get rid of rst_seq_match (Antoine Tenart) [2059161] - tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161] - tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161] - ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161] - net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161] - net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161] - net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161] - skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161] - net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161] - net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161] - net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161] - net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161] - net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161] - skb: make drop reason booleanable (Antoine Tenart) [2059161] - net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161] - net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161] - net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161] - net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161] - net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161] - net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161] - net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161] - net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161] - ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161] - net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161] - net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161] - net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161] - arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054] - irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140] - add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352] - mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352] - mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352] - mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352] - mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352] - mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352] - powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352] - powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352] - s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352] - arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352] - mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352] - mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352] - mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352] - mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352] - mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352] - mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352] - mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352] - mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352] - mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352] - mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352] - s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352] - compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352] - config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352] - config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352] - config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352] - config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352] - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352] - mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352] - mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352] - userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352] - mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352] - mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352] - kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352] - mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352] - mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352] - include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352] - video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352] - ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352] - mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352] - mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352] - mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352] - mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352] - mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352] - mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352] - mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352] - fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352] - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352] - fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352] - mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352] - mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352] - mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352] - mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352] - kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352] - no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352] - kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352] - page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352] - mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352] - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352] - memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352] - mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352] - vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352] - mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352] - mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352] - mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352] - mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352] - mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352] - kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352] - mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352] - mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352] - s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352] - mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352] - mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352] - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352] - highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352] - mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352] - mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352] - fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352] - mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352] - mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352] - Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352] - mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352] - mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352] - mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352] - mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352] - mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352] - Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352] - ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352] - fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352] - readahead: Update comments (Chris von Recklinghausen) [2120352] - mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352] - mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352] - fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352] - readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352] - mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352] - mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352] - s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352] - mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352] - mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352] - mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352] - mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352] - mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352] - mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352] - kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352] - kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352] - kasan: reorder reporting functions (Chris von Recklinghausen) [2120352] - kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352] - kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352] - kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352] - kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352] - kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352] - kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352] - kasan: restructure kasan_report (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352] - kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352] - kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352] - kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352] - kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352] - kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352] - kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: more line breaks in reports (Chris von Recklinghausen) [2120352] - kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352] - kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352] - kasan: update function name in comments (Chris von Recklinghausen) [2120352] - mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352] - kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352] - kasan: documentation updates (Chris von Recklinghausen) [2120352] - arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352] - kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352] - kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352] - kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352] - kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352] - kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352] - kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352] - kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352] - kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352] - kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352] - mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352] - kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352] - kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352] - kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352] - mm: unexport page_init_poison (Chris von Recklinghausen) [2120352] - kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352] - mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352] - Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352] - selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352] - mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352] - mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352] - mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352] - Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352] - Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352] - Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352] - mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352] - mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352] - mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352] - mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352] - mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352] - mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352] - mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352] - kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352] - mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352] - mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352] - mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352] - mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352] - mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352] - mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352] - mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352] - mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352] - mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352] - mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352] - mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352] - memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352] - NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352] - NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352] - mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352] - mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352] - mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352] - mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352] - mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352] - mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352] - mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352] - mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352] - userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352] - mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352] - mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352] - mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352] - mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352] - mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352] - mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352] - mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352] - mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352] - mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352] - mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352] - mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352] - mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352] - mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352] - mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352] - mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352] - mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352] - mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352] - mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352] - mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352] - mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352] - mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352] - mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352] - memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352] - memcg: unify force charging conditions (Chris von Recklinghausen) [2120352] - memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352] - mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352] - memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352] - mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - tmpfs: support for file creation time (Chris von Recklinghausen) [2120352] - mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352] - mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352] - mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352] - mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352] - filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352] - mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352] - remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352] - remove inode_congested() (Chris von Recklinghausen) [2120352] - mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352] - mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352] - mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352] - mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352] - mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352] - mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352] - fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352] - fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352] - afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352] - fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352] - fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352] - fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352] - resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352] - resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352] - signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352] - task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352] - task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352] - task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352] - task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352] - ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352] - ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352] - ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352] - ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352] - mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352] - s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352] - mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352] - mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352] - mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352] - mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352] - memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352] - mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352] - mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352] - mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352] - mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352] - mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352] - mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352] - fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352] - mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352] - mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352] - mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352] - s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352] - s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352] - s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352] - s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352] - mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352] - usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352] - Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352] - uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352] - uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352] - arm64: simplify access_ok() (Chris von Recklinghausen) [2120352] - uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352] - x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352] - x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352] - mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352] - mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352] - tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352] - memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352] - memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352] - mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352] - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352] - ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352] - mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352] - mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352] - Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352] - mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352] - memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352] - fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352] - fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352] - selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352] - mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352] - frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352] - mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352] - mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352] - frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352] - mm: remove cleancache (Chris von Recklinghausen) [2120352] - lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352] - sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352] - delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352] - delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352] - mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352] - mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352] - bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352] - mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352] - find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352] - include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352] - lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352] - arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352] - include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352] - bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352] - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352] - mm: fix some comment errors (Chris von Recklinghausen) [2120352] - zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352] - mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352] - mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352] - mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352] - mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352] - mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352] - mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352] - mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352] - mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352] - mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352] - mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352] - mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352] - mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352] - mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352] - mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352] - vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352] - mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352] - mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352] - mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352] - mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352] - mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352] - mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352] - mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352] - mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352] - mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352] - mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352] - mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352] - mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352] - mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352] - mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352] - mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352] - mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352] - shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352] - mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352] - mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352] - gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352] - mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352] - mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352] - mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352] - mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352] - mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352] - kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352] - mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352] - virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - fscache: Rewrite documentation (Chris von Recklinghausen) [2120352] - ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352] - ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352] - taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352] - exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352] - exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352] - exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352] - exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352] - exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352] - signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352] - signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352] - signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352] - coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352] - signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352] - signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352] - signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352] - signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352] - exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352] - h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352] - kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352] - mm: Remove slab from struct page (Chris von Recklinghausen) [2120352] - mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352] - bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352] - mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352] - mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352] - mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352] - mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352] - mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352] - mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352] - mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352] - mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352] - mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352] - mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352] - mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352] - mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352] - mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352] - kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352] - kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352] - fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352] - fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352] - iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352] - objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352] - exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352] - kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352] - exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352] - exit: Implement kthread_exit (Chris von Recklinghausen) [2120352] - exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352] - exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352] - exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352] - exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352] - exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352] - mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352] - selftests/damon: split test cases (Chris von Recklinghausen) [2120352] - selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352] - selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352] - mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352] - percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352] - memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352] - powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352] - s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352] - hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352] - kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352] - hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352] - mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352] - mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352] - mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352] - signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352] - signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352] - signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352] - signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352] - mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352] - mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352] - mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352] - mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352] - vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352] - selftests/damon: support watermarks (Chris von Recklinghausen) [2120352] - tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352] - mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352] - selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352] - include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352] - include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352] - mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352] - mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352] - mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352] - mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352] - mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352] - mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352] - mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352] - mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352] - mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352] - mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352] - mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352] - mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352] - mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352] - mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352] - mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352] - mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352] - hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352] - mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352] - mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352] - mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352] - mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352] - mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352] - mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352] - mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352] - mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352] - mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352] - mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352] - mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352] - mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352] - memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352] - mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352] - mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352] - mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352] - mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352] - mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352] - fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352] - mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352] - mm: export bdi_unregister (Chris von Recklinghausen) [2120352] - mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352] - mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352] - mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352] - mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352] - mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352] - signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352] - signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352] - signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352] - exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352] - signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352] - signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352] - ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352] - MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352] - signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352] - signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352] - docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352] - mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352] - mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352] - pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352] - mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352] - s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352] - coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352] - kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352] - kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352] - coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352] - exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352] - exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352] - ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352] - signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352] - scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352] - entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352] - mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352] - s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352] - io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352] - io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352] - io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352] - io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352] - io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352] - io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352] - io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352] - io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352] - io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352] - signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352] - s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352] - mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352] - io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352] - io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352] - io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352] - io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352] - fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352] - fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352] - kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352] - asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352] - asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352] - memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352] - Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352] - x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352] - mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352] - arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352] - powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352] - powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352] - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352] - powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352] - s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352] - s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352] - mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352] - x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352] - powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352] - powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352] - powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352] - powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352] - powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352] - arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352] - arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352] - mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352] - mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352] - x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352] - powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352] - powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352] - powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352] - powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352] - powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352] - powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352] - mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352] - mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352] - mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352] - arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352] - arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352] - x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352] - mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352] - hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352] - hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352] - x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352] - arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352] - hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352] - x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352] - x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352] - Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352] - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352] - x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352] - x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352] - arm64: fix typos in comments (Chris von Recklinghausen) [2120352] - powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352] - mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352] - mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352] - hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352] - mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352] - mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352] - mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352] - arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352] - mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352] - x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352] - x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352] - powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352] - s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352] - s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352] - s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352] - s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352] - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352] - powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352] - hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352] - x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352] - powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352] - powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352] - powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352] - powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352] - s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352] - s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352] - s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352] - mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352] - mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352] - powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352] - ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352] - docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352] - powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352] - mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352] - x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352] - mm: page table check (Chris von Recklinghausen) [2120352] - mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352] - mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352] - mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352] - powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352] - x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352] - s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352] - powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352] - s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352] - hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352] - s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352] - s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352] - s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352] - s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352] - s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352] - powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352] - powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352] - powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352] - powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352] - powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352] - powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352] - powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352] - powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352] - powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352] - powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352] - powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352] - powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352] - powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352] - x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352] - x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352] - powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352] - powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352] - powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352] - powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352] - powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352] - powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352] - powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352] - powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352] - powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352] - powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352] - powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352] - powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352] - Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352] - powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352] - s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352] - powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352] - powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352] - kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352] - arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352] - hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352] - memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352] - hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352] - hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352] - hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352] - mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352] - hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352] - s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352] - mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352] - powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352] - powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352] - powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352] - s390: make command line configurable (Chris von Recklinghausen) [2120352] - s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352] - s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352] - s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352] - s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352] - s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352] - s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352] - s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352] - s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352] - s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352] - powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352] - reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352] - exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352] - exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352] - powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352] - s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352] - powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352] - s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352] - s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352] - x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352] - x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352] - x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352] - x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352] - powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352] - powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352] - powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352] - powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352] - powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352] - powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352] - powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352] - powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352] - s390: fix typo in linker script (Chris von Recklinghausen) [2120352] - s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352] - s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352] - powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352] - powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352] - s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352] - s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352] - powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352] - powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352] - s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352] - s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352] - s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352] - s390: add support for KFENCE (Chris von Recklinghausen) [2120352] - s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352] - s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352] - s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352] - s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352] - s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352] - s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352] - s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352] - s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352] - s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352] - s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352] - s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352] - s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352] - s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352] - s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352] - s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352] - s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352] - s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352] - s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352] - powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352] - Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352] - Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352] - Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352] - Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352] - Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352] - Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352] - Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352] - selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352] - selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352] - selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352] - mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352] - mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352] - mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352] Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 19:28:31 +00:00
CONFIG_NVME_AUTH=y
CONFIG_NVME_FC=m
# CONFIG_NVME_HWMON is not set
# CONFIG_NVMEM_REBOOT_MODE is not set
# CONFIG_NVMEM_RMEM is not set
CONFIG_NVMEM_SYSFS=y
CONFIG_NVME_MULTIPATH=y
CONFIG_NVMEM=y
CONFIG_NVME_RDMA=m
kernel-5.14.0-179.el9 * Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9] - dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028] - dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028] - dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028] - MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028] - dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028] - dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028] - dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028] - dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028] - dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028] - dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028] - dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028] - dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028] - dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028] - dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028] - dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028] - MAINTAINERS: update my email address (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028] - dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028] - dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028] - dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028] - dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028] - dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028] - dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028] - dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028] - dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028] - dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028] - Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028] - pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693] - gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693] - pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693] - ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693] - pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693] - nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526] - Enabling configs for AUTH support. (Gopal Tiwari) [2124526] - nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526] - nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526] - nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526] - nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526] - nvmet: fix a use-after-free (Gopal Tiwari) [2124526] - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526] - nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526] - nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526] - nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526] - nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526] - nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526] - nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526] - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526] - nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526] - nvme: refactor namespace probing (Gopal Tiwari) [2124526] - nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526] - nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526] - nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526] - nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526] - nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526] - nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526] - nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526] - nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526] - nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: don't always build constants.o (Gopal Tiwari) [2124526] - nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526] - nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526] - nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526] - nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526] - nvme-auth: fix off by one checks (Gopal Tiwari) [2124526] - nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526] - nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526] - nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526] - nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526] - nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526] - nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526] - nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526] - nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526] - nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526] - nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526] - nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_shash() (Gopal Tiwari) [2124526] - lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526] - nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526] - nvme: implement In-Band authentication (Gopal Tiwari) [2124526] - nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526] - nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526] - nvme: remove a double word in a comment (Gopal Tiwari) [2124526] - nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526] - nvme: remove unused timeout parameter (Gopal Tiwari) [2124526] - nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526] - nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526] - nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: fix block device naming collision (Gopal Tiwari) [2124526] - nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526] - nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526] - nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526] - nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526] - nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526] - nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526] - nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526] - block: simplify disk shutdown (Gopal Tiwari) [2124526] - nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526] - nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526] - nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526] - nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526] - nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526] - nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526] - nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526] - nvmet: fix typo in comment (Gopal Tiwari) [2124526] - nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526] - nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526] - nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526] - nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526] - nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526] - nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526] - nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526] - nvme: set dma alignment to dword (Gopal Tiwari) [2124526] - nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526] - nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526] - nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526] - nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526] - nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526] - nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526] - nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526] - nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526] - nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526] - nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526] - nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526] - nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526] - nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526] - nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526] - nvmet: don't fold lines (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526] - nvme-tcp: don't fold the line (Gopal Tiwari) [2124526] - nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526] - nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526] - nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526] - nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526] - nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526] - nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526] - nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526] - nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526] - nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526] - nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526] - nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526] - nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526] - nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526] - nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882} - watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882} - watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882} - cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632] - Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161] - net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161] - docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161] - net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161] - tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161] - net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161] - net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161] - net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161] - tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161] - net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161] - net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161] - net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161] - net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161] - tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161] - tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161] - tcp: get rid of rst_seq_match (Antoine Tenart) [2059161] - tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161] - tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161] - ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161] - net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161] - net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161] - net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161] - skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161] - net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161] - net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161] - net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161] - net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161] - net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161] - skb: make drop reason booleanable (Antoine Tenart) [2059161] - net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161] - net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161] - net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161] - net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161] - net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161] - net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161] - net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161] - net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161] - ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161] - net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161] - net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161] - net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161] - arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054] - irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140] - add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352] - mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352] - mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352] - mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352] - mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352] - mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352] - powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352] - powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352] - s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352] - arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352] - mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352] - mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352] - mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352] - mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352] - mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352] - mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352] - mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352] - mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352] - mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352] - mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352] - s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352] - compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352] - config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352] - config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352] - config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352] - config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352] - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352] - mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352] - mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352] - userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352] - mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352] - mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352] - kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352] - mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352] - mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352] - include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352] - video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352] - ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352] - mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352] - mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352] - mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352] - mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352] - mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352] - mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352] - mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352] - fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352] - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352] - fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352] - mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352] - mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352] - mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352] - mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352] - kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352] - no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352] - kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352] - page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352] - mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352] - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352] - memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352] - mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352] - vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352] - mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352] - mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352] - mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352] - mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352] - mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352] - kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352] - mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352] - mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352] - s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352] - mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352] - mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352] - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352] - highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352] - mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352] - mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352] - fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352] - mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352] - mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352] - Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352] - mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352] - mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352] - mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352] - mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352] - mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352] - Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352] - ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352] - fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352] - readahead: Update comments (Chris von Recklinghausen) [2120352] - mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352] - mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352] - fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352] - readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352] - mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352] - mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352] - s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352] - mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352] - mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352] - mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352] - mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352] - mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352] - mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352] - kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352] - kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352] - kasan: reorder reporting functions (Chris von Recklinghausen) [2120352] - kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352] - kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352] - kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352] - kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352] - kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352] - kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352] - kasan: restructure kasan_report (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352] - kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352] - kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352] - kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352] - kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352] - kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352] - kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: more line breaks in reports (Chris von Recklinghausen) [2120352] - kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352] - kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352] - kasan: update function name in comments (Chris von Recklinghausen) [2120352] - mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352] - kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352] - kasan: documentation updates (Chris von Recklinghausen) [2120352] - arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352] - kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352] - kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352] - kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352] - kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352] - kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352] - kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352] - kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352] - kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352] - kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352] - mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352] - kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352] - kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352] - kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352] - mm: unexport page_init_poison (Chris von Recklinghausen) [2120352] - kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352] - mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352] - Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352] - selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352] - mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352] - mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352] - mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352] - Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352] - Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352] - Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352] - mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352] - mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352] - mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352] - mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352] - mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352] - mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352] - mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352] - kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352] - mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352] - mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352] - mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352] - mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352] - mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352] - mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352] - mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352] - mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352] - mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352] - mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352] - mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352] - memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352] - NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352] - NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352] - mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352] - mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352] - mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352] - mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352] - mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352] - mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352] - mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352] - mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352] - userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352] - mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352] - mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352] - mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352] - mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352] - mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352] - mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352] - mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352] - mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352] - mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352] - mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352] - mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352] - mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352] - mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352] - mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352] - mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352] - mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352] - mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352] - mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352] - mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352] - mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352] - mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352] - mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352] - memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352] - memcg: unify force charging conditions (Chris von Recklinghausen) [2120352] - memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352] - mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352] - memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352] - mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - tmpfs: support for file creation time (Chris von Recklinghausen) [2120352] - mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352] - mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352] - mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352] - mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352] - filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352] - mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352] - remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352] - remove inode_congested() (Chris von Recklinghausen) [2120352] - mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352] - mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352] - mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352] - mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352] - mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352] - mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352] - fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352] - fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352] - afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352] - fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352] - fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352] - fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352] - resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352] - resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352] - signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352] - task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352] - task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352] - task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352] - task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352] - ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352] - ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352] - ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352] - ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352] - mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352] - s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352] - mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352] - mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352] - mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352] - mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352] - memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352] - mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352] - mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352] - mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352] - mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352] - mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352] - mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352] - fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352] - mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352] - mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352] - mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352] - s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352] - s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352] - s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352] - s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352] - mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352] - usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352] - Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352] - uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352] - uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352] - arm64: simplify access_ok() (Chris von Recklinghausen) [2120352] - uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352] - x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352] - x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352] - mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352] - mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352] - tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352] - memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352] - memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352] - mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352] - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352] - ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352] - mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352] - mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352] - Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352] - mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352] - memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352] - fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352] - fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352] - selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352] - mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352] - frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352] - mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352] - mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352] - frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352] - mm: remove cleancache (Chris von Recklinghausen) [2120352] - lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352] - sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352] - delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352] - delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352] - mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352] - mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352] - bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352] - mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352] - find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352] - include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352] - lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352] - arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352] - include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352] - bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352] - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352] - mm: fix some comment errors (Chris von Recklinghausen) [2120352] - zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352] - mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352] - mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352] - mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352] - mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352] - mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352] - mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352] - mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352] - mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352] - mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352] - mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352] - mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352] - mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352] - mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352] - mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352] - vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352] - mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352] - mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352] - mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352] - mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352] - mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352] - mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352] - mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352] - mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352] - mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352] - mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352] - mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352] - mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352] - mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352] - mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352] - mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352] - mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352] - shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352] - mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352] - mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352] - gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352] - mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352] - mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352] - mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352] - mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352] - mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352] - kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352] - mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352] - virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - fscache: Rewrite documentation (Chris von Recklinghausen) [2120352] - ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352] - ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352] - taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352] - exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352] - exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352] - exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352] - exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352] - exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352] - signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352] - signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352] - signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352] - coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352] - signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352] - signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352] - signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352] - signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352] - exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352] - h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352] - kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352] - mm: Remove slab from struct page (Chris von Recklinghausen) [2120352] - mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352] - bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352] - mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352] - mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352] - mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352] - mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352] - mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352] - mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352] - mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352] - mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352] - mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352] - mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352] - mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352] - mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352] - mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352] - kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352] - kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352] - fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352] - fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352] - iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352] - objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352] - exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352] - kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352] - exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352] - exit: Implement kthread_exit (Chris von Recklinghausen) [2120352] - exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352] - exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352] - exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352] - exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352] - exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352] - mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352] - selftests/damon: split test cases (Chris von Recklinghausen) [2120352] - selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352] - selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352] - mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352] - percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352] - memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352] - powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352] - s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352] - hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352] - kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352] - hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352] - mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352] - mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352] - mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352] - signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352] - signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352] - signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352] - signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352] - mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352] - mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352] - mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352] - mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352] - vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352] - selftests/damon: support watermarks (Chris von Recklinghausen) [2120352] - tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352] - mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352] - selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352] - include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352] - include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352] - mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352] - mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352] - mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352] - mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352] - mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352] - mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352] - mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352] - mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352] - mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352] - mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352] - mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352] - mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352] - mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352] - mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352] - mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352] - mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352] - hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352] - mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352] - mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352] - mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352] - mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352] - mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352] - mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352] - mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352] - mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352] - mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352] - mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352] - mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352] - mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352] - memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352] - mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352] - mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352] - mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352] - mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352] - mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352] - fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352] - mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352] - mm: export bdi_unregister (Chris von Recklinghausen) [2120352] - mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352] - mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352] - mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352] - mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352] - mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352] - signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352] - signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352] - signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352] - exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352] - signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352] - signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352] - ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352] - MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352] - signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352] - signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352] - docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352] - mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352] - mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352] - pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352] - mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352] - s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352] - coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352] - kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352] - kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352] - coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352] - exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352] - exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352] - ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352] - signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352] - scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352] - entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352] - mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352] - s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352] - io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352] - io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352] - io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352] - io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352] - io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352] - io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352] - io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352] - io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352] - io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352] - signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352] - s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352] - mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352] - io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352] - io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352] - io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352] - io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352] - fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352] - fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352] - kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352] - asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352] - asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352] - memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352] - Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352] - x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352] - mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352] - arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352] - powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352] - powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352] - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352] - powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352] - s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352] - s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352] - mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352] - x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352] - powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352] - powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352] - powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352] - powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352] - powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352] - arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352] - arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352] - mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352] - mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352] - x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352] - powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352] - powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352] - powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352] - powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352] - powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352] - powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352] - mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352] - mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352] - mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352] - arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352] - arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352] - x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352] - mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352] - hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352] - hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352] - x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352] - arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352] - hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352] - x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352] - x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352] - Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352] - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352] - x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352] - x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352] - arm64: fix typos in comments (Chris von Recklinghausen) [2120352] - powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352] - mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352] - mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352] - hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352] - mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352] - mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352] - mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352] - arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352] - mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352] - x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352] - x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352] - powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352] - s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352] - s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352] - s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352] - s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352] - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352] - powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352] - hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352] - x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352] - powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352] - powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352] - powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352] - powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352] - s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352] - s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352] - s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352] - mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352] - mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352] - powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352] - ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352] - docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352] - powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352] - mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352] - x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352] - mm: page table check (Chris von Recklinghausen) [2120352] - mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352] - mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352] - mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352] - powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352] - x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352] - s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352] - powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352] - s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352] - hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352] - s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352] - s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352] - s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352] - s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352] - s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352] - powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352] - powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352] - powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352] - powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352] - powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352] - powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352] - powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352] - powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352] - powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352] - powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352] - powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352] - powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352] - powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352] - x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352] - x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352] - powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352] - powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352] - powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352] - powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352] - powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352] - powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352] - powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352] - powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352] - powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352] - powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352] - powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352] - powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352] - Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352] - powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352] - s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352] - powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352] - powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352] - kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352] - arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352] - hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352] - memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352] - hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352] - hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352] - hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352] - mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352] - hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352] - s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352] - mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352] - powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352] - powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352] - powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352] - s390: make command line configurable (Chris von Recklinghausen) [2120352] - s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352] - s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352] - s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352] - s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352] - s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352] - s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352] - s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352] - s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352] - s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352] - powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352] - reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352] - exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352] - exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352] - powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352] - s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352] - powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352] - s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352] - s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352] - x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352] - x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352] - x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352] - x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352] - powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352] - powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352] - powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352] - powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352] - powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352] - powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352] - powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352] - powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352] - s390: fix typo in linker script (Chris von Recklinghausen) [2120352] - s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352] - s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352] - powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352] - powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352] - s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352] - s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352] - powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352] - powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352] - s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352] - s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352] - s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352] - s390: add support for KFENCE (Chris von Recklinghausen) [2120352] - s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352] - s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352] - s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352] - s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352] - s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352] - s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352] - s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352] - s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352] - s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352] - s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352] - s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352] - s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352] - s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352] - s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352] - s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352] - s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352] - s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352] - s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352] - powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352] - Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352] - Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352] - Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352] - Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352] - Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352] - Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352] - Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352] - selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352] - selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352] - selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352] - mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352] - mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352] - mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352] Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 19:28:31 +00:00
CONFIG_NVME_TARGET_AUTH=y
CONFIG_NVME_TARGET_FCLOOP=m
CONFIG_NVME_TARGET_FC=m
CONFIG_NVME_TARGET_LOOP=m
CONFIG_NVME_TARGET=m
# CONFIG_NVME_TARGET_PASSTHRU is not set
CONFIG_NVME_TARGET_RDMA=m
CONFIG_NVME_TARGET_TCP=m
CONFIG_NVME_TCP=m
kernel-5.14.0-117.el9 * Wed Jun 22 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-117.el9] - powerpc/rtas: rtas_busy_delay() improvements (Gustavo Walbon) [2050890] - scripts/pahole-flags.sh: use exit instead of return at the top level (Yauheni Kaliuta) [2098127] - rhel config: Set DMAR_UNITS_SUPPORTED (Jerry Snitselaar) [2094984] - iommu/vt-d: Make DMAR_UNITS_SUPPORTED a config setting (Jerry Snitselaar) [2094984] - xfs: validate inode fork size against fork format (Andrey Albershteyn) [2089441] - Adding CONFIG_NVME_VERBOSE_ERRORS to nvme (Gopal Tiwari) [2091823] - nvme: add missing status values to verbose logging (Gopal Tiwari) [2091823] - nvme: don't print verbose errors for internal passthrough requests (Gopal Tiwari) [2091823] - nvme: add verbose error logging (Gopal Tiwari) [2091823] - ionic: fix missing pci_release_regions() on error in ionic_probe() (Jonathan Toppins) [2050903] - ionic: no transition while stopping (Jonathan Toppins) [2050903] - ionic: use vmalloc include (Jonathan Toppins) [2050903] - ionic: clean up comments and whitespace (Jonathan Toppins) [2050903] - ionic: prefer strscpy over strlcpy (Jonathan Toppins) [2050903] - ionic: Use vzalloc for large per-queue related buffers (Jonathan Toppins) [2050903] - ionic: catch transition back to RUNNING with fw_generation 0 (Jonathan Toppins) [2050903] - ionic: replace set_vf data with union (Jonathan Toppins) [2050903] - ionic: stretch heartbeat detection (Jonathan Toppins) [2050903] - ionic: remove the dbid_inuse bitmap (Jonathan Toppins) [2050903] - ionic: disable napi when ionic_lif_init() fails (Jonathan Toppins) [2050903] - ionic: Cleanups in the Tx hotpath code (Jonathan Toppins) [2050903] - ionic: Prevent filter add/del err msgs when the device is not available (Jonathan Toppins) [2050903] - ionic: Query FW when getting VF info via ndo_get_vf_config (Jonathan Toppins) [2050903] - ionic: Allow flexibility for error reporting on dev commands (Jonathan Toppins) [2050903] - ionic: Correctly print AQ errors if completions aren't received (Jonathan Toppins) [2050903] - ionic: fix up printing of timeout error (Jonathan Toppins) [2050903] - ionic: better handling of RESET event (Jonathan Toppins) [2050903] - ionic: add FW_STOPPING state (Jonathan Toppins) [2050903] - ionic: Don't send reset commands if FW isn't running (Jonathan Toppins) [2050903] - ionic: separate function for watchdog init (Jonathan Toppins) [2050903] - ionic: start watchdog after all is setup (Jonathan Toppins) [2050903] - ionic: fix type complaint in ionic_dev_cmd_clean() (Jonathan Toppins) [2050903] - [s390] s390/airq: use DMA memory for summary indicators (Tobias Huschle) [2044351] - [s390] s390/zcrypt: Provide target domain for EP11 cprbs to scheduling function (Tobias Huschle) [2044351] - [s390] s390/zcrypt: change reply buffer size offering (Tobias Huschle) [2044351] - [s390] s390/zcrypt: Support CPRB minor version T7 (Tobias Huschle) [2044351] - [s390] s390/zcrypt: handle checkstopped cards with new state (Tobias Huschle) [2044351] - [s390] s390/zcrypt: CEX8S exploitation support (Tobias Huschle) [2044351] - [s390] s390/ap/zcrypt: debug feature improvements (Tobias Huschle) [2044351] - [s390] s390/zcrypt: rework of debug feature messages (Tobias Huschle) [2044351] - PCI: xgene: Revert "PCI: xgene: Fix IB window setup" (Myron Stowe) [2068174] - PCI: xgene: Revert "PCI: xgene: Use inbound resources for setup" (Myron Stowe) [2068174] - PCI: uniphier-ep: Add NX1 support (Myron Stowe) [2068174] - PCI: uniphier-ep: Add SoC data structure (Myron Stowe) [2068174] - dt-bindings: PCI: uniphier-ep: Add bindings for NX1 SoC (Myron Stowe) [2068174] - PCI: rcar: Use PCI_SET_ERROR_RESPONSE after read which triggered an exception (Myron Stowe) [2068174] - PCI: rcar: Finish transition to L1 state in rcar_pcie_config_access() (Myron Stowe) [2068174] - PCI: qcom: Add SM8450 PCIe support (Myron Stowe) [2068174] - PCI: qcom: Add ddrss_sf_tbu flag (Myron Stowe) [2068174] - PCI: qcom: Remove redundancy between qcom_pcie and qcom_pcie_cfg (Myron Stowe) [2068174] - dt-bindings: pci: qcom: Document PCIe bindings for SM8450 (Myron Stowe) [2068174] - PCI: mvebu: Implement support for legacy INTx interrupts (Myron Stowe) [2068174] - PCI: mvebu: Fix macro names and comments about legacy interrupts (Myron Stowe) [2068174] - dt-bindings: PCI: mvebu: Update information about intx interrupts (Myron Stowe) [2068174] - PCI: mvebu: Use child_ops API (Myron Stowe) [2068174] - PCI: mvebu: Add support for Advanced Error Reporting registers on emulated bridge (Myron Stowe) [2068174] - PCI: mvebu: Add support for PCI Bridge Subsystem Vendor ID on emulated bridge (Myron Stowe) [2068174] - PCI: mvebu: Correctly configure x1/x4 mode (Myron Stowe) [2068174] - dt-bindings: PCI: mvebu: Add num-lanes property (Myron Stowe) [2068174] - PCI: pci-bridge-emul: Add support for PCI Bridge Subsystem Vendor ID capability (Myron Stowe) [2068174] - PCI: pci-bridge-emul: Add support for PCIe extended capabilities (Myron Stowe) [2068174] - PCI: pci-bridge-emul: Re-arrange register tests (Myron Stowe) [2068174] - PCI: mvebu: Fix reporting Data Link Layer Link Active on emulated bridge (Myron Stowe) [2068174] - PCI: mvebu: Update comment for PCI_EXP_LNKCTL register on emulated bridge (Myron Stowe) [2068174] - PCI: mvebu: Update comment for PCI_EXP_LNKCAP register on emulated bridge (Myron Stowe) [2068174] - PCI: mvebu: Properly initialize vendor, device and revision of emulated bridge (Myron Stowe) [2068174] - PCI: mvebu: Set PCI_BRIDGE_EMUL_NO_IO_FORWARD when IO is unsupported (Myron Stowe) [2068174] - PCI: mvebu: Remove duplicate nports assignment (Myron Stowe) [2068174] - PCI: mvebu: Add help string for CONFIG_PCI_MVEBU option (Myron Stowe) [2068174] - PCI: pci-bridge-emul: Add support for new flag PCI_BRIDGE_EMUL_NO_IO_FORWARD (Myron Stowe) [2068174] - PCI: pci-bridge-emul: Rename PCI_BRIDGE_EMUL_NO_PREFETCHABLE_BAR to PCI_BRIDGE_EMUL_NO_PREFMEM_FORWARD (Myron Stowe) [2068174] - PCI: pci-bridge-emul: Make struct pci_bridge_emul_ops as const (Myron Stowe) [2068174] - MAINTAINERS: Add Pali Rohár as pci-mvebu.c maintainer (Myron Stowe) [2068174] - sizes.h: Add SZ_1T macro (Myron Stowe) [2068174] - PCI: imx6: Assert i.MX8MM CLKREQ# even if no device present (Myron Stowe) [2068174] - PCI: imx6: Invoke the PHY exit function after PHY power off (Myron Stowe) [2068174] - PCI: imx6: Enable i.MX6QP PCIe power management support (Myron Stowe) [2068174] - PCI: imx6: Allow to probe when dw_pcie_wait_for_link() fails (Myron Stowe) [2068174] - PCI: fu740: Force 2.5GT/s for initial device probe (Myron Stowe) [2068174] - PCI: fu740: Drop redundant '-gpios' from DT GPIO lookup (Myron Stowe) [2068174] - PCI: endpoint: Fix misused goto label (Myron Stowe) [2068174] - PCI: endpoint: Fix alignment fault error in copy tests (Myron Stowe) [2068174] - PCI: dwc: Restore MSI Receiver mask during resume (Myron Stowe) [2068174] - PCI: aardvark: Update comment about link going down after link-up (Myron Stowe) [2068174] - PCI: aardvark: Drop __maybe_unused from advk_pcie_disable_phy() (Myron Stowe) [2068174] - PCI: aardvark: Don't mask irq when mapping (Myron Stowe) [2068174] - PCI: aardvark: Remove irq_mask_ack() callback for INTx interrupts (Myron Stowe) [2068174] - PCI: aardvark: Use separate INTA interrupt for emulated root bridge (Myron Stowe) [2068174] - PCI: aardvark: Fix support for PME requester on emulated bridge (Myron Stowe) [2068174] - PCI: aardvark: Add support for PME interrupts (Myron Stowe) [2068174] - PCI: aardvark: Optimize writing PCI_EXP_RTCTL_PMEIE and PCI_EXP_RTSTA_PME on emulated bridge (Myron Stowe) [2068174] - PCI: aardvark: Fix reading PCI_EXP_RTSTA_PME bit on emulated bridge (Myron Stowe) [2068174] - PCI: aardvark: Add support for ERR interrupt on emulated bridge (Myron Stowe) [2068174] - PCI: aardvark: Enable MSI-X support (Myron Stowe) [2068174] - PCI: aardvark: Fix setting MSI address (Myron Stowe) [2068174] - PCI: aardvark: Add support for masking MSI interrupts (Myron Stowe) [2068174] - PCI: aardvark: Refactor unmasking summary MSI interrupt (Myron Stowe) [2068174] - PCI: aardvark: Use dev_fwnode() instead of of_node_to_fwnode(dev->of_node) (Myron Stowe) [2068174] - PCI: aardvark: Make msi_domain_info structure a static driver structure (Myron Stowe) [2068174] - PCI: aardvark: Make MSI irq_chip structures static driver structures (Myron Stowe) [2068174] - PCI: aardvark: Check return value of generic_handle_domain_irq() when processing INTx IRQ (Myron Stowe) [2068174] - PCI: aardvark: Rewrite IRQ code to chained IRQ handler (Myron Stowe) [2068174] - PCI: aardvark: Fix support for MSI interrupts (Myron Stowe) [2068174] - PCI: aardvark: Fix reading MSI interrupt number (Myron Stowe) [2068174] - PCI: aardvark: Replace custom PCIE_CORE_INT_* macros with PCI_INTERRUPT_* (Myron Stowe) [2068174] - PCI/VGA: Replace full MIT license text with SPDX identifier (Myron Stowe) [2068174] - PCI/VGA: Use unsigned format string to print lock counts (Myron Stowe) [2068174] - PCI/VGA: Log bridge control messages when adding devices (Myron Stowe) [2068174] - PCI/VGA: Remove empty vga_arb_device_card_gone() (Myron Stowe) [2068174] - PCI/VGA: Move disabled VGA device detection to ADD_DEVICE path (Myron Stowe) [2068174] - PCI/VGA: Move non-legacy VGA detection to ADD_DEVICE path (Myron Stowe) [2068174] - PCI/VGA: Move firmware default device detection to ADD_DEVICE path (Myron Stowe) [2068174] - PCI/VGA: Factor out default VGA device selection (Myron Stowe) [2068174] - PCI/VGA: Factor out vga_select_framebuffer_device() (Myron Stowe) [2068174] - PCI/VGA: Move vga_arb_integrated_gpu() earlier in file (Myron Stowe) [2068174] - PCI/P2PDMA: Add Intel 3rd Gen Intel Xeon Scalable Processors to whitelist (Myron Stowe) [2068174] - PCI: Avoid broken MSI on SB600 USB devices (Myron Stowe) [2068174] - x86/PCI: Add #includes to asm/pci_x86.h (Myron Stowe) [2068174] - PCI: ibmphp: Remove unused assignments (Myron Stowe) [2068174] - PCI: cpqphp: Remove unused assignments (Myron Stowe) [2068174] - PCI: fu740: Remove unused assignments (Myron Stowe) [2068174] - PCI: kirin: Remove unused assignments (Myron Stowe) [2068174] - PCI: Remove unused assignments (Myron Stowe) [2068174] - PCI: Declare pci_filp_private only when HAVE_PCI_MMAP (Myron Stowe) [2068174] - PCI/AER: Update aer-inject URL (Myron Stowe) [2068174] - PCI: pciehp: Add Qualcomm quirk for Command Completed erratum (Myron Stowe) [2068174] - PCI: pciehp: Clear cmd_busy bit in polling mode (Myron Stowe) [2068174] - PCI: Reduce warnings on possible RW1C corruption (Myron Stowe) [2068174] - PCI: Support BAR sizes up to 8TB (Myron Stowe) [2068174] - PCI: iproc: Set all 24 bits of PCI class code (Myron Stowe) [2068174] - PCI: Add defines for normal and subtractive PCI bridges (Myron Stowe) [2068174] - PCI/ACPI: Replace acpi_bus_get_device() with acpi_fetch_acpi_dev() (Myron Stowe) [2068174] - xsk: Do not write NULL in SW ring at allocation failure (Felix Maurer) [2071619] - selftests, xsk: Fix rx_full stats test (Felix Maurer) [2071619] - bpf, sockmap: Fix double bpf_prog_put on error case in map_link (Felix Maurer) [2071619] - bpf, sockmap: Fix return codes from tcp_bpf_recvmsg_parser() (Felix Maurer) [2071619] - xsk: Initialise xskb free_list_node (Felix Maurer) [2071619] - netdevsim: Zero-initialize memory for new map's value in function nsim_bpf_map_alloc (Felix Maurer) [2071619] - bpf, sockmap: Re-evaluate proto ops when psock is removed from sockmap (Felix Maurer) [2071619] - bpf, sockmap: Attach map progs to psock early for feature probes (Felix Maurer) [2071619] - selftests/bpf: Fix xdpxceiver failures for no hugepages (Felix Maurer) [2071619] - page_pool: Revert "page_pool: disable dma mapping support..." (Felix Maurer) [2071619] - xsk: Fix crash on double free in buffer pool (Felix Maurer) [2071619] - bpf, sockmap: sk_skb data_end access incorrect when src_reg = dst_reg (Felix Maurer) [2071619] - bpf: sockmap, strparser, and tls are reusing qdisc_skb_cb and colliding (Felix Maurer) [2071619] - bpf, sockmap: Fix race in ingress receive verdict with redirect to self (Felix Maurer) [2071619] - bpf, sockmap: Remove unhash handler for BPF sockmap usage (Felix Maurer) [2071619] - selftests, bpf: Add one test for sockmap with strparser (Felix Maurer) [2071619] - selftests, bpf: Fix test_txmsg_ingress_parser error (Felix Maurer) [2071619] - skmsg: Lose offset info in sk_psock_skb_ingress (Felix Maurer) [2071619] - xdp: Remove redundant warning (Felix Maurer) [2071619] - page_pool: disable dma mapping support for 32-bit arch with 64-bit DMA (Felix Maurer) [2071619] - xsk: Fix clang build error in __xp_alloc (Felix Maurer) [2071619] - selftests: xsk: Add frame_headroom test (Felix Maurer) [2071619] - selftests: xsk: Change interleaving of packets in unaligned mode (Felix Maurer) [2071619] - selftests: xsk: Add single packet test (Felix Maurer) [2071619] - selftests: xsk: Introduce pacing of traffic (Felix Maurer) [2071619] - selftests: xsk: Fix socket creation retry (Felix Maurer) [2071619] - selftests: xsk: Put the same buffer only once in the fill ring (Felix Maurer) [2071619] - selftests: xsk: Fix missing initialization (Felix Maurer) [2071619] - xsk: Optimize for aligned case (Felix Maurer) [2071619] - xsk: Batched buffer allocation for the pool (Felix Maurer) [2071619] - xsk: Get rid of unused entry in struct xdp_buff_xsk (Felix Maurer) [2071619] - selftests: xsk: Add tests for 2K frame size (Felix Maurer) [2071619] - selftests: xsk: Add tests for invalid xsk descriptors (Felix Maurer) [2071619] - selftests: xsk: Eliminate test specific if-statement in test runner (Felix Maurer) [2071619] - selftests: xsk: Add test for unaligned mode (Felix Maurer) [2071619] - selftests: xsk: Introduce replacing the default packet stream (Felix Maurer) [2071619] - selftests: xsk: Allow for invalid packets (Felix Maurer) [2071619] - selftests: xsk: Eliminate MAX_SOCKS define (Felix Maurer) [2071619] - selftests: xsx: Make pthreads local scope (Felix Maurer) [2071619] - selftests: xsk: Make xdp_flags and bind_flags local (Felix Maurer) [2071619] - selftests: xsk: Specify number of sockets to create (Felix Maurer) [2071619] - selftests: xsk: Replace second_step global variable (Felix Maurer) [2071619] - selftests: xsk: Introduce rx_on and tx_on in ifobject (Felix Maurer) [2071619] - selftests: xsk: Add use_poll to ifobject (Felix Maurer) [2071619] - selftests: xsx: Introduce test name in test spec (Felix Maurer) [2071619] - selftests: xsk: Make frame_size configurable (Felix Maurer) [2071619] - selftests: xsk: Move rxqsize into xsk_socket_info (Felix Maurer) [2071619] - selftests: xsk: Move num_frames and frame_headroom to xsk_umem_info (Felix Maurer) [2071619] - selftests: xsk: Introduce test specifications (Felix Maurer) [2071619] - selftests: xsk: Introduce type for thread function (Felix Maurer) [2071619] - selftests: xsk: Simplify xsk and umem arrays (Felix Maurer) [2071619] Resolves: rhbz#2050890, rhbz#2098127, rhbz#2094984, rhbz#2089441, rhbz#2091823, rhbz#2050903, rhbz#2044351, rhbz#2068174, rhbz#2071619 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-22 08:38:24 +00:00
CONFIG_NVME_VERBOSE_ERRORS=y
# CONFIG_NVM is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_NVRAM=m
kernel-5.14.0-202.el9 * Mon Nov 28 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-202.el9] - build: Fix generating BTF with pahole >=1.24 (Viktor Malik) [2148429] - selftests: spectrum-2: tc_flower_scale: Dynamically set scale target (Ivan Vecera) [2140704] - selftests: mlxsw: Add a RIF counter scale test (Ivan Vecera) [2140704] - selftests: mlxsw: tc_flower_scale: Add a traffic test (Ivan Vecera) [2140704] - selftests: mlxsw: resource_scale: Pass target count to cleanup (Ivan Vecera) [2140704] - selftests: mlxsw: resource_scale: Allow skipping a test (Ivan Vecera) [2140704] - selftests: mlxsw: resource_scale: Introduce traffic tests (Ivan Vecera) [2140704] - selftests: mlxsw: resource_scale: Update scale target after test setup (Ivan Vecera) [2140704] - selftests: mirror_gre_bridge_1q_lag: Enslave port to bridge before other configurations (Ivan Vecera) [2140704] - mlxsw: Add a resource describing number of RIFs (Ivan Vecera) [2140704] - mlxsw: Keep track of number of allocated RIFs (Ivan Vecera) [2140704] - mlxsw: Trap ARP packets at layer 3 instead of layer 2 (Ivan Vecera) [2140704] - mlxsw: Revert "Prepare for XM implementation - LPM trees" (Ivan Vecera) [2140704] - mlxsw: Revert "Prepare for XM implementation - prefix insertion and removal" (Ivan Vecera) [2140704] - mlxsw: Revert "Introduce initial XM router support" (Ivan Vecera) [2140704] - selftests: mlxsw: Add QOS test for maximum use of descriptors (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Fix IPv4 nexthop gateway indication (Ivan Vecera) [2140704] - selftests: forwarding: fix learning_test when h1 supports IFF_UNICAST_FLT (Ivan Vecera) [2140704] - selftests: forwarding: fix flood_unicast_test when h2 supports IFF_UNICAST_FLT (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Fix rollback in tunnel next hop init (Ivan Vecera) [2140704] - mlxsw: spectrum_cnt: Reorder counter pools (Ivan Vecera) [2140704] - mlxsw: Avoid warning during ip6gre device removal (Ivan Vecera) [2140704] - selftests: forwarding: Add a tunnel-based test for L3 HW stats (Ivan Vecera) [2140704] - selftests: lib: Add a generic helper for obtaining HW stats (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Take router lock in router notifier handler (Ivan Vecera) [2140704] - mlxsw: spectrum: Update a comment (Ivan Vecera) [2140704] - mlxsw: spectrum: Move handling of tunnel events to router code (Ivan Vecera) [2140704] - mlxsw: spectrum: Move handling of router events to router code (Ivan Vecera) [2140704] - mlxsw: spectrum: Move handling of HW stats events to router code (Ivan Vecera) [2140704] - mlxsw: spectrum: Move handling of VRF events to router code (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Add a dedicated notifier block (Ivan Vecera) [2140704] - mlxsw: spectrum: Tolerate enslaving of various devices to VRF (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Only query neighbour activity when necessary (Ivan Vecera) [2140704] - mlxsw: spectrum_switchdev: Only query FDB notifications when necessary (Ivan Vecera) [2140704] - mlxsw: spectrum_acl: Do not report activity for multicast routes (Ivan Vecera) [2140704] - mlxsw: Treat LLDP packets as control (Ivan Vecera) [2140704] - mlxsw: spectrum_dcb: Do not warn about priority changes (Ivan Vecera) [2140704] - selftests: router.sh: Add a diagram (Ivan Vecera) [2140704] - selftests: router_vid_1: Add a diagram, fix coding style (Ivan Vecera) [2140704] - selftests: mlxsw: bail_on_lldpad before installing the cleanup trap (Ivan Vecera) [2140704] - selftests: mlxsw: Add a test for soaking up a burst of traffic (Ivan Vecera) [2140704] - selftests: forwarding: lib: Add start_traffic_pktsize() helpers (Ivan Vecera) [2140704] - mlxsw: Configure descriptor buffers (Ivan Vecera) [2140704] - mlxsw: reg: Add "desc" field to SBPR (Ivan Vecera) [2140704] - selftests: mirror_gre_bridge_1q: Avoid changing PVID while interface is operational (Ivan Vecera) [2140704] - Revert "Merge branch 'mlxsw-line-card-model'" (Ivan Vecera) [2140704] - selftests: mlxsw: Check device info on activated line card (Ivan Vecera) [2140704] - mlxsw: core_linecards: Expose device FW version over device info (Ivan Vecera) [2140704] - mlxsw: reg: Extend MDDQ device_info by FW version fields (Ivan Vecera) [2140704] - selftests: mlxsw: Check line card info on provisioned line card (Ivan Vecera) [2140704] - mlxsw: core_linecards: Expose HW revision and INI version (Ivan Vecera) [2140704] - selftests: mlxsw: Check devices on provisioned line card (Ivan Vecera) [2140704] - mlxsw: core_linecards: Probe provisioned line cards for devices and attach them (Ivan Vecera) [2140704] - mlxsw: reg: Extend MDDQ by device_info (Ivan Vecera) [2140704] - mlxsw: core_linecards: Fix size of array element during ini_files allocation (Ivan Vecera) [2140704] - selftests: mlxsw: vxlan_flooding_ipv6: Prevent flooding of unwanted packets (Ivan Vecera) [2140704] - selftests: mlxsw: vxlan_flooding: Prevent flooding of unwanted packets (Ivan Vecera) [2140704] - mlxsw: core_hwmon: Add interfaces for line card initialization and de-initialization (Ivan Vecera) [2140704] - mlxsw: core_thermal: Add interfaces for line card initialization and de-initialization (Ivan Vecera) [2140704] - mlxsw: core_env: Add interfaces for line card initialization and de-initialization (Ivan Vecera) [2140704] - mlxsw: core_env: Split module power mode setting to a separate function (Ivan Vecera) [2140704] - mlxsw: core: Add bus argument to environment init API (Ivan Vecera) [2140704] - mlxsw: core_linecards: Introduce ops for linecards status change tracking (Ivan Vecera) [2140704] - selftests: mlxsw: Introduce devlink line card provision/unprovision/activation tests (Ivan Vecera) [2140704] - mlxsw: spectrum: Add port to linecard mapping (Ivan Vecera) [2140704] - mlxsw: core: Extend driver ops by remove selected ports op (Ivan Vecera) [2140704] - mlxsw: core_linecards: Implement line card activation process (Ivan Vecera) [2140704] - mlxsw: core_linecards: Add line card objects and implement provisioning (Ivan Vecera) [2140704] - mlxsw: reg: Add Management Binary Code Transfer Register (Ivan Vecera) [2140704] - mlxsw: reg: Add Management DownStream Device Control Register (Ivan Vecera) [2140704] - mlxsw: reg: Add Management DownStream Device Query Register (Ivan Vecera) [2140704] - mlxsw: spectrum: Introduce port mapping change event processing (Ivan Vecera) [2140704] - mlxsw: Narrow the critical section of devl_lock during ports creation/removal (Ivan Vecera) [2140704] - mlxsw: reg: Add Ports Mapping Event Configuration Register (Ivan Vecera) [2140704] - mlxsw: spectrum: Allocate port mapping array of structs instead of pointers (Ivan Vecera) [2140704] - mlxsw: spectrum: Allow lane to start from non-zero index (Ivan Vecera) [2140704] - mlxsw: core_thermal: Use common define for thermal zone name length (Ivan Vecera) [2140704] - mlxsw: core_thermal: Use exact name of cooling devices for binding (Ivan Vecera) [2140704] - mlxsw: core_thermal: Add line card id prefix to line card thermal zone name (Ivan Vecera) [2140704] - mlxsw: core_thermal: Extend internal structures to support multi thermal areas (Ivan Vecera) [2140704] - mlxsw: core_hwmon: Introduce slot parameter in hwmon interfaces (Ivan Vecera) [2140704] - mlxsw: core_hwmon: Extend internal structures to support multi hwmon objects (Ivan Vecera) [2140704] - mlxsw: core: Move port module events enablement to a separate function (Ivan Vecera) [2140704] - mlxsw: core: Extend port module data structures for line cards (Ivan Vecera) [2140704] - mlxsw: core: Extend interfaces for cable info access with slot argument (Ivan Vecera) [2140704] - mlxsw: reg: Add new field to Management General Peripheral Information Register (Ivan Vecera) [2140704] - mlxsw: core_env: Pass slot index during PMAOS register write call (Ivan Vecera) [2140704] - mlxsw: reg: Extend MGPIR register with new slot fields (Ivan Vecera) [2140704] - mlxsw: reg: Extend PMMP register with new slot number field (Ivan Vecera) [2140704] - mlxsw: reg: Extend MCION register with new slot number field (Ivan Vecera) [2140704] - mlxsw: reg: Extend MCIA register with new slot number field (Ivan Vecera) [2140704] - mlxsw: reg: Extend MTBR register with new slot number field (Ivan Vecera) [2140704] - mlxsw: reg: Extend MTMP register with new slot number field (Ivan Vecera) [2140704] - mlxsw: i2c: Fix initialization error flow (Ivan Vecera) [2140704] - mlxsw: spectrum_router: simplify list unwinding (Ivan Vecera) [2140704] - selftests: mlxsw: hw_stats_l3: Add a new test (Ivan Vecera) [2140704] - selftests: mlxsw: resource_scale: Fix return value (Ivan Vecera) [2140704] - selftests: mlxsw: tc_police_scale: Make test more robust (Ivan Vecera) [2140704] - mlxsw: Add support for IFLA_OFFLOAD_XSTATS_L3_STATS (Ivan Vecera) [2140704] - mlxsw: Extract classification of router-related events to a helper (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Drop mlxsw_sp arg from counter alloc/free functions (Ivan Vecera) [2140704] - mlxsw: reg: Fix packing of router interface counters (Ivan Vecera) [2140704] - mlxsw: core: Add support for OSFP transceiver modules (Ivan Vecera) [2140704] - mlxsw: Remove resource query check (Ivan Vecera) [2140704] - mlxsw: core: Unify method of trap support validation (Ivan Vecera) [2140704] - mlxsw: spectrum: Remove SP{1,2,3} defines for FW minor and subminor (Ivan Vecera) [2140704] - mlxsw: core: Remove unnecessary asserts (Ivan Vecera) [2140704] - mlxsw: reg: Add "mgpir_" prefix to MGPIR fields comments (Ivan Vecera) [2140704] - mlxsw: core_thermal: Remove obsolete API for query resource (Ivan Vecera) [2140704] - mlxsw: core_thermal: Rename labels according to naming convention (Ivan Vecera) [2140704] - mlxsw: core_hwmon: Fix variable names for hwmon attributes (Ivan Vecera) [2140704] - mlxsw: core_thermal: Avoid creation of virtual hwmon objects by thermal module (Ivan Vecera) [2140704] - mlxsw: spectrum_span: Ignore VLAN entries not used by the bridge in mirroring (Ivan Vecera) [2140704] - mlxsw: core: Prevent trap group setting if driver does not support EMAD (Ivan Vecera) [2140704] - mlxsw: spectrum: remove guards against !BRIDGE_VLAN_INFO_BRENTRY (Ivan Vecera) [2140704] - mlxsw: Support FLOW_ACTION_MANGLE for SIP and DIP IPv6 addresses (Ivan Vecera) [2140704] - mlxsw: Support FLOW_ACTION_MANGLE for SIP and DIP IPv4 addresses (Ivan Vecera) [2140704] - mlxsw: core_acl_flex_actions: Add SIP_DIP_ACTION (Ivan Vecera) [2140704] - mlxsw: spectrum_acl: Allocate default actions for internal TCAM regions (Ivan Vecera) [2140704] - mlxsw: spectrum: Guard against invalid local ports (Ivan Vecera) [2140704] - mlxsw: core: Consolidate trap groups to a single event group (Ivan Vecera) [2140704] - mlxsw: core: Move functions to register/unregister array of traps to core.c (Ivan Vecera) [2140704] - mlxsw: core: Move basic trap group initialization from spectrum.c (Ivan Vecera) [2140704] - mlxsw: core: Move basic_trap_groups_set() call out of EMAD init code (Ivan Vecera) [2140704] - mlxsw: spectrum: Set basic trap groups from an array (Ivan Vecera) [2140704] - mlxsw: spectrum_kvdl: Use struct_size() helper in kzalloc() (Ivan Vecera) [2140704] - mlxsw: core_env: Forbid module reset on RJ45 ports (Ivan Vecera) [2140704] - mlxsw: core_env: Forbid power mode set and get on RJ45 ports (Ivan Vecera) [2140704] - mlxsw: core_env: Forbid getting module EEPROM on RJ45 ports (Ivan Vecera) [2140704] - mlxsw: core_env: Query and store port module's type during initialization (Ivan Vecera) [2140704] - mlxsw: reg: Add Port Module Type Mapping register (Ivan Vecera) [2140704] - mlxsw: spectrum_ethtool: Add support for two new link modes (Ivan Vecera) [2140704] - mlxsw: Add netdev argument to mlxsw_env_get_module_info() (Ivan Vecera) [2140704] - mlxsw: core_env: Do not pass number of modules as argument (Ivan Vecera) [2140704] - mlxsw: spectrum_ethtool: Remove redundant variable (Ivan Vecera) [2140704] - mlxsw: spectrum: Extend to support Spectrum-4 ASIC (Ivan Vecera) [2140704] - mlxsw: spectrum_acl_bloom_filter: Add support for Spectrum-4 calculation (Ivan Vecera) [2140704] - mlxsw: Add operations structure for bloom filter calculation (Ivan Vecera) [2140704] - mlxsw: spectrum_acl_bloom_filter: Rename Spectrum-2 specific objects for future use (Ivan Vecera) [2140704] - mlxsw: spectrum_acl_bloom_filter: Make mlxsw_sp_acl_bf_key_encode() more flexible (Ivan Vecera) [2140704] - mlxsw: spectrum_acl_bloom_filter: Reorder functions to make the code more aesthetic (Ivan Vecera) [2140704] - mlxsw: Introduce flex key elements for Spectrum-4 (Ivan Vecera) [2140704] - mlxsw: Rename virtual router flex key element (Ivan Vecera) [2140704] - mlxsw: pci: Avoid flow control for EMAD packets (Ivan Vecera) [2140704] - selftests: mlxsw: devlink_trap_tunnel_vxlan: Fix 'decap_error' case (Ivan Vecera) [2140704] - selftests: mlxsw: Add test for VxLAN related traps for IPv6 (Ivan Vecera) [2140704] - selftests: mlxsw: spectrum-2: Add a test for VxLAN flooding with IPv6 (Ivan Vecera) [2140704] - selftests: mlxsw: spectrum: Add a test for VxLAN flooding with IPv6 (Ivan Vecera) [2140704] - selftests: mlxsw: Add VxLAN FDB veto test for IPv6 (Ivan Vecera) [2140704] - selftests: mlxsw: vxlan_fdb_veto: Make the test more flexible for future use (Ivan Vecera) [2140704] - selftests: mlxsw: Add VxLAN configuration test for IPv6 (Ivan Vecera) [2140704] - selftests: mlxsw: vxlan: Make the test more flexible for future use (Ivan Vecera) [2140704] - mlxsw: spectrum_flower: Make vlan_id limitation more specific (Ivan Vecera) [2140704] - mlxsw: core: Extend devlink health reporter with new events and parameters (Ivan Vecera) [2140704] - mlxsw: reg: Extend MFDE register with new events and parameters (Ivan Vecera) [2140704] - mlxsw: core: Convert a series of if statements to switch case (Ivan Vecera) [2140704] - mlxsw: Fix naming convention of MFDE fields (Ivan Vecera) [2140704] - selftests: mlxsw: vxlan: Remove IPv6 test case (Ivan Vecera) [2140704] - mlxsw: Add support for VxLAN with IPv6 underlay (Ivan Vecera) [2140704] - mlxsw: spectrum_nve: Keep track of IPv6 addresses used by FDB entries (Ivan Vecera) [2140704] - mlxsw: reg: Add a function to fill IPv6 unicast FDB entries (Ivan Vecera) [2140704] - mlxsw: Split handling of FDB tunnel entries between address families (Ivan Vecera) [2140704] - mlxsw: spectrum_nve_vxlan: Make VxLAN flags check per address family (Ivan Vecera) [2140704] - mlxsw: spectrum_ipip: Use common hash table for IPv6 address mapping (Ivan Vecera) [2140704] - mlxsw: spectrum: Add hash table for IPv6 address mapping (Ivan Vecera) [2140704] - selftests: mlxsw: Add a test case for MAC profiles consolidation (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Consolidate MAC profiles when possible (Ivan Vecera) [2140704] - mlxsw: Use Switch Multicast ID Register Version 2 (Ivan Vecera) [2140704] - mlxsw: Use Switch Flooding Table Register Version 2 (Ivan Vecera) [2140704] - mlxsw: Add support for more than 256 ports in SBSR register (Ivan Vecera) [2140704] - mlxsw: Use u16 for local_port field instead of u8 (Ivan Vecera) [2140704] - mlxsw: reg: Adjust PPCNT register to support local port 255 (Ivan Vecera) [2140704] - mlxsw: reg: Increase 'port_num' field in PMTDB register (Ivan Vecera) [2140704] - mlxsw: reg: Align existing registers to use extended local_port field (Ivan Vecera) [2140704] - mlxsw: item: Add support for local_port field in a split form (Ivan Vecera) [2140704] - mlxsw: reg: Remove unused functions (Ivan Vecera) [2140704] - mlxsw: spectrum: Bump minimum FW version to xx.2010.1006 (Ivan Vecera) [2140704] - mlxsw: spectrum: Protect driver from buggy firmware (Ivan Vecera) [2140704] - mlxsw: spectrum: Allow driver to load with old firmware versions (Ivan Vecera) [2140704] - mlxsw: pci: Add shutdown method in PCI driver (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Remove deadcode in mlxsw_sp_rif_mac_profile_find (Ivan Vecera) [2140704] - mlxsw: constify address in mlxsw_sp_port_dev_addr_set (Ivan Vecera) [2140704] - selftests: mlxsw: Test port shaper (Ivan Vecera) [2140704] - selftests: mlxsw: Test offloadability of root TBF (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Offload root TBF as port shaper (Ivan Vecera) [2140704] - selftests: mlxsw: Remove deprecated test cases (Ivan Vecera) [2140704] - selftests: Add an occupancy test for RIF MAC profiles (Ivan Vecera) [2140704] - selftests: mlxsw: Add forwarding test for RIF MAC profiles (Ivan Vecera) [2140704] - selftests: mlxsw: Add a scale test for RIF MAC profiles (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Expose RIF MAC profiles to devlink resource (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Add RIF MAC profiles support (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Propagate extack further (Ivan Vecera) [2140704] - mlxsw: resources: Add resource identifier for RIF MAC profiles (Ivan Vecera) [2140704] - mlxsw: reg: Add MAC profile ID field to RITR register (Ivan Vecera) [2140704] - mlxsw: pci: Recycle received packet upon allocation failure (Ivan Vecera) [2140704] - mlxsw: spectrum: Use 'bitmap_zalloc()' when applicable (Ivan Vecera) [2140704] - selftests: mlxsw: Reduce test run time (Ivan Vecera) [2140704] - selftests: mlxsw: Use permanent neighbours instead of reachable ones (Ivan Vecera) [2140704] - selftests: mlxsw: Add helpers for skipping selftests (Ivan Vecera) [2140704] - ethernet: mlxsw: use eth_hw_addr_gen() (Ivan Vecera) [2140704] - selftests: mlxsw: Add a test for un/offloadable qdisc trees (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Make RED, TBF offloads classful (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Validate qdisc topology (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Clean stats recursively when priomap changes (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Unify graft validation (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Destroy children in mlxsw_sp_qdisc_destroy() (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Extract two helpers for handling future FIFOs (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Query tclass / priomap instead of caching it (Ivan Vecera) [2140704] - selftests: mlxsw: RED: Test per-TC ECN counters (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Introduce per-TC ECN counters (Ivan Vecera) [2140704] - mlxsw: reg: Add ecn_marked_tc to Per-TC Congestion Counters (Ivan Vecera) [2140704] - mlxsw: reg: Rename MLXSW_REG_PPCNT_TC_CONG_TC to _CNT (Ivan Vecera) [2140704] - mlxsw: reg: Fix a typo in a group heading (Ivan Vecera) [2140704] - mlxsw: thermal: Fix out-of-bounds memory accesses (Ivan Vecera) [2140704] - selftests: mlxsw: RED: Add selftests for the mark qevent (Ivan Vecera) [2140704] - selftests: mlxsw: sch_red_core: Drop two unused variables (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Offload RED qevent mark (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Track permissible actions per binding (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Distinguish between ingress and egress triggers (Ivan Vecera) [2140704] - mlxsw: spectrum_qdisc: Pass extack to mlxsw_sp_qevent_entry_configure() (Ivan Vecera) [2140704] - mlxsw: item: Annotate item helpers with '__maybe_unused' (Ivan Vecera) [2140704] - selftests: mlxsw: devlink_trap_tunnel_ipip: Send a full-length key (Ivan Vecera) [2140704] - selftests: mlxsw: devlink_trap_tunnel_ipip: Remove code duplication (Ivan Vecera) [2140704] - selftests: mlxsw: devlink_trap_tunnel_ipip: Align topology drawing correctly (Ivan Vecera) [2140704] - selftests: mlxsw: devlink_trap_tunnel_ipip6: Add test case for IPv6 decap_error (Ivan Vecera) [2140704] - mlxsw: Add support for transceiver module extended state (Ivan Vecera) [2140704] - mlxsw: Add ability to control transceiver modules' power mode (Ivan Vecera) [2140704] - mlxsw: reg: Add Management Cable IO and Notifications register (Ivan Vecera) [2140704] - mlxsw: reg: Add Port Module Memory Map Properties register (Ivan Vecera) [2140704] - mlxsw: spectrum_buffers: silence uninitialized warning (Ivan Vecera) [2140704] - ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ivan Vecera) [2140704] - mlxsw: Add support for IP-in-IP with IPv6 underlay for Spectrum-2 and above (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Increase parsing depth for IPv6 decapsulation (Ivan Vecera) [2140704] - mlxsw: Add IPV6_ADDRESS kvdl entry type (Ivan Vecera) [2140704] - mlxsw: spectrum_ipip: Add mlxsw_sp_ipip_gre6_ops (Ivan Vecera) [2140704] - mlxsw: Create separate ipip_ops_arr for different ASICs (Ivan Vecera) [2140704] - mlxsw: reg: Add support for ritr_loopback_ipip6_pack() (Ivan Vecera) [2140704] - mlxsw: reg: Add support for ratr_ipip6_entry_pack() (Ivan Vecera) [2140704] - mlxsw: reg: Add support for rtdp_ipip6_pack() (Ivan Vecera) [2140704] - mlxsw: reg: Add Router IP version Six Register (Ivan Vecera) [2140704] - mlxsw: Take tunnel's type into account when searching underlay device (Ivan Vecera) [2140704] - mlxsw: spectrum_ipip: Create common function for mlxsw_sp_ipip_ol_netdev_change_gre() (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Fix arguments alignment (Ivan Vecera) [2140704] - mlxsw: spectrum_ipip: Pass IP tunnel parameters by reference and as 'const' (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Create common function for fib_entry_type_unset() code (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Start using new trap adjacency entry (Ivan Vecera) [2140704] - mlxsw: spectrum_router: Add trap adjacency entry upon first nexthop group (Ivan Vecera) [2140704] - mlxsw: Add support for transceiver modules reset (Ivan Vecera) [2140704] - mlxsw: Make PMAOS pack function more generic (Ivan Vecera) [2140704] - mlxsw: reg: Add fields to PMAOS register (Ivan Vecera) [2140704] - mlxsw: Track per-module port status (Ivan Vecera) [2140704] - mlxsw: spectrum: Do not return an error in mlxsw_sp_port_module_unmap() (Ivan Vecera) [2140704] - mlxsw: spectrum: Do not return an error in ndo_stop() (Ivan Vecera) [2140704] - mlxsw: core_env: Convert 'module_info_lock' to a mutex (Ivan Vecera) [2140704] - mlxsw: core_env: Defer handling of module temperature warning events (Ivan Vecera) [2140704] - mlxsw: core: Remove mlxsw_core_is_initialized() (Ivan Vecera) [2140704] - mlxsw: core: Initialize switch driver last (Ivan Vecera) [2140704] - net: switchdev: Simplify 'mlxsw_sp_mc_write_mdb_entry()' (Ivan Vecera) [2140704] - platform/mellanox: nvsw-sn2201: fix error code in nvsw_sn2201_create_static_devices() (Ivan Vecera) [2140704] - platform/mellanox: Add static in struct declaration. (Ivan Vecera) [2140704] - i2c: mlxcpld: Add callback to notify probing completion (Ivan Vecera) [2140704] - i2c: mlxcpld: Fix register setting for 400KHz frequency (Ivan Vecera) [2140704] - Documentation/ABI: Add new attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704] - Documentation/ABI: mlxreg-io: Fix contact info (Ivan Vecera) [2140704] - platform/mellanox: mlxreg-io: Add locking for io operations (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add COME board revision register (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add support for new system XH3000 (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Introduce support for COMe NVSwitch management module for Vulcan chassis (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add support for systems equipped with two ASICs (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add cosmetic changes for alignment (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Make activation of some drivers conditional (Ivan Vecera) [2140704] - platform/mellanox: Spelling s/platfom/platform/ (Ivan Vecera) [2140704] - Documentation/ABI: Add new attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704] - redhat: Enable support for SN2201 system (Ivan Vecera) [2140704] - platform/mellanox: Add support for new SN2201 system (Ivan Vecera) [2140704] - platform_data/mlxreg: Add field for notification callback (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Use pwm attribute for setting fan speed low limit (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add support for new system SGN2410 (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add BIOS attributes for CoffeeLake COMEx based systems (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Extend FAN and LED configuration to support new MQM97xx systems (Ivan Vecera) [2140704] - watchdog: mlx-wdt: Use regmap_write_bits() (Ivan Vecera) [2140704] - docs: ABI: fix documentation warning in sysfs-driver-mlxreg-io (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add support for multiply cooling devices (Ivan Vecera) [2140704] - Documentation/ABI: Add new line card attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704] - Documentation/ABI: Add new attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Configure notifier callbacks for modular system (Ivan Vecera) [2140704] - platform/x86: mlx-platform: Add initial support for new modular system (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Support distinctive names per different cooling devices (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Modify PWM connectivity validation (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Fix out of bounds read on array fan->pwm (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Extend driver to support multiply cooling devices (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Extend driver to support multiply PWM (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Extend the maximum number of tachometers (Ivan Vecera) [2140704] - i2c: mlxcpld: Allow flexible polling time setting for I2C transactions (Ivan Vecera) [2140704] - i2c: mlxcpld: Reduce polling time for performance improvement (Ivan Vecera) [2140704] - i2c: mlxcpld: Modify register setting for 400KHz frequency (Ivan Vecera) [2140704] - i2c: mlxcpld: Fix criteria for frequency setting (Ivan Vecera) [2140704] - hwmon: (mlxreg-fan) Return non-zero value when fan current state is enforced from sysfs (Ivan Vecera) [2140704] - percpu_ref_init(): clean ->percpu_count_ref on failure (Ian Kent) [2089125] - ata: libata-core: Print timeout value when internal command times (Ewan D. Milne) [2132143] - ata: libata-core: fix sloppy parameter type in ata_exec_internal[_sg]() (Ewan D. Milne) [2132143] - ata: libata-core: make ata_exec_internal_sg() *static* (Ewan D. Milne) [2132143] - ice: Fix crash by keep old cfg when update TCs more than queues (Petr Oros) [2131953] - ice: Fix tunnel checksum offload with fragmented traffic (Petr Oros) [2131953] - ice: handle E822 generic device ID in PLDM header (Petr Oros) [2131953] - ice: ethtool: Prohibit improper channel config for DCB (Petr Oros) [2131953] - ice: ethtool: advertise 1000M speeds properly (Petr Oros) [2131953] - ice: Fix switchdev rules book keeping (Petr Oros) [2131953] - ice: ignore protocol field in GTP offload (Petr Oros) [2131953] - ice: fix access-beyond-end in the switch code (Petr Oros) [2131953] - eth: ice: silence the GCC 12 array-bounds warning (Petr Oros) [2131953] - ice: Expose RSS indirection tables for queue groups via ethtool (Petr Oros) [2131953] - Revert "ice: Hide bus-info in ethtool for PRs in switchdev mode" (Petr Oros) [2131953] - ice: remove period on argument description in ice_for_each_vf (Petr Oros) [2131953] - ice: add a function comment for ice_cfg_mac_antispoof (Petr Oros) [2131953] - ice: fix wording in comment for ice_reset_vf (Petr Oros) [2131953] - ice: remove return value comment for ice_reset_all_vfs (Petr Oros) [2131953] - ice: always check VF VSI pointer values (Petr Oros) [2131953] - ice: add newline to dev_dbg in ice_vf_fdir_dump_info (Petr Oros) [2131953] - ice: get switch id on switchdev devices (Petr Oros) [2131953] - ice: return ENOSPC when exceeding ICE_MAX_CHAIN_WORDS (Petr Oros) [2131953] - ice: introduce common helper for retrieving VSI by vsi_num (Petr Oros) [2131953] - ice: use min_t() to make code cleaner in ice_gnss (Petr Oros) [2131953] - ice, xsk: Avoid refilling single Rx descriptors (Petr Oros) [2131953] - ice, xsk: Diversify return values from xsk_wakeup call paths (Petr Oros) [2131953] - ice, xsk: Terminate Rx side of NAPI when XSK Rx queue gets full (Petr Oros) [2131953] - ice, xsk: Decorate ICE_XDP_REDIR with likely() (Petr Oros) [2131953] - ice: Add mpls+tso support (Petr Oros) [2131953] - ice: switch: convert packet template match code to rodata (Petr Oros) [2131953] - ice: switch: use convenience macros to declare dummy pkt templates (Petr Oros) [2131953] - ice: switch: use a struct to pass packet template params (Petr Oros) [2131953] - ice: switch: unobscurify bitops loop in ice_fill_adv_dummy_packet() (Petr Oros) [2131953] - ice: switch: add and use u16[] aliases to ice_adv_lkup_elem::{h, m}_u (Petr Oros) [2131953] - ice: Fix inconsistent indenting in ice_switch (Petr Oros) [2131953] - ice: Support GTP-U and GTP-C offload in switchdev (Petr Oros) [2131953] - kexec_file: Fix kexec_file.c build error for riscv platform (Baoquan He) [2119002] - x86/setup: use IS_ENABLED(CONFIG_KEXEC_CORE) instead of #ifdef (Baoquan He) [2119002] - kexec: make crashk_res, crashk_low_res and crash_notes symbols always visible (Baoquan He) [2119002] - s390/crash: support multi-segment iterators (Baoquan He) [2119002] - s390/crash: use static swap buffer for copy_to_user_real() (Baoquan He) [2119002] - s390/crash: move copy_to_user_real() to crash_dump.c (Baoquan He) [2119002] - s390/zcore: fix race when reading from hardware system area (Baoquan He) [2119002] - s390/crash: fix incorrect number of bytes to copy to user space (Baoquan He) [2119002] - s390/crash: remove redundant panic() on save area allocation failure (Baoquan He) [2119002] - s390/mm: remove unused tprot() function (Baoquan He) [2119002] - s390/crash: make copy_oldmem_page() return number of bytes copied (Baoquan He) [2119002] - s390/crash: add missing iterator advance in copy_oldmem_page() (Baoquan He) [2119002] - vmcoreinfo: add kallsyms_num_syms symbol (Baoquan He) [2119002] - vmcoreinfo: include kallsyms symbols (Baoquan He) [2119002] - kallsyms: move declarations to internal header (Baoquan He) [2119002] - kexec_file: increase maximum file size to 4G (Baoquan He) [2119002] - fs/kernel_read_file: allow to read files up-to ssize_t (Baoquan He) [2119002] - ima: force signature verification when CONFIG_KEXEC_SIG is configured (Baoquan He) [2119002] - kernel/crash_core.c: remove redundant check of ck_cmdline (Baoquan He) [2119002] - kexec: remove redundant assignments (Baoquan He) [2119002] - kernel/kexec_core: move kexec_core sysctls into its own file (Baoquan He) [2119002] - ELF: Remove elf_core_copy_kernel_regs() (Baoquan He) [2119002] - kexec: drop weak attribute from functions (Baoquan He) [2119002] - vmcore: convert read_from_oldmem() to take an iov_iter (Baoquan He) [2119002] - vmcore: convert __read_vmcore to use an iov_iter (Baoquan He) [2119002] - vmcore: convert copy_oldmem_page() to take an iov_iter (Baoquan He) [2119002] - fs/proc/kcore.c: remove check of list iterator against head past the loop body (Baoquan He) [2119002] - ext4: Use folio_invalidate() (Lukas Czerner) [2138131] - locking: Add __sched to semaphore functions (Waiman Long) [2141431] - locking/rwsem: Disable preemption while trying for rwsem lock (Waiman Long) [2141431] - locking: Detect includes rwlock.h outside of spinlock.h (Waiman Long) [2141431] - locking/percpu-rwsem: Add percpu_is_write_locked() and percpu_is_read_locked() (Waiman Long) [2141431] - locking/spinlocks: Mark spinlocks noinline when inline spinlocks are disabled (Waiman Long) [2141431] - locking/lockdep: Fix lockdep_init_map_*() confusion (Waiman Long) [2141431] - locking/lockdep: Use sched_clock() for random numbers (Waiman Long) [2141431] - lockdep: Delete local_irq_enable_in_hardirq() (Waiman Long) [2141431] - locking: Add lock contention tracepoints (Waiman Long) [2141431] - locking/rwsem: Conditionally wake waiters in reader/writer slowpaths (Waiman Long) [2141431] - lockdep: Fix -Wunused-parameter for _THIS_IP_ (Waiman Long) [2141431] - locking/rwsem: Optimize down_read_trylock() under highly contended case (Waiman Long) [2141431] - sunrpc: Set sk_allocation to GFP_NOFS to avoid using current->task_frag. (Guillaume Nault) [2143920] - fbcon: Fix delayed takeover locking (Jocelyn Falempe) [2134793] - fbcon: use min() to make code cleaner (Jocelyn Falempe) [2134793] - fbcon: Maintain a private array of fb_info (Jocelyn Falempe) [2134793] - fbcon: untangle fbcon_exit (Jocelyn Falempe) [2134793] - fbcon: Move more code into fbcon_release (Jocelyn Falempe) [2134793] - fbcon: Move console_lock for register/unlink/unregister (Jocelyn Falempe) [2134793] - fbcon: Consistently protect deferred_takeover with console_lock() (Jocelyn Falempe) [2134793] - fbcon: use lock_fb_info in fbcon_open/release (Jocelyn Falempe) [2134793] - fbcon: move more common code into fb_open() (Jocelyn Falempe) [2134793] - fbcon: Ditch error handling for con2fb_release_oldinfo (Jocelyn Falempe) [2134793] - fbcon: Extract fbcon_open/release helpers (Jocelyn Falempe) [2134793] - fb: Delete fb_info->queue (Jocelyn Falempe) [2134793] - fbcon: Replace FBCON_FLAGS_INIT with a boolean (Jocelyn Falempe) [2134793] - fbcon: Use delayed work for cursor (Jocelyn Falempe) [2134793] - fbdev/sysfs: Fix locking (Jocelyn Falempe) [2134793] - fbcon: delete delayed loading code (Jocelyn Falempe) [2134793] - fbcon: Introduce wrapper for console->fb_info lookup (Jocelyn Falempe) [2134793] - fbcon: Move fbcon_bmove(_rec) functions (Jocelyn Falempe) [2134793] - fbcon: delete a few unneeded forward decl (Jocelyn Falempe) [2134793] - nfsd: fix use-after-free in nfsd_file_do_acquire tracepoint (Jeffrey Layton) [2134882] - nfsd: put the export reference in nfsd4_verify_deleg_dentry (Jeffrey Layton) [2138866] - nfsd: fix net-namespace logic in __nfsd_file_cache_purge (Jeffrey Layton) [2134882] - NFSD: Cap rsize_bop result based on send buffer size (Jeffrey Layton) [2134882] - NFSD: Remove "inline" directives on op_rsize_bop helpers (Jeffrey Layton) [2134882] - NFSD: Remove unused nfsd4_compoundargs::cachetype field (Jeffrey Layton) [2134882] - NFSD: Fix handling of oversized NFSv4 COMPOUND requests (Jeffrey Layton) [2134882] - NFSD: Increase NFSD_MAX_OPS_PER_COMPOUND (Jeffrey Layton) [2134882] - nfsd: Fix a memory leak in an error handling path (Jeffrey Layton) [2134882] - nfsd_splice_actor(): handle compound pages (Jeffrey Layton) [2134882] - nfsd: rework hashtable handling in nfsd_do_file_acquire (Jeffrey Layton) [2134882] - nfsd: fix nfsd_file_unhash_and_dispose (Jeffrey Layton) [2134882] - NFS: unlink/rmdir shouldn't call d_delete() twice on ENOENT (Jeffrey Layton) [2134882] - SUNRPC: Fix READ_PLUS crasher (Jeffrey Layton) [2134882] - SUNRPC: Remove pointer type casts from xdr_get_next_encode_buffer() (Jeffrey Layton) [2134882] - SUNRPC: Clean up xdr_get_next_encode_buffer() (Jeffrey Layton) [2134882] - vfs: fix copy_file_range() regression in cross-fs copies (Jeffrey Layton) [2134882] - nfp: bpf: Fix typo 'the the' in comment (Stefan Assmann) [2137471] - ip: Fix data-races around sysctl_ip_default_ttl. (Stefan Assmann) [2137471] - nfp: flower: configure tunnel neighbour on cmsg rx (Stefan Assmann) [2137471] - nfp: fix issue of skb segments exceeds descriptor limitation (Stefan Assmann) [2137471] - nfp: flower: restructure flow-key for gre+vlan combination (Stefan Assmann) [2137471] - nfp: avoid unnecessary check warnings in nfp_app_get_vf_config (Stefan Assmann) [2137471] - nfp: remove padding in nfp_nfdk_tx_desc (Stefan Assmann) [2137471] - nfp: only report pause frame configuration for physical device (Stefan Assmann) [2137471] - nfp: flower: fix typo in comment (Stefan Assmann) [2137471] - nfp: flower: support ct merging when mangle action exists (Stefan Assmann) [2137471] - nfp: VF rate limit support (Stefan Assmann) [2137471] - nfp: flower: fix 'variable 'flow6' set but not used' (Stefan Assmann) [2137471] - nfp: support Corigine PCIE vendor ID (Stefan Assmann) [2137471] - nfp: vendor neutral strings for chip and Corigne in strings for driver (Stefan Assmann) [2137471] - nfp: flower: enable decap_v2 bit (Stefan Assmann) [2137471] - nfp: flower: remove unused neighbour cache (Stefan Assmann) [2137471] - nfp: flower: link pre_tun flow rules with neigh entries (Stefan Assmann) [2137471] - nfp: flower: rework tunnel neighbour configuration (Stefan Assmann) [2137471] - nfp: flower: update nfp_tun_neigh structs (Stefan Assmann) [2137471] - nfp: flower: fixup ipv6/ipv4 route lookup for neigh events (Stefan Assmann) [2137471] - nfp: flower: enforce more strict pre_tun checks (Stefan Assmann) [2137471] - nfp: flower: add/remove predt_list entries (Stefan Assmann) [2137471] - nfp: flower: add infrastructure for pre_tun rework (Stefan Assmann) [2137471] - nfp: support VxLAN inner TSO with GSO_PARTIAL offload (Stefan Assmann) [2137471] - nfp: flower: utilize the tuple iifidx in offloading ct flows (Stefan Assmann) [2137471] - ipv6: Use ipv6_only_sock() helper in condition. (Stefan Assmann) [2137471] - nfp: support 802.1ad VLAN assingment to VF (Stefan Assmann) [2137471] - nfp: update nfp_X logging definitions (Stefan Assmann) [2137471] - Revert "Merge branch 'centos-stream-9-main'" (Frantisek Hrbata) - Add rtla subpackage for kernel-tools (John Kacur) Resolves: rhbz#2148429, rhbz#2140704, rhbz#2089125, rhbz#2132143, rhbz#2131953, rhbz#2119002, rhbz#2138131, rhbz#2141431, rhbz#2143920, rhbz#2134793, rhbz#2134882, rhbz#2138866, rhbz#2137471 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-28 10:06:28 +00:00
# CONFIG_NVSW_SN2201 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_NXP_C45_TJA11XX_PHY is not set
# CONFIG_NXP_TJA11XX_PHY is not set
# CONFIG_OCFS2_FS is not set
kernel-5.14.0-172.el9 * Mon Oct 03 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-172.el9] - redhat/Makefile: Add DIST to git tags for RHEL (Prarit Bhargava) - Revert "Split partner modules into a sub-package" (Prarit Bhargava) - redhat: Remove filter-i686.sh.rhel (Prarit Bhargava) - redhat/Makefile: Add RHEL specific message for BUILDID deprecation (Prarit Bhargava) - redhat/Makefile: Set PATCHLIST_URL to none for RHEL/cs9 (Prarit Bhargava) - redhat: remove GL_DISTGIT_USER, RHDISTGIT and unify dist-git cloning (Prarit Bhargava) - redhat/Makefile: Always set UPSTREAM (Prarit Bhargava) - redhat/Makefile.variables: Add ADD_COMMITID_TO_VERSION (Prarit Bhargava) - kernel.spec: disable vmlinux.h generation for s390 zfcpdump config (Prarit Bhargava) - Revert "Add rtla subpackage for kernel-tools" (Prarit Bhargava) - Enable KUNIT tests for redhat kernel-modules-internal (Prarit Bhargava) - Makefile: add kabi targets (Prarit Bhargava) - kabi: add stablelist helpers (Prarit Bhargava) - perf: Require libbpf 0.6.0 or newer (Prarit Bhargava) - kabi: add support for symbol namespaces into check-kabi (Prarit Bhargava) - kabi: ignore new stablelist metadata in show-kabi (Prarit Bhargava) - Makefile: add dist-assert-tree-clean target (Prarit Bhargava) - redhat: Use redhatsecureboot701 for ppc64le (Prarit Bhargava) - redhat: workaround CKI cross compilation for scripts (Prarit Bhargava) - crypto: fips - make proc files report fips module name and version (Prarit Bhargava) - redhat/configs: Enable Marvell OcteonTX2 crypto device (Prarit Bhargava) - redhat/kernel.spec.template: Specify vmlinux.h path when building samples/bpf (Prarit Bhargava) - spec: Fix separate tools build (Prarit Bhargava) - redhat: drop the RELEASED_KERNEL switch (Prarit Bhargava) - redhat: switch the kernel package to use certs from system-sb-certs (Prarit Bhargava) - redhat/scripts: Update merge-subtrees.sh with new subtree location (Prarit Bhargava) - redhat/kernel.spec.template: enable dependencies generation (Prarit Bhargava) - redhat: build and include memfd to kernel-selftests-internal (Prarit Bhargava) - redhat/kernel.spec.template: Link perf with --export-dynamic (Prarit Bhargava) - cifs: enable SMB_DIRECT in RHEL9 (Prarit Bhargava) - redhat/Makefile.variables: Set INCLUDE_FEDORA_FILES to 0 (Prarit Bhargava) - redhat: Remove fedora configs directories and files. (Prarit Bhargava) - Revert "redhat: define _rhel variable because pesign macro now needs it" (Prarit Bhargava) - redhat: switch secureboot kernel image signing to release keys (Prarit Bhargava) - redhat: kernel.spec: selftests: abort on build failure (Prarit Bhargava) - redhat: fix chronological order in the changelog file (Prarit Bhargava) - redhat: replace redhatsecureboot303 signing key with redhatsecureboot601 (Prarit Bhargava) - redhat: define _rhel variable because pesign macro now needs it (Prarit Bhargava) - redhat: update branches/targets after 9 Beta fork (Prarit Bhargava) - redhat: drop certificates that were deprecated after GRUB's BootHole flaw (Prarit Bhargava) - redhat: correct file name of redhatsecurebootca1 (Prarit Bhargava) - redhat: align file names with names of signing keys for ppc and s390 (Prarit Bhargava) - redhat: update Makefile.variables for centos/rhel9 fork (Prarit Bhargava) - redhat: make DIST default to .el9 (Prarit Bhargava) - redhat/self-test: Fix shellcheck errors (Prarit Bhargava) - redhat: change the changelog item for upstream merges (Prarit Bhargava) - redhat/docs: Add dist-brew BUILD_FLAGS information (Prarit Bhargava) - redhat: fix dist-release build number test (Prarit Bhargava) - redhat: fix release number bump when dist-release-changed runs (Prarit Bhargava) - redhat: use new genlog.sh script to detect changes for dist-release (Prarit Bhargava) - redhat: move changelog addition to the spec file back into genspec.sh (Prarit Bhargava) - redhat: always add a rebase entry when ark merges from upstream (Prarit Bhargava) - redhat: drop merge ark patches hack (Prarit Bhargava) - redhat: don't hardcode temporary changelog file (Prarit Bhargava) - redhat: split changelog generation from genspec.sh (Prarit Bhargava) - Add python3-setuptools buildreq for perf (Prarit Bhargava) - Add cros_kunit to mod-internal.list (Prarit Bhargava) - Add new tests to mod-internal.list (Prarit Bhargava) - redhat/Makefile: Clean linux tarballs (Prarit Bhargava) - redhat: properly handle binary files in patches (Prarit Bhargava) - spec: add cpupower daemon reload on install/upgrade (Prarit Bhargava) - redhat/configs: Fix rm warning on config warnings (Prarit Bhargava) - redhat/Makefile: Deprecate PREBUILD_GIT_ONLY variable (Prarit Bhargava) - redhat/Makefile: Deprecate SINGLE_TARBALL variable (Prarit Bhargava) - redhat/Makefile: Deprecate GIT variable (Prarit Bhargava) - omit unused Provides (Prarit Bhargava) - self-test: Add test for DIST=".eln" (Prarit Bhargava) - redhat/docs: Add information on build dependencies (Prarit Bhargava) - redhat/Makefile: Add better pass message for dist-get-buildreqs (Prarit Bhargava) - redhat/Makefile: Provide a better message for system-sb-certs (Prarit Bhargava) - redhat/Makefile: Change dist-buildreq-check to a non-blocking target (Prarit Bhargava) - create-data: Parallelize spec file data (Prarit Bhargava) - create-data.sh: Store SOURCES Makefile variable (Prarit Bhargava) - redhat/Makefile: Split up setup-source target (Prarit Bhargava) - create-data.sh: Redefine varfilename (Prarit Bhargava) - create-data.sh: Parallelize variable file creation (Prarit Bhargava) - redhat/docs: Update brew information (Prarit Bhargava) - redhat/Makefile: Fix eln BUILD_TARGET (Prarit Bhargava) - redhat/Makefile: Set BUILD_TARGET for dist-brew (Prarit Bhargava) - kernel.spec.template: update (s390x) expoline.o path (Prarit Bhargava) - redhat/Makefile: Change fedora BUILD_TARGET (Prarit Bhargava) - redhat: make kernel-zfcpdump-core to not provide kernel-core/kernel (Prarit Bhargava) - redhat: Exclude cpufreq.h from kernel-headers (Prarit Bhargava) - Add rtla subpackage for kernel-tools (Prarit Bhargava) - redhat/Makefile: Deprecate BUILD_SCRATCH_TARGET (Prarit Bhargava) - redhat/Makefile: Remove deprecated variables and targets (Prarit Bhargava) - Split partner modules into a sub-package (Prarit Bhargava) - Enable Marvell OcteonTX2 crypto device in ARK (Prarit Bhargava) - redhat/Makefile: Remove --scratch from BUILD_TARGET (Prarit Bhargava) - redhat/Makefile: Fix dist-brew and distg-brew targets (Prarit Bhargava) - redhat/Makefile: Add SPECKABIVERSION variable (Prarit Bhargava) - redhat/self-test: Provide better failure output (Prarit Bhargava) - redhat/self-test: Reformat tests to kernel standard (Prarit Bhargava) - redhat/self-test: Add purpose and header to each test (Prarit Bhargava) - redhat/self-test: Update data set (Prarit Bhargava) - create-data.sh: Reduce specfile data output (Prarit Bhargava) - self-test: Fixup Makefile contents test (Prarit Bhargava) - redhat/self-test: self-test data update (Prarit Bhargava) - redhat/self-test: Fix up create-data.sh to not report local variables (Prarit Bhargava) - redhat: change tools_make macro to avoid full override of variables in Makefile (Prarit Bhargava) - Fix typo in Makefile for Fedora Stable Versioning (Prarit Bhargava) - redhat/configs/README: Update the README (Prarit Bhargava) - redhat/docs: fix hyperlink typo (Prarit Bhargava) - redhat/docs: Add a description of kernel naming (Prarit Bhargava) - redhat/kernel.spec.template: update selftest data via "make dist-self-test-data" (Prarit Bhargava) - redhat/kernel.spec.template: remove stray *.hardlink-temporary files, if any (Prarit Bhargava) - Fix versioning on stable Fedora (Prarit Bhargava) - Revert "Merge branch 'fix-ci-20220523' into 'os-build'" (Prarit Bhargava) - redhat/Makefile: Drop quotation marks around string definitions (Prarit Bhargava) - redhat/self-test/data: Update data set (Prarit Bhargava) - Revert variable switch for lasttag (Prarit Bhargava) - redhat/self-test: Update data (Prarit Bhargava) - redhat/self-test: Unset Makefile variables (Prarit Bhargava) - redhat/self-test: Omit SHELL variable from test data (Prarit Bhargava) - Update filter-modules for mlx5-vfio-pci (Prarit Bhargava) - self-test/data/create-data.sh: Avoid SINGLE_TARBALL warning (Prarit Bhargava) - redhat/Makefile: Rename PREBUILD to UPSTREAMBUILD (Prarit Bhargava) - redhat/Makefile: Rename BUILDID to LOCALVERSION (Prarit Bhargava) - redhat/Makefile: Fix dist-brew & distg-brew targets (Prarit Bhargava) - redhat/Makefile: Reorganize MARKER code (Prarit Bhargava) - redhat/scripts/new_release.sh: Use Makefile variables (Prarit Bhargava) - redhat/Makefile: Rename __YSTREAM and __ZSTREAM (Prarit Bhargava) - redhat/genspec.sh: Add comment about SPECBUILDID variable (Prarit Bhargava) - redhat/kernel.spec.template: Move genspec variables into one section (Prarit Bhargava) - redhat/kernel.spec.template: Remove kversion (Prarit Bhargava) - redhat/Makefile: Add SPECTARFILE_RELEASE comment (Prarit Bhargava) - redhat/Makefile: Rename RPMVERSION to BASEVERSION (Prarit Bhargava) - redhat/Makefile: Target whitespace cleanup (Prarit Bhargava) - redhat/Makefile: Move SPECRELEASE to genspec.sh (Prarit Bhargava) - redhat/Makefile: Add kernel-NVR comment (Prarit Bhargava) - redhat/Makefile: Use SPECFILE variable (Prarit Bhargava) - redhat/Makefile: Remove KEXTRAVERSION (Prarit Bhargava) - redhat: Enable VM kselftests (Prarit Bhargava) - redhat: enable CONFIG_TEST_VMALLOC for vm selftests (Prarit Bhargava) - redhat: Enable HMM test to be used by the kselftest test suite (Prarit Bhargava) - redhat/Makefile.variables: Change git hash length to default (Prarit Bhargava) - redhat/Makefile: Drop quotation marks around string definitions (Prarit Bhargava) - redhat/configs: Fix rm warning on error (Prarit Bhargava) - redhat/kernel.spec.template: fix standalone tools build (Prarit Bhargava) - Add system-sb-certs for RHEL-9 (Prarit Bhargava) - Fix dist-buildcheck-reqs (Prarit Bhargava) - redhat: indicate HEAD state in tarball/rpm name (Prarit Bhargava) - redhat/self-test: Add test to verify Makefile declarations. (Prarit Bhargava) - redhat/Makefile: Add RHTEST (Prarit Bhargava) - redhat: shellcheck cleanup (Prarit Bhargava) - redhat/self-test/data: Cleanup data (Prarit Bhargava) - redhat/self-test: Add test to verify SPEC variables (Prarit Bhargava) - redhat/Makefile: Add 'duplicate' SPEC entries for user set variables (Prarit Bhargava) - redhat/Makefile: Rename TARFILE_RELEASE to SPECTARFILE_RELEASE (Prarit Bhargava) - redhat/genspec: Rename PATCHLIST_CHANGELOG to SPECPATCHLIST_CHANGELOG (Prarit Bhargava) - redhat/genspec: Rename DEBUG_BUILDS_ENABLED to SPECDEBUG_BUILDS_ENABLED (Prarit Bhargava) - redhat/Makefile: Rename PKGRELEASE to SPECBUILD (Prarit Bhargava) - redhat/genspec: Rename BUILDID_DEFINE to SPECBUILDID (Prarit Bhargava) - redhat/Makefile: Rename CHANGELOG to SPECCHANGELOG (Prarit Bhargava) - redhat/Makefile: Rename RPMKEXTRAVERSION to SPECKEXTRAVERSION (Prarit Bhargava) - redhat/Makefile: Rename RPMKSUBLEVEL to SPECKSUBLEVEL (Prarit Bhargava) - redhat/Makefile: Rename RPMKPATCHLEVEL to SPECKPATCHLEVEL (Prarit Bhargava) - redhat/Makefile: Rename RPMKVERSION to SPECKVERSION (Prarit Bhargava) - redhat/Makefile: Rename KVERSION to SPECVERSION (Prarit Bhargava) - redhat/Makefile: Deprecate some simple targets (Prarit Bhargava) - redhat/Makefile: Use KVERSION (Prarit Bhargava) - enable DAMON configs (Prarit Bhargava) - redhat: change kabi tarballs to use the package release (Prarit Bhargava) - redhat: generate distgit changelog in genspec.sh as well (Prarit Bhargava) - redhat: make genspec prefer metadata from git notes (Prarit Bhargava) - redhat: use tags from git notes for zstream to generate changelog (Prarit Bhargava) - redhat/self-test: Clean up data set (Prarit Bhargava) - redhat/Makefile.rhpkg: Remove quotes for RHDISTGIT (Prarit Bhargava) - redhat/scripts/create-tarball.sh: Use Makefile variables (Prarit Bhargava) - redhat/Makefile: Deprecate SINGLE_TARBALL (Prarit Bhargava) - redhat/Makefile: Move SINGLE_TARBALL to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Use RPMVERSION (Prarit Bhargava) - redhat/scripts/rh-dist-git.sh: Use Makefile variables (Prarit Bhargava) - redhat/configs/build_configs.sh: Use Makefile variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Use Makefile variables (Prarit Bhargava) - redhat/kernel.spec.template: Use RPM_BUILD_NCPUS (Prarit Bhargava) - redhat/configs/generate_all_configs.sh: Use Makefile variables (Prarit Bhargava) - redhat: ignore known empty patches on the patches rpminspect test (Prarit Bhargava) - spec: keep .BTF section in modules for s390 (Prarit Bhargava) - kernel.spec.template: Ship arch/s390/lib/expoline.o in kernel-devel (Prarit Bhargava) - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Prarit Bhargava) - Build intel_sdsi with %%{tools_make} (Prarit Bhargava) - spec: make HMAC file encode relative path (Prarit Bhargava) - redhat/kernel.spec.template: Add intel_sdsi utility (Prarit Bhargava) - Spec fixes for intel-speed-select (Prarit Bhargava) - Add clk_test and clk-gate_test to mod-internal.list (Prarit Bhargava) - redhat/self-tests: Ignore UPSTREAM (Prarit Bhargava) - redhat/self-tests: Ignore RHGITURL (Prarit Bhargava) - redhat/Makefile.variables: Extend git hash length to 15 (Prarit Bhargava) - redhat/self-test: Remove changelog from spec files (Prarit Bhargava) - redhat/genspec.sh: Rearrange genspec.sh (Prarit Bhargava) - redhat/self-test: Add spec file data (Prarit Bhargava) - redhat/self-test: Add better dist-dump-variables test (Prarit Bhargava) - redhat/self-test: Add variable test data (Prarit Bhargava) - Avoid creating files in $RPM_SOURCE_DIR (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - Remove i686 configs and filters (Prarit Bhargava) - redhat: Fix release tagging (Prarit Bhargava) - redhat/self-test: Fix version tag test (Prarit Bhargava) - redhat/self-test: Fix BUILD verification test (Prarit Bhargava) - redhat/self-test: Cleanup SRPM related self-tests (Prarit Bhargava) - redhat/self-test: Fix shellcheck test (Prarit Bhargava) - redhat/README.Makefile: Add a Makefile README file (Prarit Bhargava) - redhat/Makefile: Remove duplicated code (Prarit Bhargava) - Add BuildRequires libnl3-devel for intel-speed-select (Prarit Bhargava) - Add new kunit tests for 5.18 to mod-internal.list (Prarit Bhargava) - Fix RHDISTGIT for Fedora (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - Define SNAPSHOT correctly when VERSION_ON_UPSTREAM is 0 (Prarit Bhargava) - redhat/Makefile: Fix dist-git (Prarit Bhargava) - redhat/Makefile: Reorganize variables (Prarit Bhargava) - redhat/Makefile: Add some descriptions (Prarit Bhargava) - redhat/Makefile: Move SNAPSHOT check (Prarit Bhargava) - redhat/Makefile: Deprecate BREW_FLAGS, KOJI_FLAGS, and TEST_FLAGS (Prarit Bhargava) - redhat/genspec.sh: Rework RPMVERSION variable (Prarit Bhargava) - redhat/Makefile: Remove dead comment (Prarit Bhargava) - redhat/Makefile: Cleanup KABI* variables. (Prarit Bhargava) - redhat/Makefile.variables: Default RHGITCOMMIT to HEAD (Prarit Bhargava) - redhat/scripts/create-tarball.sh: Use Makefile TARBALL variable (Prarit Bhargava) - redhat/Makefile: Remove extra DIST_BRANCH (Prarit Bhargava) - redhat/Makefile: Remove STAMP_VERSION (Prarit Bhargava) - redhat/Makefile: Move NO_CONFIGCHECKS to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Move RHJOBS to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Move RHGIT* variables to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Move PREBUILD_GIT_ONLY to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Move BUILD to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Move BUILD_FLAGS to Makefile.variables. (Prarit Bhargava) - redhat/Makefile: Move BUILD_PROFILE to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Move BUILD_TARGET and BUILD_SCRATCH_TARGET to Makefile.variables (Prarit Bhargava) - redhat/Makefile: Remove RHPRODUCT variable (Prarit Bhargava) - redhat/Makefile: Cleanup DISTRO variable (Prarit Bhargava) - redhat/Makefile: Move HEAD to Makefile.variables. (Prarit Bhargava) - redhat: Combine Makefile and Makefile.common (Prarit Bhargava) - redhat/koji/Makefile: Decouple koji Makefile from Makefile.common (Prarit Bhargava) - Add asus_wmi_sensors modules to filters for Fedora (Prarit Bhargava) - Update scripts to put new configs under ark-common (Prarit Bhargava) - redhat: spec: trigger dracut when modules are installed separately (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - redhat: Unify genspec.sh and kernel.spec variable names (Prarit Bhargava) - redhat/genspec.sh: Remove options and use Makefile variables (Prarit Bhargava) - Add rebase note for 5.17 on Fedora stable (Prarit Bhargava) - redhat: Fix "make dist-release-finish" to use the correct NVR variables (Prarit Bhargava) - Build CROS_EC Modules (Prarit Bhargava) - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - configs/process_configs.sh: Remove orig files (Prarit Bhargava) - redhat: fix make {distg-brew,distg-koji} (Prarit Bhargava) - redhat/configs: Enable CONFIG_TEST_BPF (Prarit Bhargava) - Enable KUNIT tests for testing (Prarit Bhargava) - Makefile: Check PKGRELEASE size on dist-brew targets (Prarit Bhargava) - kernel.spec: Add glibc-static build requirement (Prarit Bhargava) - spec: don't overwrite auto.conf with .config (Prarit Bhargava) - Add test_hash to the mod-internal.list (Prarit Bhargava) - spec: speed up "cp -r" when it overwrites existing files. (Prarit Bhargava) - redhat: use centos x509.genkey file if building under centos (Prarit Bhargava) - Revert "[redhat] Generate a crashkernel.default for each kernel build" (Prarit Bhargava) - spec: make linux-firmware weak(er) dependency (Prarit Bhargava) - Add packaged but empty /lib/modules/<kver>/systemtap/ (Prarit Bhargava) - filter-modules.sh.rhel: Add ntc_thermistor to singlemods (Prarit Bhargava) - Add dev_addr_lists_test to mod-internal.list (Prarit Bhargava) - redhat: ignore ksamples and kselftests on the badfuncs rpminspect test (Prarit Bhargava) - redhat: disable upstream check for rpminspect (Prarit Bhargava) - redhat: Pull in openssl-devel as a build dependency correctly (Prarit Bhargava) - Update rebase-notes with dracut 5.17 information (Prarit Bhargava) - Enable CONFIG_CIFS_SMB_DIRECT for ARK (Prarit Bhargava) - redhat: make Patchlist.changelog generation conditional (Prarit Bhargava) - redhat/configs/evaluate_configs: Add find dead configs option (Prarit Bhargava) - Add more rebase notes for Fedora 5.16 (Prarit Bhargava) - spec: Keep .BTF section in modules (Prarit Bhargava) - spec: add bpf_testmod.ko to kselftests/bpf (Prarit Bhargava) - redhat/docs/index.rst: Add local build information. (Prarit Bhargava) - Turn on CONFIG_HID_NINTENDO for controller support (Prarit Bhargava) - Add rebase notes to check for PCI patches (Prarit Bhargava) - Filter updates for hid-playstation on Fedora (Prarit Bhargava) - Add nct6775 to filter-modules.sh.rhel (Prarit Bhargava) - Add memcpy_kunit to mod-internal.list (Prarit Bhargava) - Add nct6775 to Fedora filter-modules.sh (Prarit Bhargava) - redhat/kernel.spec.template: don't hardcode gcov arches (Prarit Bhargava) - redhat/configs: create a separate config for gcov options (Prarit Bhargava) - Update documentation with FAQ and update frequency (Prarit Bhargava) - Document force pull option for mirroring (Prarit Bhargava) - Ignore the rhel9 kabi files (Prarit Bhargava) - Remove legacy elrdy cruft (Prarit Bhargava) - redhat/configs/evaluate_configs: walk cfgvariants line by line (Prarit Bhargava) - redhat/configs/evaluate_configs: insert EMPTY tags at correct place (Prarit Bhargava) - redhat: make dist-srpm-gcov add to BUILDOPTS (Prarit Bhargava) - spec: Don't fail spec build if ksamples fails (Prarit Bhargava) - redhat: Disable clang's integrated assembler on ppc64le and s390x (Prarit Bhargava) - Fix dist-srpm-gcov (Prarit Bhargava) - Add kfence_test to mod-internal.list (Prarit Bhargava) - Enable KUNIT tests for redhat kernel-modules-internal (Prarit Bhargava) - redhat: add *-matched meta packages to rpminspect emptyrpm config (Prarit Bhargava) - redhat: fix typo and make the output more silent for dist-git sync (Prarit Bhargava) - Fix ordering in genspec args (Prarit Bhargava) - redhat/docs: update Koji link to avoid redirect (Prarit Bhargava) - redhat: add support for different profiles with dist*-brew (Prarit Bhargava) - redhat: move the DIST variable setting to Makefile.variables (Prarit Bhargava) - redhat/kernel.spec.template: Cleanup source numbering (Prarit Bhargava) - redhat/kernel.spec.template: Reorganize RHEL and Fedora specific files (Prarit Bhargava) - redhat/kernel.spec.template: Add include_fedora and include_rhel variables (Prarit Bhargava) - redhat/Makefile: Make kernel-local global (Prarit Bhargava) - redhat/Makefile: Use flavors file (Prarit Bhargava) - redhat: rename usage of .rhel8git.mk to .rhpkg.mk (Prarit Bhargava) - redhat: remove kernel.changelog-8.99 file (Prarit Bhargava) - redhat: add documentation about the os-build rebase process (Prarit Bhargava) - Build kernel-doc for Fedora (Prarit Bhargava) - Revert "redhat: set default values in Makefiles for RHEL 9 Beta" (Prarit Bhargava) - Revert "redhat: make DIST default to .el9" (Prarit Bhargava) - Revert "redhat: add support for stream profile in koji/brew" (Prarit Bhargava) - Revert "redhat: update Makefile.variables for centos/rhel9 fork" (Prarit Bhargava) - Revert "redhat: drop Patchlist.changelog for RHEL" (Prarit Bhargava) - Revert "redhat: align file names with names of signing keys for ppc and s390" (Prarit Bhargava) - Revert "redhat: correct file name of redhatsecurebootca1" (Prarit Bhargava) - Revert "redhat: drop certificates that were deprecated after GRUB's BootHole flaw" (Prarit Bhargava) - Revert "redhat: update branches/targets after 9 Beta fork" (Prarit Bhargava) - Revert "redhat: define _rhel variable because pesign macro now needs it" (Prarit Bhargava) - Revert "redhat: replace redhatsecureboot303 signing key with redhatsecureboot601" (Prarit Bhargava) - Revert "redhat: add *-matched meta packages to rpminspect emptyrpm config" (Prarit Bhargava) - Revert "redhat: add option to use DIST tag in sources" (Prarit Bhargava) - Revert "redhat: set USE_DIST_IN_SOURCE=1 for 9.0-beta" (Prarit Bhargava) - Revert "redhat: fix chronological order in the changelog file" (Prarit Bhargava) - Revert "redhat: restore sublevel in changelog" (Prarit Bhargava) - Revert "redhat: kernel.spec: selftests: abort on build failure" (Prarit Bhargava) - Revert "redhat/.gitignore: Add rhel9 KABI files" (Prarit Bhargava) - Revert "Revert "redhat: define _rhel variable because pesign macro now needs it"" (Prarit Bhargava) - Revert "redhat/Makefile: Use flavors file" (Prarit Bhargava) - Revert "redhat/Makefile: Make kernel-local global" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: Add include_fedora and include_rhel variables" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: Reorganize RHEL and Fedora specific files" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: Cleanup source numbering" (Prarit Bhargava) - Revert "redhat: Remove fedora configs directories and files." (Prarit Bhargava) - Revert "redhat/Makefile.variables: Set INCLUDE_FEDORA_FILES to 0" (Prarit Bhargava) - Revert "redhat: BUILDID parameter must come last in genspec.sh" (Prarit Bhargava) - Revert "kernel.spec: add bpf_testmod.ko to kselftests/bpf" (Prarit Bhargava) - Revert "cifs: enable SMB_DIRECT in RHEL9" (Prarit Bhargava) - Revert "redhat: Fix dist-srpm-gcov" (Prarit Bhargava) - Revert "redhat: make dist-srpm-gcov add to BUILDOPTS" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: don't hardcode gcov arches" (Prarit Bhargava) - Revert "redhat/configs: create a separate config for gcov options" (Prarit Bhargava) - Revert "redhat/configs/evaluate_configs: walk cfgvariants line by line" (Prarit Bhargava) - Revert "redhat/configs/evaluate_configs: insert EMPTY tags at correct place" (Prarit Bhargava) - Revert "redhat: fix typo and make the output more silent for dist-git sync" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: Link perf with --export-dynamic" (Prarit Bhargava) - Revert "redhat: build and include memfd to kernel-selftests-internal" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: enable dependencies generation" (Prarit Bhargava) - Revert "redhat: disable upstream check for rpminspect" (Prarit Bhargava) - Revert "redhat: ignore ksamples and kselftests on the badfuncs rpminspect test" (Prarit Bhargava) - Revert "redhat: Pull in openssl-devel as a build dependency correctly" (Prarit Bhargava) - Revert "Revert "[redhat] Generate a crashkernel.default for each kernel build"" (Prarit Bhargava) - Revert "redhat/configs/evaluate_configs: Add find dead configs option" (Prarit Bhargava) - Revert "redhat/scripts: Update merge-subtrees.sh with new subtree location" (Prarit Bhargava) - Revert "redhat: make pathspec exclusion compatible with old git versions" (Prarit Bhargava) - Revert "Add packaged but empty /lib/modules/<kver>/systemtap" (Prarit Bhargava) - Revert "redhat: use centos x509.genkey file if building under centos" (Prarit Bhargava) - Revert "redhat: fix the exclusion of rhdocs changes entries in the changelog" (Prarit Bhargava) - Revert "redhat: switch the kernel package to use certs from system-sb-certs" (Prarit Bhargava) - Revert "redhat: drop the RELEASED_KERNEL switch" (Prarit Bhargava) - Revert "Enable KUNIT tests for redhat kernel-modules-internal" (Prarit Bhargava) - Revert "redhat/configs: Enable CONFIG_TEST_BPF" (Prarit Bhargava) - Revert "spec: Fix separate tools build" (Prarit Bhargava) - Revert "kernel.spec: Add glibc-static build requirement" (Prarit Bhargava) - Revert "spec: make linux-firmware weak(er) dependency" (Prarit Bhargava) - Revert "redhat: use tags from git notes for zstream to generate changelog" (Prarit Bhargava) - Revert "redhat: make genspec prefer metadata from git notes" (Prarit Bhargava) - Revert "redhat: generate distgit changelog in genspec.sh as well" (Prarit Bhargava) - Revert "redhat: change kabi tarballs to use the package release" (Prarit Bhargava) - Revert "redhat: rpminspect: disable 'patches' check for known empty patch files" (Prarit Bhargava) - Revert "redhat: spec: trigger dracut when modules are installed separately" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Add processing config function" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Create unique output files" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Provide better messages" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Parallelize execution" (Prarit Bhargava) - Revert "redhat/configs/build_configs.sh: Add local variables" (Prarit Bhargava) - Revert "redhat/configs/build_configs.sh: Create unique output files" (Prarit Bhargava) - Revert "redhat/configs/build_configs.sh: Provide better messages" (Prarit Bhargava) - Revert "redhat/configs/build_configs.sh: Parallelize execution" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Fix issue with old error files" (Prarit Bhargava) - Revert "Pass RHJOBS to process_configs for dist-configs-check as well" (Prarit Bhargava) - Revert "Print arch with process_configs errors" (Prarit Bhargava) - Revert "redhat/Makefile: Silence dist-clean-configs output" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Fix race with tools generation" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Remove CONTINUEONERROR" (Prarit Bhargava) - Revert "redhat/configs/process_configs.sh: Avoid race with find" (Prarit Bhargava) - Revert "redhat/Makefile: Fix dist-dump-variables target" (Prarit Bhargava) - Revert "redhat: fix make {distg-brew,distg-koji}" (Prarit Bhargava) - Revert "redhat/koji/Makefile: Decouple koji Makefile from Makefile.common" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: Add intel_sdsi utility" (Prarit Bhargava) - Revert "Build intel_sdsi with %%{tools_make}" (Prarit Bhargava) - Revert "Add BuildRequires libnl3-devel for intel-speed-select" (Prarit Bhargava) - Revert "Spec fixes for intel-speed-select" (Prarit Bhargava) - Revert "redhat: enable CONFIG_TEST_VMALLOC for vm selftests" (Prarit Bhargava) - Revert "redhat: Enable HMM test to be used by the kselftest test suite" (Prarit Bhargava) - Revert "redhat: Enable VM kselftests" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: Specify vmlinux.h path when building samples/bpf" (Prarit Bhargava) - Revert "redhat/kernel.spec.template: fix standalone tools build" (Prarit Bhargava) - Revert "redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY" (Prarit Bhargava) - Revert "redhat: Exclude cpufreq.h from kernel-headers" (Prarit Bhargava) - Revert "kernel.spec: disable vmlinux.h generation for s390 zfcpdump config" (Prarit Bhargava) - Revert "spec: Keep .BTF section in modules" (Prarit Bhargava) - Revert "redhat/configs: Enable Marvell OcteonTX2 crypto device" (Prarit Bhargava) - Revert "redhat: make kernel-zfcpdump-core to not provide kernel-core/kernel" (Prarit Bhargava) - Revert "crypto: fips - make proc files report fips module name and version" (Prarit Bhargava) - Revert "redhat: fix kernel_variant_package option definition" (Prarit Bhargava) - Revert "redhat: workaround CKI cross compilation for scripts" (Prarit Bhargava) - Revert "redhat: Use redhatsecureboot701 for ppc64le" (Prarit Bhargava) - Revert "[redhat] Makefile: add dist-assert-tree-clean target" (Prarit Bhargava) - Revert "[redhat] Makefile.common: export REDHAT variable" (Prarit Bhargava) - Revert "[redhat] kabi: ignore new stablelist metadata in show-kabi" (Prarit Bhargava) - Revert "[redhat] kabi: add support for symbol namespaces into check-kabi" (Prarit Bhargava) - Revert "[redhat] perf: Require libbpf 0.6.0 or newer" (Prarit Bhargava) - Revert "[redhat] kabi: add stablelist helpers" (Prarit Bhargava) - Revert "[redhat] Makefile: add kabi targets" (Prarit Bhargava) - Revert "redhat: remove GL_DISTGIT_USER, RHDISTGIT and unify dist-git cloning" (Prarit Bhargava) Resolves: rhbz#2125397 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-03 09:42:53 +00:00
# CONFIG_OCTEON_EP is not set
CONFIG_OCXL=m
# CONFIG_OF_OVERLAY is not set
CONFIG_OF_PMEM=m
# CONFIG_OF_UNITTEST is not set
CONFIG_OF=y
# CONFIG_OMFS_FS is not set
# CONFIG_OPAL_CORE is not set
CONFIG_OPAL_PRD=m
kernel-5.14.0-151.el9 * Mon Aug 22 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-151.el9] - crypto: ccp - Fix device IRQ counting by using platform_irq_count() (Al Stone) [2071840] - amd-xgbe: Use platform_irq_count() (Al Stone) [2071840] - MAINTAINERS: adjust file entry for of_net.c after (Al Stone) [2071840] - rhel: CONFIG_OPEN_DICE is not set (Al Stone) [2071840] - of/irq: fix typo in comment (Al Stone) [2071840] - powerpc/powermac: constify device_node in of_irq_parse_oldworld() (Al Stone) [2071840] - of/fdt: Ignore disabled memory nodes (Al Stone) [2071840] - of: overlay: do not free changeset when of_overlay_apply returns error (Al Stone) [2071840] - of: overlay: unittest: add tests for overlay notifiers (Al Stone) [2071840] - of: overlay: add entry to of_overlay_action_name[] (Al Stone) [2071840] - of: overlay: rework overlay apply and remove kfree()s (Al Stone) [2071840] - of: overlay: rename variables to be consistent (Al Stone) [2071840] - of: overlay: do not break notify on NOTIFY_{OK|STOP} (Al Stone) [2071840] - mtd: phram: Allow probing via reserved-memory (Al Stone) [2071840] - of: Create platform devices for OF framebuffers (Al Stone) [2071840] - of: of_property_read_string return -ENODATA when !length (Al Stone) [2071840] - of/irq: Use interrupts-extended to find parent (Al Stone) [2071840] - device property: Convert device_{dma_supported,get_dma_attr} to fwnode (Al Stone) [2071840] - of/platform: Drop static setup of IRQ resource from DT core (Al Stone) [2071840] - cma: factor out minimum alignment requirement (Al Stone) [2071840] - Revert "of: base: Introduce of_alias_get_alias_list() to check alias IDs" (Al Stone) [2071840] - of: unittest: print pass messages at PR_INFO level (Al Stone) [2071840] - misc: open-dice: Add driver to expose DICE data to userspace (Al Stone) [2071840] - of: unittest: update text of expected warnings (Al Stone) [2071840] - of: Check 'of_node_reused' flag on of_match_device() (Al Stone) [2071840] - of: property: define of_property_read_u{8,16,32,64}_array() unconditionally (Al Stone) [2071840] - of: base: make small of_parse_phandle() variants static inline (Al Stone) [2071840] - mm: kmemleak: alloc gray object for reserved region with direct map (Al Stone) [2071840] - of: unittest: remove unneeded semicolon (Al Stone) [2071840] - of: base: Improve argument length mismatch error (Al Stone) [2071840] - of: base: Fix phandle argument length mismatch error message (Al Stone) [2071840] - of: unittest: re-implement overlay tracking (Al Stone) [2071840] - of: unittest: change references to obsolete overlay id (Al Stone) [2071840] - of: Move simple-framebuffer device handling from simplefb to of (Al Stone) [2071840] - of: unittest: 64 bit dma address test requires arch support (Al Stone) [2071840] - of: unittest: fix warning on PowerPC frame size warning (Al Stone) [2071840] - of/irq: Add a quirk for controllers with their own definition of interrupt-map (Al Stone) [2071840] - of: base: Skip CPU nodes with "fail"/"fail-..." status (Al Stone) [2071840] - of: property: fw_devlink: Fixup behaviour when 'node_not_dev' is set (Al Stone) [2071840] - of/irq: Don't ignore interrupt-controller when interrupt-map failed (Al Stone) [2071840] - of: Support using 'mask' in making device bus id (Al Stone) [2071840] - memblock: use memblock_free for freeing virtual pointers (Al Stone) [2071840] - memblock: rename memblock_free to memblock_phys_free (Al Stone) [2071840] - xen/x86: free_p2m_page: use memblock_free_ptr() to free a virtual pointer (Al Stone) [2071840] - memblock: drop memblock_free_early_nid() and memblock_free_early() (Al Stone) [2071840] - of/irq: Allow matching of an interrupt-map local to an interrupt controller (Al Stone) [2071840] - of: unittest: document intentional interrupt-map provider build warning (Al Stone) [2071840] - of: unittest: fix EXPECT text for gpio hog errors (Al Stone) [2071840] - of/unittest: Disable new dtc node_name_vs_property_name and interrupt_map warnings (Al Stone) [2071840] - x86/of: Kill unused early_init_dt_scan_chosen_arch() (Al Stone) [2071840] - of/unittest: Add of_node_put() before return (Al Stone) [2071840] - of: make of_node_check_flag() device_node parameter const (Al Stone) [2071840] - of: kobj: make of_node_is_(initialized|attached) parameters const (Al Stone) [2071840] - of: Add of_get_cpu_hwid() to read hardware ID from CPU nodes (Al Stone) [2071840] - ARM: 9119/1: amba: Properly handle device probe without IRQ domain (Al Stone) [2071840] - ARM: 9120/1: Revert "amba: make use of -1 IRQs warn" (Al Stone) [2071840] - soc: qcom: smem: Support reserved-memory description (Al Stone) [2071840] - net: of: fix stub of_net helpers for CONFIG_NET=n (Al Stone) [2071840] - of: net: add a helper for loading netdev->dev_addr (Al Stone) [2071840] - of: net: move of_net under net/ (Al Stone) [2071840] - of: remove duplicate declarations of __of_*_sysfs() functions (Al Stone) [2071840] - fbdev: simplefb: fix Kconfig dependencies (Al Stone) [2071840] - of, numa: Fetch empty NUMA node ID from distance map (Al Stone) [2071840] - of: restricted dma: Fix condition for rmem init (Al Stone) [2071840] - of: property: Disable fw_devlink DT support for X86 (Al Stone) [2071840] - of: Don't allow __of_attached_node_sysfs() without CONFIG_SYSFS (Al Stone) [2071840] - of: restricted dma: Don't fail device probe on rmem init failure (Al Stone) [2071840] - of: Move of_dma_set_restricted_buffer() into device.c (Al Stone) [2071840] - of: property: fw_devlink: Add support for "leds" and "backlight" (Al Stone) [2071840] - of: property: fw_devlink: Add support for "resets" and "pwms" (Al Stone) [2071840] - gpiolib: constify passed device_node pointer (Al Stone) [2071840] - of: unify of_count_phandle_with_args() arguments with !CONFIG_OF (Al Stone) [2071840] - of: Return success from of_dma_set_restricted_buffer() when !OF_ADDRESS (Al Stone) [2071840] - of: kexec: Remove FDT_PROP_* definitions (Al Stone) [2071840] - of: base: remove unnecessary for loop (Al Stone) [2071840] - of: Add plumbing for restricted DMA pool (Al Stone) [2071840] - of: Add stub for of_add_property() (Al Stone) [2071840] Resolves: rhbz#2071840 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-22 14:19:18 +00:00
# CONFIG_OPEN_DICE is not set
CONFIG_OPENVSWITCH_GENEVE=m
CONFIG_OPENVSWITCH_GRE=m
CONFIG_OPENVSWITCH=m
CONFIG_OPENVSWITCH_VXLAN=m
# CONFIG_OPT3001 is not set
# CONFIG_OPTEE is not set
# CONFIG_ORANGEFS_FS is not set
CONFIG_OSF_PARTITION=y
CONFIG_OSNOISE_TRACER=y
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_OVERFLOW_KUNIT_TEST=m
# CONFIG_OVERLAY_FS_INDEX is not set
CONFIG_OVERLAY_FS=m
# CONFIG_OVERLAY_FS_METACOPY is not set
# CONFIG_OVERLAY_FS_REDIRECT_ALWAYS_FOLLOW is not set
# CONFIG_OVERLAY_FS_REDIRECT_DIR is not set
# CONFIG_OVERLAY_FS_XINO_AUTO is not set
# CONFIG_PA12203001 is not set
CONFIG_PACKET_DIAG=y
CONFIG_PACKET=y
# CONFIG_PACKING is not set
CONFIG_PAGE_EXTENSION=y
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_PAGE_OWNER=y
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_PAGE_POISONING=y
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
CONFIG_PAGE_POOL_STATS=y
CONFIG_PAGE_REPORTING=y
kernel-5.14.0-179.el9 * Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9] - dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028] - dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028] - dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028] - MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028] - dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028] - dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028] - dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028] - dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028] - dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028] - dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028] - dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028] - dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028] - dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028] - dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028] - dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028] - dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028] - MAINTAINERS: update my email address (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028] - dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028] - dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028] - dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028] - Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028] - dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028] - dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028] - dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028] - dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028] - dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028] - dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028] - dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028] - dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028] - dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028] - Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028] - pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693] - gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693] - pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693] - ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693] - pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693] - nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526] - Enabling configs for AUTH support. (Gopal Tiwari) [2124526] - nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526] - nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526] - nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526] - nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526] - nvmet: fix a use-after-free (Gopal Tiwari) [2124526] - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526] - nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526] - nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526] - nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526] - nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526] - nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526] - nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526] - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526] - nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526] - nvme: refactor namespace probing (Gopal Tiwari) [2124526] - nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526] - nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526] - nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526] - nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526] - nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526] - nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526] - nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526] - nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526] - nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526] - nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: don't always build constants.o (Gopal Tiwari) [2124526] - nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526] - nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526] - nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526] - nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526] - nvme-auth: fix off by one checks (Gopal Tiwari) [2124526] - nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526] - nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526] - nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526] - nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526] - nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526] - nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526] - nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526] - nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526] - nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526] - nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526] - nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526] - nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526] - crypto: add crypto_has_shash() (Gopal Tiwari) [2124526] - lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526] - nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526] - nvme: implement In-Band authentication (Gopal Tiwari) [2124526] - nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526] - nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526] - nvme: remove a double word in a comment (Gopal Tiwari) [2124526] - nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526] - nvme: remove unused timeout parameter (Gopal Tiwari) [2124526] - nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526] - nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526] - nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: fix block device naming collision (Gopal Tiwari) [2124526] - nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526] - nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526] - nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526] - nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526] - nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526] - nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526] - nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526] - block: simplify disk shutdown (Gopal Tiwari) [2124526] - nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526] - nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526] - nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526] - nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526] - nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526] - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526] - nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526] - nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526] - nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526] - nvmet: fix typo in comment (Gopal Tiwari) [2124526] - nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526] - nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526] - nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526] - nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526] - nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526] - nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526] - nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526] - nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526] - nvme: set dma alignment to dword (Gopal Tiwari) [2124526] - nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526] - nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526] - nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526] - nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526] - nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526] - nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526] - nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526] - nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526] - nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526] - nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526] - nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526] - nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526] - nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526] - nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526] - nvmet: don't fold lines (Gopal Tiwari) [2124526] - nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526] - nvme-tcp: don't fold the line (Gopal Tiwari) [2124526] - nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526] - nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526] - nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526] - nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526] - nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526] - nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526] - nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526] - nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526] - nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526] - nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526] - nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526] - nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526] - nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526] - nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526] - nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526] - watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882} - watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882} - watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882} - cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632] - Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161] - net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161] - docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161] - net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161] - tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161] - net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161] - net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161] - net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161] - tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161] - net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161] - net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161] - net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161] - net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161] - tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161] - tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161] - tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161] - tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161] - tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161] - tcp: get rid of rst_seq_match (Antoine Tenart) [2059161] - tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161] - tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161] - ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161] - net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161] - net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161] - net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161] - net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161] - skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161] - net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161] - net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161] - net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161] - net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161] - net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161] - skb: make drop reason booleanable (Antoine Tenart) [2059161] - net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161] - net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161] - net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161] - net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161] - net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161] - net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161] - net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161] - net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161] - net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161] - net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161] - net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161] - net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161] - net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161] - ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161] - net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161] - net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161] - net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161] - net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161] - net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161] - arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054] - irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140] - add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352] - mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352] - mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352] - mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352] - mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352] - mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352] - mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352] - powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352] - powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352] - s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352] - arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352] - mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352] - mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352] - mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352] - mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352] - mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352] - mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352] - mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352] - mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352] - mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352] - mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352] - mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352] - mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352] - s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352] - compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352] - config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352] - config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352] - config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352] - config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352] - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352] - mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352] - mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352] - userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352] - mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352] - mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352] - kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352] - mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352] - mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352] - include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352] - video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352] - ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352] - mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352] - mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352] - mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352] - mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352] - mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352] - mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352] - mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352] - fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352] - kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352] - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352] - Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352] - fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352] - mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352] - mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352] - mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352] - mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352] - Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352] - kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352] - no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352] - kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352] - page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352] - mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352] - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352] - memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352] - mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352] - vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352] - mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352] - mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352] - mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352] - mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352] - mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352] - kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352] - mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352] - mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352] - s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352] - mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352] - mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352] - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352] - highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352] - mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352] - mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352] - fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352] - mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352] - mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352] - Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352] - mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352] - mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352] - mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352] - mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352] - mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352] - Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352] - ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352] - fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352] - readahead: Update comments (Chris von Recklinghausen) [2120352] - mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352] - mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352] - fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352] - readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352] - mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352] - mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352] - s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352] - mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352] - mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352] - mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352] - mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352] - mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352] - mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352] - mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352] - mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352] - kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352] - kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352] - kasan: reorder reporting functions (Chris von Recklinghausen) [2120352] - kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352] - kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352] - kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352] - kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352] - kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352] - kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352] - kasan: restructure kasan_report (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352] - kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352] - kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352] - kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352] - kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352] - kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352] - kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352] - kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352] - kasan: more line breaks in reports (Chris von Recklinghausen) [2120352] - kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352] - kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352] - kasan: update function name in comments (Chris von Recklinghausen) [2120352] - mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352] - kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352] - kasan: documentation updates (Chris von Recklinghausen) [2120352] - arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352] - kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352] - kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352] - kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352] - kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352] - kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352] - kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352] - kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352] - kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352] - kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352] - kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352] - kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352] - kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352] - kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352] - kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352] - kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352] - mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352] - kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352] - kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352] - kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352] - kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352] - kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352] - mm: unexport page_init_poison (Chris von Recklinghausen) [2120352] - kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352] - mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352] - Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352] - selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352] - mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352] - mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352] - mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352] - mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352] - Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352] - Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352] - Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352] - mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352] - mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352] - mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352] - mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352] - mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352] - mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352] - mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352] - Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352] - mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352] - mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352] - kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352] - mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352] - mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352] - mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352] - mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352] - mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352] - mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352] - mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352] - mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352] - mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352] - mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352] - mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352] - memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352] - NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352] - NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352] - mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352] - mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352] - mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352] - mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352] - mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352] - mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352] - mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352] - mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352] - userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352] - mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352] - mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352] - mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352] - mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352] - mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352] - mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352] - mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352] - mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352] - mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352] - mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352] - mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352] - mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352] - mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352] - mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352] - mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352] - mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352] - mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352] - mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352] - mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352] - mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352] - mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352] - mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352] - mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352] - mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352] - mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352] - memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352] - memcg: unify force charging conditions (Chris von Recklinghausen) [2120352] - memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352] - mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352] - memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352] - mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352] - tmpfs: support for file creation time (Chris von Recklinghausen) [2120352] - mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352] - mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352] - mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352] - mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352] - mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352] - filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352] - mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352] - remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352] - remove inode_congested() (Chris von Recklinghausen) [2120352] - mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352] - mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352] - mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352] - mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352] - mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352] - mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352] - fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352] - fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352] - fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352] - afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352] - fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352] - fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352] - fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352] - ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352] - fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352] - fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352] - resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352] - resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352] - signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352] - task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352] - task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352] - task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352] - task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352] - ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352] - ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352] - ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352] - ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352] - mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352] - s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352] - mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352] - mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352] - mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352] - mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352] - memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352] - mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352] - mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352] - mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352] - mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352] - mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352] - mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352] - mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352] - fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352] - mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352] - mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352] - mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352] - s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352] - s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352] - s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352] - s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352] - mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352] - usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352] - Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352] - uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352] - uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352] - arm64: simplify access_ok() (Chris von Recklinghausen) [2120352] - uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352] - x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352] - x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352] - mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352] - mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352] - tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352] - memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352] - memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352] - mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352] - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352] - ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352] - mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352] - mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352] - Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352] - tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352] - mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352] - memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352] - fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352] - fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352] - selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352] - mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352] - frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352] - mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352] - mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352] - frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352] - frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352] - frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352] - mm: remove cleancache (Chris von Recklinghausen) [2120352] - lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352] - lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352] - sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352] - delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352] - delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352] - mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352] - mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352] - mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352] - bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352] - mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352] - find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352] - include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352] - lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352] - arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352] - include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352] - bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352] - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352] - mm: fix some comment errors (Chris von Recklinghausen) [2120352] - zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352] - mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352] - mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352] - mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352] - mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352] - mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352] - mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352] - mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352] - mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352] - mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352] - mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352] - mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352] - mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352] - mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352] - mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352] - mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352] - vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352] - mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352] - mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352] - mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352] - mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352] - mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352] - mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352] - mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352] - mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352] - mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352] - mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352] - mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352] - mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352] - mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352] - mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352] - mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352] - mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352] - mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352] - mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352] - mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352] - shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352] - mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352] - mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352] - gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352] - mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352] - mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352] - mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352] - mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352] - mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352] - mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352] - kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352] - mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352] - virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352] - fscache: Rewrite documentation (Chris von Recklinghausen) [2120352] - ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352] - ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352] - taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352] - exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352] - exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352] - exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352] - exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352] - exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352] - signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352] - signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352] - signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352] - coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352] - signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352] - signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352] - signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352] - signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352] - exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352] - exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352] - h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352] - kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352] - mm: Remove slab from struct page (Chris von Recklinghausen) [2120352] - mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352] - bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352] - mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352] - mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352] - mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352] - mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352] - mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352] - mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352] - mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352] - mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352] - mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352] - mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352] - mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352] - mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352] - mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352] - mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352] - mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352] - kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352] - kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352] - fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352] - fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352] - iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352] - objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352] - exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352] - kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352] - exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352] - exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352] - exit: Implement kthread_exit (Chris von Recklinghausen) [2120352] - exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352] - exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352] - exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352] - exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352] - exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352] - mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352] - selftests/damon: split test cases (Chris von Recklinghausen) [2120352] - selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352] - selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352] - mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352] - percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352] - memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352] - powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352] - s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352] - hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352] - kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352] - hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352] - mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352] - mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352] - mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352] - signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352] - signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352] - signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352] - signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352] - mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352] - mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352] - mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352] - mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352] - vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352] - selftests/damon: support watermarks (Chris von Recklinghausen) [2120352] - tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352] - mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352] - selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352] - include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352] - include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352] - mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352] - mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352] - memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352] - mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352] - mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352] - mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352] - mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352] - mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352] - mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352] - mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352] - mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352] - mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352] - mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352] - mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352] - mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352] - mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352] - mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352] - mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352] - mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352] - hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352] - hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352] - hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352] - mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352] - mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352] - mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352] - mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352] - mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352] - mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352] - mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352] - mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352] - mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352] - mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352] - mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352] - mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352] - mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352] - memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352] - mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352] - mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352] - mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352] - mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352] - mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352] - fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352] - mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352] - mm: export bdi_unregister (Chris von Recklinghausen) [2120352] - mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352] - mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352] - mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352] - mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352] - mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352] - signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352] - signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352] - signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352] - exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352] - signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352] - signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352] - ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352] - MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352] - signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352] - signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352] - docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352] - mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352] - mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352] - pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352] - mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352] - s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352] - coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352] - kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352] - kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352] - coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352] - exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352] - exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352] - ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352] - signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352] - scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352] - entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352] - mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352] - s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352] - io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352] - io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352] - io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352] - io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352] - io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352] - io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352] - io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352] - io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352] - io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352] - signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352] - s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352] - mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352] - io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352] - io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352] - io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352] - io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352] - fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352] - fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352] - kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352] - asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352] - asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352] - memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352] - docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352] - Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352] - s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352] - x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352] - mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352] - arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352] - powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352] - powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352] - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352] - powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352] - s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352] - s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352] - mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352] - x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352] - powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352] - powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352] - powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352] - powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352] - powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352] - arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352] - arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352] - mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352] - mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352] - x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352] - arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352] - powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352] - powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352] - powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352] - powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352] - powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352] - powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352] - powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352] - mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352] - mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352] - mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352] - arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352] - arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352] - x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352] - mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352] - hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352] - hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352] - hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352] - x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352] - mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352] - arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352] - mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352] - hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352] - x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352] - x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352] - Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352] - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352] - x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352] - x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352] - arm64: fix typos in comments (Chris von Recklinghausen) [2120352] - powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352] - mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352] - mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352] - hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352] - mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352] - mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352] - mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352] - mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352] - arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352] - mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352] - x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352] - x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352] - powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352] - s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352] - s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352] - s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352] - s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352] - s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352] - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352] - powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352] - hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352] - x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352] - powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352] - powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352] - powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352] - powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352] - s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352] - s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352] - s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352] - s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352] - mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352] - mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352] - powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352] - ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352] - docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352] - powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352] - mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352] - x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352] - mm: page table check (Chris von Recklinghausen) [2120352] - mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352] - mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352] - mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352] - powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352] - x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352] - s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352] - powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352] - s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352] - hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352] - s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352] - s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352] - s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352] - s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352] - s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352] - powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352] - powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352] - powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352] - powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352] - powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352] - powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352] - powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352] - powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352] - powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352] - powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352] - powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352] - powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352] - powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352] - powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352] - Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352] - powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352] - powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352] - powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352] - powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352] - powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352] - x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352] - x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352] - powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352] - powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352] - powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352] - powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352] - powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352] - powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352] - powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352] - powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352] - powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352] - powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352] - powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352] - powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352] - powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352] - Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352] - powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352] - s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352] - powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352] - powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352] - kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352] - arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352] - hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352] - memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352] - hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352] - hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352] - hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352] - hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352] - mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352] - hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352] - s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352] - mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352] - mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352] - powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352] - powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352] - powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352] - s390: make command line configurable (Chris von Recklinghausen) [2120352] - s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352] - s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352] - s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352] - s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352] - s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352] - s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352] - s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352] - s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352] - s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352] - powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352] - powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352] - powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352] - powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352] - powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352] - signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352] - reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352] - exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352] - exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352] - powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352] - s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352] - powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352] - powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352] - s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352] - s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352] - x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352] - x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352] - x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352] - x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352] - powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352] - powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352] - powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352] - powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352] - powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352] - powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352] - powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352] - powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352] - s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352] - s390: fix typo in linker script (Chris von Recklinghausen) [2120352] - s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352] - s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352] - powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352] - powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352] - powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352] - s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352] - s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352] - powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352] - powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352] - s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352] - s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352] - s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352] - s390: add support for KFENCE (Chris von Recklinghausen) [2120352] - s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352] - s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352] - s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352] - s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352] - s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352] - s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352] - s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352] - s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352] - s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352] - s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352] - s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352] - s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352] - s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352] - s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352] - s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352] - s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352] - s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352] - s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352] - powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352] - Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352] - Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352] - Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352] - Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352] - Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352] - Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352] - Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352] - Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352] - Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352] - Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352] - Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352] - selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352] - selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352] - selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352] - selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352] - mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352] - mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352] - mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352] Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 19:28:31 +00:00
# CONFIG_PAGE_TABLE_CHECK is not set
CONFIG_PAGE_TABLE_ISOLATION=y
# CONFIG_PANEL is not set
# CONFIG_PANIC_ON_OOPS is not set
CONFIG_PANIC_TIMEOUT=180
# CONFIG_PANTHERLORD_FF is not set
CONFIG_PAPR_SCM=m
# CONFIG_PARIDE is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
# CONFIG_PARPORT is not set
# CONFIG_PARPORT_PC is not set
CONFIG_PARTITION_ADVANCED=y
# CONFIG_PATA_ACPI is not set
# CONFIG_PATA_ALI is not set
# CONFIG_PATA_AMD is not set
# CONFIG_PATA_ARTOP is not set
# CONFIG_PATA_ATIIXP is not set
# CONFIG_PATA_ATP867X is not set
# CONFIG_PATA_CMD640_PCI is not set
# CONFIG_PATA_CMD64X is not set
# CONFIG_PATA_CYPRESS is not set
# CONFIG_PATA_EFAR is not set
# CONFIG_PATA_HPT366 is not set
# CONFIG_PATA_HPT37X is not set
# CONFIG_PATA_HPT3X2N is not set
# CONFIG_PATA_HPT3X3 is not set
# CONFIG_PATA_IT8213 is not set
# CONFIG_PATA_IT821X is not set
# CONFIG_PATA_JMICRON is not set
# CONFIG_PATA_LEGACY is not set
# CONFIG_PATA_MARVELL is not set
# CONFIG_PATA_MPIIX is not set
# CONFIG_PATA_NETCELL is not set
# CONFIG_PATA_NINJA32 is not set
# CONFIG_PATA_NS87410 is not set
# CONFIG_PATA_NS87415 is not set
CONFIG_PATA_OF_PLATFORM=m
# CONFIG_PATA_OLDPIIX is not set
# CONFIG_PATA_OPTIDMA is not set
# CONFIG_PATA_OPTI is not set
# CONFIG_PATA_PDC2027X is not set
# CONFIG_PATA_PDC_OLD is not set
CONFIG_PATA_PLATFORM=m
# CONFIG_PATA_RADISYS is not set
# CONFIG_PATA_RDC is not set
# CONFIG_PATA_RZ1000 is not set
# CONFIG_PATA_SCH is not set
# CONFIG_PATA_SERVERWORKS is not set
# CONFIG_PATA_SIL680 is not set
# CONFIG_PATA_SIS is not set
# CONFIG_PATA_TOSHIBA is not set
# CONFIG_PATA_TRIFLEX is not set
# CONFIG_PATA_VIA is not set
# CONFIG_PATA_WINBOND is not set
# CONFIG_PC300TOO is not set
# CONFIG_PC87413_WDT is not set
CONFIG_PCCARD=y
# CONFIG_PCENGINES_APU2 is not set
# CONFIG_PCI200SYN is not set
# CONFIG_PCI_DEBUG is not set
CONFIG_PCIEAER_INJECT=m
CONFIG_PCIEAER=y
# CONFIG_PCIE_ALTERA is not set
CONFIG_PCIEASPM_DEFAULT=y
# CONFIG_PCIEASPM_PERFORMANCE is not set
# CONFIG_PCIEASPM_POWERSAVE is not set
# CONFIG_PCIEASPM_POWER_SUPERSAVE is not set
CONFIG_PCIEASPM=y
# CONFIG_PCIE_CADENCE_PLAT_HOST is not set
CONFIG_PCIE_DPC=y
# CONFIG_PCIE_DW_PLAT_HOST is not set
CONFIG_PCIE_ECRC=y
CONFIG_PCIE_EDR=y
# CONFIG_PCIE_HISI_STB is not set
# CONFIG_PCIE_KIRIN is not set
# CONFIG_PCIE_MICROCHIP_HOST is not set
# CONFIG_PCI_ENDPOINT is not set
# CONFIG_PCI_ENDPOINT_TEST is not set
CONFIG_PCIEPORTBUS=y
kernel-5.14.0-283.el9 * Thu Feb 23 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-283.el9] - redhat: fix duplicate jira issues in the resolves line (Herton R. Krzesinski) - redhat/kernel.spec.template: Parallelize compression (Herton R. Krzesinski) - Revert "block: freeze the queue earlier in del_gendisk" (Ming Lei) [2155901] - redhat: configs: Enable UCSI_CCG support (David Marlin) [2122414] - i2c: nvidia-gpu: Remove ccgx,firmware-build property (David Marlin) [2122414] - i2c: nvidia-gpu: Add ACPI property to align with device-tree (David Marlin) [2122414] - usb: typec: ucsi_ccg: Add OF support (David Marlin) [2122414] - gpio: tegra186: add Tegra234 PMC compatible in GPIO driver (David Marlin) [2122414] - usb: gadget: tegra-xudc: Add Tegra234 support (David Marlin) [2122414] - xhci: tegra: USB2 pad power controls (David Marlin) [2122414] - xhci: Add hub_control to xhci_driver_overrides (David Marlin) [2122414] - xhci: hub: export symbol on xhci_hub_control (David Marlin) [2122414] - usb: host: xhci-tegra: Add Tegra234 XHCI support (David Marlin) [2122414] - phy: tegra: xusb: Support USB role default mode (David Marlin) [2122414] - phy: tegra: xusb: Add Tegra234 support (David Marlin) [2122414] - phy: tegra: xusb: Disable trk clk when not in use (David Marlin) [2122414] - phy: tegra: xusb: Remove usb3 supply (David Marlin) [2122414] - phy: tegra: xusb: Fix crash during pad power on/down (David Marlin) [2122414] - usb: typec: ucsi_ccg: Disable UCSI ALT support on Tegra (David Marlin) [2122414] - usb: typec: ucsi: Don't warn on probe deferral (David Marlin) [2122414] - phy: tegra: xusb: Enable usb role switch attribute (David Marlin) [2122414] - usb: gadget: tegra: Reduce pad power (David Marlin) [2122414] - phy: tegra: xusb: add utmi pad power on/down ops (David Marlin) [2122414] - redhat/configs: Enable CONFIG_PCIE_PTM (Corinna Vinschen) [2100606] - net: stmmac: Fix queue statistics reading (Corinna Vinschen) [2100606] - stmmac: intel: Update PCH PTP clock rate from 200MHz to 204.8MHz (Corinna Vinschen) [2100606] - net: stmmac: Disable automatic FCS/Pad stripping (Corinna Vinschen) [2100606] - net: ethernet: move from strlcpy with unused retval to strscpy (Corinna Vinschen) [2100606] - stmmac: intel: remove unused 'has_crossts' flag (Corinna Vinschen) [2100606] - net: phylink: Convert to mdiobus_c45_{read|write} (Corinna Vinschen) [2100606] - net: phy: marvell: add sleep time after enabling the loopback bit (Corinna Vinschen) [2100606] - net: phy: marvell: add Marvell specific PHY loopback (Corinna Vinschen) [2100606] - net: phy: dp83867: retrigger SGMII AN when link change (Corinna Vinschen) [2100606] - net: phy: marvell: Add WAKE_PHY support to WOL event (Corinna Vinschen) [2100606] - net: phy: marvell10g: enable WoL for 88X3310 and 88E2110 (Corinna Vinschen) [2100606] - netfilter: nft_payload: incorrect arithmetics when fetching VLAN header bits (Florian Westphal) [2161725] {CVE-2023-0179} Resolves: rhbz#2100606, rhbz#2122414, rhbz#2155901, rhbz#2161725 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-23 20:38:10 +00:00
CONFIG_PCIE_PTM=y
# CONFIG_PCIE_XILINX is not set
# CONFIG_PCI_FTPCI100 is not set
# CONFIG_PCI_HOST_GENERIC is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_PCI_HYPERV=m
CONFIG_PCI_IOV=y
# CONFIG_PCI_J721E_HOST is not set
# CONFIG_PCI_MESON is not set
CONFIG_PCI_MSI=y
kernel-5.14.0-55.el9 * Fri Feb 04 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-55.el9] - selftests: netfilter: switch to socat for tests using -q option (Hangbin Liu) [2041409] - selftests: net: udpgro_fwd.sh: explicitly checking the available ping feature (Hangbin Liu) [2041409] - selftests: net: using ping6 for IPv6 in udpgro_fwd.sh (Hangbin Liu) [2041409] - selftests: net: Fix a typo in udpgro_fwd.sh (Hangbin Liu) [2041409] - selftests/net: udpgso_bench_tx: fix dst ip argument (Hangbin Liu) [2041409] - selftest/net/forwarding: declare NETIFS p9 p10 (Hangbin Liu) [2041409] - selftests: Fix IPv6 address bind tests (Hangbin Liu) [2041409] - selftests: Fix raw socket bind tests with VRF (Hangbin Liu) [2041409] - selftests: Add duplicate config only for MD5 VRF tests (Hangbin Liu) [2041409] - selftests: icmp_redirect: pass xfail=0 to log_test() (Hangbin Liu) [2041409] - selftests: net: Correct ping6 expected rc from 2 to 1 (Hangbin Liu) [2041409] - selftests/fib_tests: Rework fib_rp_filter_test() (Hangbin Liu) [2041409] - selftests: net: Correct case name (Hangbin Liu) [2041409] - redhat/configs: Enable CONFIG_PCI_P2PDMA (Myron Stowe) [1923862] - nvme: drop scan_lock and always kick requeue list when removing namespaces (Gopal Tiwari) [2038783] - ACPI: CPPC: Add NULL pointer check to cppc_get_perf() (David Arcari) [2025291] - cpufreq: intel_pstate: Clear HWP Status during HWP Interrupt enable (David Arcari) [2025291] - cpufreq: intel_pstate: Fix unchecked MSR 0x773 access (David Arcari) [2025291] - cpufreq: intel_pstate: Clear HWP desired on suspend/shutdown and offline (David Arcari) [2025291] - cpufreq: intel_pstate: Fix cpu->pstate.turbo_freq initialization (David Arcari) [2025291] - cpufreq: intel_pstate: Process HWP Guaranteed change notification (David Arcari) [2025291] - cpufreq: intel_pstate: Override parameters if HWP forced by BIOS (David Arcari) [2025291] - cpufreq: intel_pstate: hybrid: Rework HWP calibration (David Arcari) [2025291] - Revert "cpufreq: intel_pstate: Process HWP Guaranteed change notification" (David Arcari) [2025291] - cpufreq: intel_pstate: Process HWP Guaranteed change notification (David Arcari) [2025291] - cpufreq: Replace deprecated CPU-hotplug functions (David Arcari) [2025291] - ACPI: CPPC: Introduce cppc_get_nominal_perf() (David Arcari) [2025291] - Change s390x CONFIG_NODES_SHIFT from 4 to 1 (Prarit Bhargava) [2018568] - Build CONFIG_SPI_PXA2XX as a module on x86 (Prarit Bhargava) [2018568] - Turn on CONFIG_CPU_FREQ_GOV_SCHEDUTIL for x86 (Prarit Bhargava) [2018568] - Turn CONFIG_DEVMEM back off for aarch64 (Prarit Bhargava) [2018568] - New configs in drivers/media (Prarit Bhargava) [2018568] - Manually add pending items that need to be set due to mismatch (Prarit Bhargava) [2018568] - Build CRYPTO_SHA3_*_S390 inline for s390 zfcpdump (Prarit Bhargava) [2018568] - configs: Remove pending CONFIG_CHELSIO_IPSEC_INLINE file (Prarit Bhargava) [2018568] - New configs in arch/powerpc (Prarit Bhargava) [2018568] - New configs in lib/Kconfig.debug (Prarit Bhargava) [2018568] - New configs in drivers/vhost (Prarit Bhargava) [2018568] - New configs in drivers/pinctrl (Prarit Bhargava) [2018568] - New configs in drivers/gpu (Prarit Bhargava) [2018568] - New configs in drivers/gpio (Prarit Bhargava) [2018568] - New configs in drivers/block (Prarit Bhargava) [2018568] - New configs in crypto/Kconfig (Prarit Bhargava) [2018568] - New configs in drivers/acpi (Prarit Bhargava) [2018568] - New configs in arch/arm64 (Prarit Bhargava) [2018568] - New configs in arch/Kconfig (Prarit Bhargava) [2018568] - AUTOMATIC: New configs (Prarit Bhargava) [2018568] - Clean up pending common (Prarit Bhargava) [2018568] Resolves: rhbz#1923862, rhbz#2018568, rhbz#2025291, rhbz#2038783, rhbz#2041409 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-04 20:44:51 +00:00
CONFIG_PCI_P2PDMA=y
CONFIG_PCI_PASID=y
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_PCIPCWATCHDOG is not set
CONFIG_PCI_PF_STUB=m
CONFIG_PCI_PRI=y
# CONFIG_PCI_REALLOC_ENABLE_AUTO is not set
CONFIG_PCI_STUB=y
# CONFIG_PCI_SW_SWITCHTEC is not set
CONFIG_PCI=y
# CONFIG_PCMCIA is not set
# CONFIG_PCNET32 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_PCPU_DEV_REFCNT=y
kernel-5.14.0-180.el9 * Tue Oct 25 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-180.el9] - s390/qeth: cache link_info for ethtool (Tobias Huschle) [2110436] - s390/qeth: Fix typo 'the the' in comment (Tobias Huschle) [2110436] - s390/qdio: Fix spelling mistake (Tobias Huschle) [2110436] - s390/lcs: fix variable dereferenced before check (Tobias Huschle) [2110436] - s390/ctcm: fix potential memory leak (Tobias Huschle) [2110436] - s390/ctcm: fix variable dereferenced before check (Tobias Huschle) [2110436] - qeth: remove a copy of the NAPI_POLL_WEIGHT define (Tobias Huschle) [2110436] - nfp: nfdk: implement xdp tx path for NFDK (Stefan Assmann) [2124279] - nfp: add support for NFDK data path (Stefan Assmann) [2124279] - nfp: choose data path based on version (Stefan Assmann) [2124279] - nfp: add per-data path feature mask (Stefan Assmann) [2124279] - nfp: use TX ring pointer write back (Stefan Assmann) [2124279] - nfp: move tx_ring->qcidx into cold data (Stefan Assmann) [2124279] - nfp: prepare for multi-part descriptors (Stefan Assmann) [2124279] - nfp: use callbacks for slow path ring related functions (Stefan Assmann) [2124279] - nfp: move the fast path code to separate files (Stefan Assmann) [2124279] - nfp: calculate ring masks without conditionals (Stefan Assmann) [2124279] - nfp: flower: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: add support for NFP3800/NFP3803 PCIe devices (Stefan Assmann) [2124279] - nfp: take chip version into account for ring sizes (Stefan Assmann) [2124279] - nfp: parametrize QCP offset/size using dev_info (Stefan Assmann) [2124279] - nfp: use dev_info for the DMA mask (Stefan Assmann) [2124279] - nfp: use dev_info for PCIe config space BAR offsets (Stefan Assmann) [2124279] - nfp: introduce dev_info static chip data (Stefan Assmann) [2124279] - nfp: sort the device ID tables (Stefan Assmann) [2124279] - nfp: use PluDevice register for model for non-NFP6000 chips (Stefan Assmann) [2124279] - nfp: use PCI_DEVICE_ID_NETRONOME_NFP6000_VF for VFs instead (Stefan Assmann) [2124279] - nfp: remove pessimistic NFP_QCP_MAX_ADD limits (Stefan Assmann) [2124279] - nfp: remove define for an unused control bit (Stefan Assmann) [2124279] - nfp: xsk: fix a warning when allocating rx rings (Stefan Assmann) [2124279] - nfp: xsk: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: xsk: add AF_XDP zero-copy Rx and Tx support (Stefan Assmann) [2124279] - nfp: xsk: add configuration check for XSK socket chunk size (Stefan Assmann) [2124279] - nfp: xsk: add an array of xsk buffer pools to each data path (Stefan Assmann) [2124279] - nfp: wrap napi add/del logic (Stefan Assmann) [2124279] - nfp: expose common functions to be used for AF_XDP (Stefan Assmann) [2124279] - nfp: flower: Remove usage of the deprecated ida_simple_xxx API (Stefan Assmann) [2124279] - nfp: avoid newline at end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: add NFP_FL_FEATS_QOS_METER to host features to enable meter offload (Stefan Assmann) [2124279] - nfp: add support to offload police action from flower table (Stefan Assmann) [2124279] - nfp: add process to get action stats from hardware (Stefan Assmann) [2124279] - nfp: add hash table to store meter table (Stefan Assmann) [2124279] - nfp: add support to offload tc action to hardware (Stefan Assmann) [2124279] - nfp: refactor policer config to support ingress/egress meter (Stefan Assmann) [2124279] - nfp: flower: Fix a potential leak in nfp_tunnel_add_shared_mac() (Stefan Assmann) [2124279] - nfp: flower: netdev offload check for ip6gretap (Stefan Assmann) [2124279] - nfp: flower: fix ida_idx not being released (Stefan Assmann) [2124279] - nfp: Simplify array allocation (Stefan Assmann) [2124279] - nfp: only use kdoc style comments for kdoc (Stefan Assmann) [2124279] - nfp: flower: Use struct_size() helper in kmalloc() (Stefan Assmann) [2124279] - nfp: flower: refine the use of circular buffer (Stefan Assmann) [2124279] - nfp: Fix memory leak in nfp_cpp_area_cache_add() (Stefan Assmann) [2124279] - nfp: checking parameter process for rx-usecs/tx-usecs is invalid (Stefan Assmann) [2124279] - nfp: flower: correction of error handling (Stefan Assmann) [2124279] - nfp: fix potential deadlock when canceling dim work (Stefan Assmann) [2124279] - nfp: fix NULL pointer access when scheduling dim work (Stefan Assmann) [2124279] - nfp: flower: Allow ipv6gretap interface for offloading (Stefan Assmann) [2124279] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2124279] - nfp: Prefer struct_size over open coded arithmetic (Stefan Assmann) [2124279] - nfp: bpf: silence bitwise vs. logical OR warning (Stefan Assmann) [2124279] - net/{mlx5|nfp|bnxt}: Remove unnecessary RTNL lock assert (Stefan Assmann) [2124279] - nfp: flow_offload: move flow_indr_dev_register from app init to app start (Stefan Assmann) [2124279] - nfp: use netif_set_real_num_queues() (Stefan Assmann) [2124279] - nfp: flower-ct: fix error return code in nfp_fl_ct_add_offload() (Stefan Assmann) [2124279] - nfp: add support for coalesce adaptive feature (Stefan Assmann) [2124279] - nfp: fix return statement in nfp_net_parse_meta() (Stefan Assmann) [2124279] - nfp: flower-tc: add flow stats updates for ct (Stefan Assmann) [2124279] - nfp: flower-ct: add offload calls to the nfp (Stefan Assmann) [2124279] - nfp: flower-ct: add flow_pay to the offload table (Stefan Assmann) [2124279] - nfp: flower-ct: add actions into flow_pay for offload (Stefan Assmann) [2124279] - nfp: flower-ct: compile match sections of flow_payload (Stefan Assmann) [2124279] - nfp: flower-ct: calculate required key_layers (Stefan Assmann) [2124279] - nfp: flower: refactor action offload code slightly (Stefan Assmann) [2124279] - nfp: flower: refactor match functions to take flow_rule as input (Stefan Assmann) [2124279] - nfp: flower: make the match compilation functions reusable (Stefan Assmann) [2124279] - mmu_gather: fix the CONFIG_MMU_GATHER_NO_RANGE case (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Force tlb-flush VM_PFNMAP vmas (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Let there be one tlb_{start,end}_vma() implementation (Waiman Long) [2130164] {CVE-2022-39188} - csky/tlb: Remove tlb_flush() define (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Remove per arch tlb_{start,end}_vma() (Waiman Long) [2130164] {CVE-2022-39188} - s390/dasd: add device ping attribute (Tobias Huschle) [2044384] - s390/dasd: suppress generic error messages for PPRC secondary devices (Tobias Huschle) [2044384] - s390/dasd: add ioctl to perform a swap of the drivers copy pair (Tobias Huschle) [2044384] - s390/dasd: add copy pair swap capability (Tobias Huschle) [2044384] - s390/dasd: add copy pair setup (Tobias Huschle) [2044384] - s390/dasd: add query PPRC function (Tobias Huschle) [2044384] - s390/dasd: put block allocation in separate function (Tobias Huschle) [2044384] - s390/dasd: fix Oops in dasd_alias_get_start_dev due to missing pavgroup (Tobias Huschle) [2044384] - net: stmmac: Fix unset max_speed difference between DT and non-DT platforms (Al Stone) [2071844] - usb: dwc2: fix wrong order of phy_power_on and phy_init (Al Stone) [2071844] - net: stmmac: remove phylink_config.pcs_poll usage (Al Stone) [2071844] - net: stmmac: use .mac_select_pcs() interface (Al Stone) [2071844] - net: stmmac: convert to phylink_generic_validate() (Al Stone) [2071844] - net: stmmac: convert to phylink_get_linkmodes() (Al Stone) [2071844] - lan743x: fix deadlock in lan743x_phy_link_status_change() (Al Stone) [2071844] - net: phy: c45 baset1: do not skip aneg configuration if clock role is not specified (Al Stone) [2071844] - rhel: Enable configs for imx8m PHYs (Al Stone) [2071844] - phy: freescale: Add i.MX8qm Mixel LVDS PHY support (Al Stone) [2071844] - units: Add SI metric prefix definitions (Al Stone) [2071844] - phy: tegra: Add PCIe PIPE2UPHY support for Tegra234 (Al Stone) [2071844] - net: phy: Don't trigger state machine while in suspend (Al Stone) [2071844] - net: phy: Fix race condition on link status change (Al Stone) [2071844] - net: phy: Convert to mdiobus_c45_{read|write} (Al Stone) [2071844] - net: phy: fix duplex out of sync problem while changing settings (Al Stone) [2071844] - phy: phy_ethtool_ksettings_set: Lock the PHY while changing settings (Al Stone) [2071844] - phy: phy_start_aneg: Add an unlocked version (Al Stone) [2071844] - phy: phy_ethtool_ksettings_set: Move after phy_start_aneg (Al Stone) [2071844] - phy: phy_ethtool_ksettings_get: Lock the phy for consistency (Al Stone) [2071844] - linux/phy.h: add phydev_err_probe() wrapper for dev_err_probe() (Al Stone) [2071844] - net: phy: export genphy_c45_baset1_read_status() (Al Stone) [2071844] - net: phy: genphy_c45_pma_baset1_read_master_slave: read actual configuration (Al Stone) [2071844] - net: phy: introduce genphy_c45_pma_baset1_read_master_slave() (Al Stone) [2071844] - net: phy: genphy_c45_pma_baset1_setup_master_slave: do no set unknown configuration (Al Stone) [2071844] - net: phy: introduce genphy_c45_pma_baset1_setup_master_slave() (Al Stone) [2071844] - net: phy: genphy_c45_baset1_an_config_aneg: do no set unknown configuration (Al Stone) [2071844] - net: phy: Add 10BASE-T1L support in phy-c45 (Al Stone) [2071844] - net: mdio: add helpers to extract clause 45 regad and devad fields (Al Stone) [2071844] - net: mdio: Add helper functions for accessing MDIO devices (Al Stone) [2071844] - net: mdio: add mdiobus_modify_changed() (Al Stone) [2071844] - net: mdio: introduce a shutdown method to mdio device drivers (Al Stone) [2071844] - net: phy: Add BaseT1 auto-negotiation registers (Al Stone) [2071844] - net: phy: Add 10-BaseT1L registers (Al Stone) [2071844] - ethtool: Add 10base-T1L link mode entry (Al Stone) [2071844] - phy: freescale: imx8m-pcie: Handle IMX8_PCIE_REFCLK_PAD_UNUSED (Al Stone) [2071844] - phy: core: Warn when phy_power_on is called before phy_init (Al Stone) [2071844] - phy: core: Update documentation syntax (Al Stone) [2071844] - phy: core: Add documentation of phy operation order (Al Stone) [2071844] - phy: freescale: phy-fsl-imx8-mipi-dphy: Add i.MX8qxp LVDS PHY mode support (Al Stone) [2071844] - phy: Add LVDS configuration options (Al Stone) [2071844] - net: phylink: remove phylink_helper_basex_speed() (Al Stone) [2071844] - phy: phy-can-transceiver: Add support for setting mux (Al Stone) [2071844] - phy: PHY_FSL_LYNX_28G should depend on ARCH_LAYERSCAPE (Al Stone) [2071844] - phy: Remove duplicated include in phy-fsl-lynx-28g.c (Al Stone) [2071844] - phy: add support for the Layerscape SerDes 28G (Al Stone) [2071844] - net: phy: correct spelling error of media in documentation (Al Stone) [2071844] - net: phy: exported the genphy_read_master_slave function (Al Stone) [2071844] - net: phylink: remove phylink_set_pcs() (Al Stone) [2071844] - phy: dphy: Correct lpx parameter and its derivatives(ta_{get,go,sure}) (Al Stone) [2071844] - net: phylink: remove phylink_config's pcs_poll (Al Stone) [2071844] - net: phylink: remove phylink_set_10g_modes() (Al Stone) [2071844] - phy: make phy_set_max_speed() *void* (Al Stone) [2071844] - phy: freescale: i.MX8 PHYs should depend on ARCH_MXC && ARM64 (Al Stone) [2071844] - phy: freescale: pcie: cosmetic clean-up (Al Stone) [2071844] - net: phylink: add pcs_validate() method (Al Stone) [2071844] - net: phylink: add mac_select_pcs() method to phylink_mac_ops (Al Stone) [2071844] - phy: freescale: pcie: explicitly add bitfield.h (Al Stone) [2071844] - phy: freescale: pcie: Initialize the imx8 pcie standalone phy driver (Al Stone) [2071844] - phy: tegra: xusb: Fix return value of tegra_xusb_find_port_node function (Al Stone) [2071844] - net: phylink: use legacy_pre_march2020 (Al Stone) [2071844] - net: phylink: add legacy_pre_march2020 indicator (Al Stone) [2071844] - net: phy: Remove unnecessary indentation in the comments of phy_device (Al Stone) [2071844] - phy: phy-can-transceiver: Make devm_gpiod_get optional (Al Stone) [2071844] - net: phylink: Add helpers for c22 registers without MDIO (Al Stone) [2071844] - net: phylink: add 1000base-KX to phylink_caps_to_linkmodes() (Al Stone) [2071844] - net: document SMII and correct phylink's new validation mechanism (Al Stone) [2071844] - net: phylink: add generic validate implementation (Al Stone) [2071844] - net: phylink: avoid mvneta warning when setting pause parameters (Al Stone) [2071844] - net: phylink: use supported_interfaces for phylink validation (Al Stone) [2071844] - net: phylink: Convert some users of mdiobus_* to mdiodev_* (Al Stone) [2071844] - net: phylink: Support disabling autonegotiation for PCS (Al Stone) [2071844] - net: phylink: rejig SFP interface selection in ksettings_set() (Al Stone) [2071844] - net: phylink: use mdiobus_modify_changed() helper (Al Stone) [2071844] - kernel-doc: support DECLARE_PHY_INTERFACE_MASK() (Al Stone) [2071844] - net: phylink: add MAC phy_interface_t bitmap (Al Stone) [2071844] - net: phy: add phy_interface_t bitmap support (Al Stone) [2071844] - net: phy: add genphy_c45_fast_retrain (Al Stone) [2071844] - net: phy: add constants for fast retrain related register (Al Stone) [2071844] - net: phylink: add phylink_set_10g_modes() helper (Al Stone) [2071844] - net: phylink: don't call netif_carrier_off() with NULL netdev (Al Stone) [2071844] - net: phylink: Update SFP selected interface on advertising changes (Al Stone) [2071844] - net: phylink: add suspend/resume support (Al Stone) [2071844] - net: phylink: cleanup ksettings_set (Al Stone) [2071844] - net: phylink: add phy change pause mode debug (Al Stone) [2071844] - phy: tegra: xusb: mark PM functions as __maybe_unused (Al Stone) [2071844] - s390/pai: Add support for PAI Extension 1 NNPA counters (Tobias Huschle) [2127436] Resolves: rhbz#2110436, rhbz#2124279, rhbz#2130164, rhbz#2044384, rhbz#2071844, rhbz#2127436 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-25 12:37:28 +00:00
CONFIG_PCS_XPCS=m
# CONFIG_PDA_POWER is not set
# CONFIG_PDC_ADMA is not set
# CONFIG_PEAQ_WMI is not set
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_PERCPU_STATS=y
# CONFIG_PERCPU_TEST is not set
CONFIG_PERF_EVENTS=y
CONFIG_PERSISTENT_KEYRINGS=y
# CONFIG_PHANTOM is not set
# CONFIG_PHONET is not set
CONFIG_PHY_BCM_SR_USB=m
# CONFIG_PHY_CADENCE_DPHY is not set
# CONFIG_PHY_CADENCE_SALVO is not set
# CONFIG_PHY_CADENCE_SIERRA is not set
# CONFIG_PHY_CADENCE_TORRENT is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_PHY_CAN_TRANSCEIVER is not set
# CONFIG_PHY_CPCAP_USB is not set
kernel-5.14.0-180.el9 * Tue Oct 25 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-180.el9] - s390/qeth: cache link_info for ethtool (Tobias Huschle) [2110436] - s390/qeth: Fix typo 'the the' in comment (Tobias Huschle) [2110436] - s390/qdio: Fix spelling mistake (Tobias Huschle) [2110436] - s390/lcs: fix variable dereferenced before check (Tobias Huschle) [2110436] - s390/ctcm: fix potential memory leak (Tobias Huschle) [2110436] - s390/ctcm: fix variable dereferenced before check (Tobias Huschle) [2110436] - qeth: remove a copy of the NAPI_POLL_WEIGHT define (Tobias Huschle) [2110436] - nfp: nfdk: implement xdp tx path for NFDK (Stefan Assmann) [2124279] - nfp: add support for NFDK data path (Stefan Assmann) [2124279] - nfp: choose data path based on version (Stefan Assmann) [2124279] - nfp: add per-data path feature mask (Stefan Assmann) [2124279] - nfp: use TX ring pointer write back (Stefan Assmann) [2124279] - nfp: move tx_ring->qcidx into cold data (Stefan Assmann) [2124279] - nfp: prepare for multi-part descriptors (Stefan Assmann) [2124279] - nfp: use callbacks for slow path ring related functions (Stefan Assmann) [2124279] - nfp: move the fast path code to separate files (Stefan Assmann) [2124279] - nfp: calculate ring masks without conditionals (Stefan Assmann) [2124279] - nfp: flower: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: add support for NFP3800/NFP3803 PCIe devices (Stefan Assmann) [2124279] - nfp: take chip version into account for ring sizes (Stefan Assmann) [2124279] - nfp: parametrize QCP offset/size using dev_info (Stefan Assmann) [2124279] - nfp: use dev_info for the DMA mask (Stefan Assmann) [2124279] - nfp: use dev_info for PCIe config space BAR offsets (Stefan Assmann) [2124279] - nfp: introduce dev_info static chip data (Stefan Assmann) [2124279] - nfp: sort the device ID tables (Stefan Assmann) [2124279] - nfp: use PluDevice register for model for non-NFP6000 chips (Stefan Assmann) [2124279] - nfp: use PCI_DEVICE_ID_NETRONOME_NFP6000_VF for VFs instead (Stefan Assmann) [2124279] - nfp: remove pessimistic NFP_QCP_MAX_ADD limits (Stefan Assmann) [2124279] - nfp: remove define for an unused control bit (Stefan Assmann) [2124279] - nfp: xsk: fix a warning when allocating rx rings (Stefan Assmann) [2124279] - nfp: xsk: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: xsk: add AF_XDP zero-copy Rx and Tx support (Stefan Assmann) [2124279] - nfp: xsk: add configuration check for XSK socket chunk size (Stefan Assmann) [2124279] - nfp: xsk: add an array of xsk buffer pools to each data path (Stefan Assmann) [2124279] - nfp: wrap napi add/del logic (Stefan Assmann) [2124279] - nfp: expose common functions to be used for AF_XDP (Stefan Assmann) [2124279] - nfp: flower: Remove usage of the deprecated ida_simple_xxx API (Stefan Assmann) [2124279] - nfp: avoid newline at end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: add NFP_FL_FEATS_QOS_METER to host features to enable meter offload (Stefan Assmann) [2124279] - nfp: add support to offload police action from flower table (Stefan Assmann) [2124279] - nfp: add process to get action stats from hardware (Stefan Assmann) [2124279] - nfp: add hash table to store meter table (Stefan Assmann) [2124279] - nfp: add support to offload tc action to hardware (Stefan Assmann) [2124279] - nfp: refactor policer config to support ingress/egress meter (Stefan Assmann) [2124279] - nfp: flower: Fix a potential leak in nfp_tunnel_add_shared_mac() (Stefan Assmann) [2124279] - nfp: flower: netdev offload check for ip6gretap (Stefan Assmann) [2124279] - nfp: flower: fix ida_idx not being released (Stefan Assmann) [2124279] - nfp: Simplify array allocation (Stefan Assmann) [2124279] - nfp: only use kdoc style comments for kdoc (Stefan Assmann) [2124279] - nfp: flower: Use struct_size() helper in kmalloc() (Stefan Assmann) [2124279] - nfp: flower: refine the use of circular buffer (Stefan Assmann) [2124279] - nfp: Fix memory leak in nfp_cpp_area_cache_add() (Stefan Assmann) [2124279] - nfp: checking parameter process for rx-usecs/tx-usecs is invalid (Stefan Assmann) [2124279] - nfp: flower: correction of error handling (Stefan Assmann) [2124279] - nfp: fix potential deadlock when canceling dim work (Stefan Assmann) [2124279] - nfp: fix NULL pointer access when scheduling dim work (Stefan Assmann) [2124279] - nfp: flower: Allow ipv6gretap interface for offloading (Stefan Assmann) [2124279] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2124279] - nfp: Prefer struct_size over open coded arithmetic (Stefan Assmann) [2124279] - nfp: bpf: silence bitwise vs. logical OR warning (Stefan Assmann) [2124279] - net/{mlx5|nfp|bnxt}: Remove unnecessary RTNL lock assert (Stefan Assmann) [2124279] - nfp: flow_offload: move flow_indr_dev_register from app init to app start (Stefan Assmann) [2124279] - nfp: use netif_set_real_num_queues() (Stefan Assmann) [2124279] - nfp: flower-ct: fix error return code in nfp_fl_ct_add_offload() (Stefan Assmann) [2124279] - nfp: add support for coalesce adaptive feature (Stefan Assmann) [2124279] - nfp: fix return statement in nfp_net_parse_meta() (Stefan Assmann) [2124279] - nfp: flower-tc: add flow stats updates for ct (Stefan Assmann) [2124279] - nfp: flower-ct: add offload calls to the nfp (Stefan Assmann) [2124279] - nfp: flower-ct: add flow_pay to the offload table (Stefan Assmann) [2124279] - nfp: flower-ct: add actions into flow_pay for offload (Stefan Assmann) [2124279] - nfp: flower-ct: compile match sections of flow_payload (Stefan Assmann) [2124279] - nfp: flower-ct: calculate required key_layers (Stefan Assmann) [2124279] - nfp: flower: refactor action offload code slightly (Stefan Assmann) [2124279] - nfp: flower: refactor match functions to take flow_rule as input (Stefan Assmann) [2124279] - nfp: flower: make the match compilation functions reusable (Stefan Assmann) [2124279] - mmu_gather: fix the CONFIG_MMU_GATHER_NO_RANGE case (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Force tlb-flush VM_PFNMAP vmas (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Let there be one tlb_{start,end}_vma() implementation (Waiman Long) [2130164] {CVE-2022-39188} - csky/tlb: Remove tlb_flush() define (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Remove per arch tlb_{start,end}_vma() (Waiman Long) [2130164] {CVE-2022-39188} - s390/dasd: add device ping attribute (Tobias Huschle) [2044384] - s390/dasd: suppress generic error messages for PPRC secondary devices (Tobias Huschle) [2044384] - s390/dasd: add ioctl to perform a swap of the drivers copy pair (Tobias Huschle) [2044384] - s390/dasd: add copy pair swap capability (Tobias Huschle) [2044384] - s390/dasd: add copy pair setup (Tobias Huschle) [2044384] - s390/dasd: add query PPRC function (Tobias Huschle) [2044384] - s390/dasd: put block allocation in separate function (Tobias Huschle) [2044384] - s390/dasd: fix Oops in dasd_alias_get_start_dev due to missing pavgroup (Tobias Huschle) [2044384] - net: stmmac: Fix unset max_speed difference between DT and non-DT platforms (Al Stone) [2071844] - usb: dwc2: fix wrong order of phy_power_on and phy_init (Al Stone) [2071844] - net: stmmac: remove phylink_config.pcs_poll usage (Al Stone) [2071844] - net: stmmac: use .mac_select_pcs() interface (Al Stone) [2071844] - net: stmmac: convert to phylink_generic_validate() (Al Stone) [2071844] - net: stmmac: convert to phylink_get_linkmodes() (Al Stone) [2071844] - lan743x: fix deadlock in lan743x_phy_link_status_change() (Al Stone) [2071844] - net: phy: c45 baset1: do not skip aneg configuration if clock role is not specified (Al Stone) [2071844] - rhel: Enable configs for imx8m PHYs (Al Stone) [2071844] - phy: freescale: Add i.MX8qm Mixel LVDS PHY support (Al Stone) [2071844] - units: Add SI metric prefix definitions (Al Stone) [2071844] - phy: tegra: Add PCIe PIPE2UPHY support for Tegra234 (Al Stone) [2071844] - net: phy: Don't trigger state machine while in suspend (Al Stone) [2071844] - net: phy: Fix race condition on link status change (Al Stone) [2071844] - net: phy: Convert to mdiobus_c45_{read|write} (Al Stone) [2071844] - net: phy: fix duplex out of sync problem while changing settings (Al Stone) [2071844] - phy: phy_ethtool_ksettings_set: Lock the PHY while changing settings (Al Stone) [2071844] - phy: phy_start_aneg: Add an unlocked version (Al Stone) [2071844] - phy: phy_ethtool_ksettings_set: Move after phy_start_aneg (Al Stone) [2071844] - phy: phy_ethtool_ksettings_get: Lock the phy for consistency (Al Stone) [2071844] - linux/phy.h: add phydev_err_probe() wrapper for dev_err_probe() (Al Stone) [2071844] - net: phy: export genphy_c45_baset1_read_status() (Al Stone) [2071844] - net: phy: genphy_c45_pma_baset1_read_master_slave: read actual configuration (Al Stone) [2071844] - net: phy: introduce genphy_c45_pma_baset1_read_master_slave() (Al Stone) [2071844] - net: phy: genphy_c45_pma_baset1_setup_master_slave: do no set unknown configuration (Al Stone) [2071844] - net: phy: introduce genphy_c45_pma_baset1_setup_master_slave() (Al Stone) [2071844] - net: phy: genphy_c45_baset1_an_config_aneg: do no set unknown configuration (Al Stone) [2071844] - net: phy: Add 10BASE-T1L support in phy-c45 (Al Stone) [2071844] - net: mdio: add helpers to extract clause 45 regad and devad fields (Al Stone) [2071844] - net: mdio: Add helper functions for accessing MDIO devices (Al Stone) [2071844] - net: mdio: add mdiobus_modify_changed() (Al Stone) [2071844] - net: mdio: introduce a shutdown method to mdio device drivers (Al Stone) [2071844] - net: phy: Add BaseT1 auto-negotiation registers (Al Stone) [2071844] - net: phy: Add 10-BaseT1L registers (Al Stone) [2071844] - ethtool: Add 10base-T1L link mode entry (Al Stone) [2071844] - phy: freescale: imx8m-pcie: Handle IMX8_PCIE_REFCLK_PAD_UNUSED (Al Stone) [2071844] - phy: core: Warn when phy_power_on is called before phy_init (Al Stone) [2071844] - phy: core: Update documentation syntax (Al Stone) [2071844] - phy: core: Add documentation of phy operation order (Al Stone) [2071844] - phy: freescale: phy-fsl-imx8-mipi-dphy: Add i.MX8qxp LVDS PHY mode support (Al Stone) [2071844] - phy: Add LVDS configuration options (Al Stone) [2071844] - net: phylink: remove phylink_helper_basex_speed() (Al Stone) [2071844] - phy: phy-can-transceiver: Add support for setting mux (Al Stone) [2071844] - phy: PHY_FSL_LYNX_28G should depend on ARCH_LAYERSCAPE (Al Stone) [2071844] - phy: Remove duplicated include in phy-fsl-lynx-28g.c (Al Stone) [2071844] - phy: add support for the Layerscape SerDes 28G (Al Stone) [2071844] - net: phy: correct spelling error of media in documentation (Al Stone) [2071844] - net: phy: exported the genphy_read_master_slave function (Al Stone) [2071844] - net: phylink: remove phylink_set_pcs() (Al Stone) [2071844] - phy: dphy: Correct lpx parameter and its derivatives(ta_{get,go,sure}) (Al Stone) [2071844] - net: phylink: remove phylink_config's pcs_poll (Al Stone) [2071844] - net: phylink: remove phylink_set_10g_modes() (Al Stone) [2071844] - phy: make phy_set_max_speed() *void* (Al Stone) [2071844] - phy: freescale: i.MX8 PHYs should depend on ARCH_MXC && ARM64 (Al Stone) [2071844] - phy: freescale: pcie: cosmetic clean-up (Al Stone) [2071844] - net: phylink: add pcs_validate() method (Al Stone) [2071844] - net: phylink: add mac_select_pcs() method to phylink_mac_ops (Al Stone) [2071844] - phy: freescale: pcie: explicitly add bitfield.h (Al Stone) [2071844] - phy: freescale: pcie: Initialize the imx8 pcie standalone phy driver (Al Stone) [2071844] - phy: tegra: xusb: Fix return value of tegra_xusb_find_port_node function (Al Stone) [2071844] - net: phylink: use legacy_pre_march2020 (Al Stone) [2071844] - net: phylink: add legacy_pre_march2020 indicator (Al Stone) [2071844] - net: phy: Remove unnecessary indentation in the comments of phy_device (Al Stone) [2071844] - phy: phy-can-transceiver: Make devm_gpiod_get optional (Al Stone) [2071844] - net: phylink: Add helpers for c22 registers without MDIO (Al Stone) [2071844] - net: phylink: add 1000base-KX to phylink_caps_to_linkmodes() (Al Stone) [2071844] - net: document SMII and correct phylink's new validation mechanism (Al Stone) [2071844] - net: phylink: add generic validate implementation (Al Stone) [2071844] - net: phylink: avoid mvneta warning when setting pause parameters (Al Stone) [2071844] - net: phylink: use supported_interfaces for phylink validation (Al Stone) [2071844] - net: phylink: Convert some users of mdiobus_* to mdiodev_* (Al Stone) [2071844] - net: phylink: Support disabling autonegotiation for PCS (Al Stone) [2071844] - net: phylink: rejig SFP interface selection in ksettings_set() (Al Stone) [2071844] - net: phylink: use mdiobus_modify_changed() helper (Al Stone) [2071844] - kernel-doc: support DECLARE_PHY_INTERFACE_MASK() (Al Stone) [2071844] - net: phylink: add MAC phy_interface_t bitmap (Al Stone) [2071844] - net: phy: add phy_interface_t bitmap support (Al Stone) [2071844] - net: phy: add genphy_c45_fast_retrain (Al Stone) [2071844] - net: phy: add constants for fast retrain related register (Al Stone) [2071844] - net: phylink: add phylink_set_10g_modes() helper (Al Stone) [2071844] - net: phylink: don't call netif_carrier_off() with NULL netdev (Al Stone) [2071844] - net: phylink: Update SFP selected interface on advertising changes (Al Stone) [2071844] - net: phylink: add suspend/resume support (Al Stone) [2071844] - net: phylink: cleanup ksettings_set (Al Stone) [2071844] - net: phylink: add phy change pause mode debug (Al Stone) [2071844] - phy: tegra: xusb: mark PM functions as __maybe_unused (Al Stone) [2071844] - s390/pai: Add support for PAI Extension 1 NNPA counters (Tobias Huschle) [2127436] Resolves: rhbz#2110436, rhbz#2124279, rhbz#2130164, rhbz#2044384, rhbz#2071844, rhbz#2127436 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-25 12:37:28 +00:00
# CONFIG_PHY_FSL_IMX8M_PCIE is not set
# CONFIG_PHY_FSL_IMX8MQ_USB is not set
# CONFIG_PHY_HI3660_USB is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_PHY_HI3670_USB is not set
# CONFIG_PHY_HISI_INNO_USB2 is not set
# CONFIG_PHY_HISTB_COMBPHY is not set
# CONFIG_PHY_INTEL_LGM_EMMC is not set
CONFIG_PHYLIB=y
# CONFIG_PHY_MAPPHONE_MDM6600 is not set
kernel-5.14.0-180.el9 * Tue Oct 25 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-180.el9] - s390/qeth: cache link_info for ethtool (Tobias Huschle) [2110436] - s390/qeth: Fix typo 'the the' in comment (Tobias Huschle) [2110436] - s390/qdio: Fix spelling mistake (Tobias Huschle) [2110436] - s390/lcs: fix variable dereferenced before check (Tobias Huschle) [2110436] - s390/ctcm: fix potential memory leak (Tobias Huschle) [2110436] - s390/ctcm: fix variable dereferenced before check (Tobias Huschle) [2110436] - qeth: remove a copy of the NAPI_POLL_WEIGHT define (Tobias Huschle) [2110436] - nfp: nfdk: implement xdp tx path for NFDK (Stefan Assmann) [2124279] - nfp: add support for NFDK data path (Stefan Assmann) [2124279] - nfp: choose data path based on version (Stefan Assmann) [2124279] - nfp: add per-data path feature mask (Stefan Assmann) [2124279] - nfp: use TX ring pointer write back (Stefan Assmann) [2124279] - nfp: move tx_ring->qcidx into cold data (Stefan Assmann) [2124279] - nfp: prepare for multi-part descriptors (Stefan Assmann) [2124279] - nfp: use callbacks for slow path ring related functions (Stefan Assmann) [2124279] - nfp: move the fast path code to separate files (Stefan Assmann) [2124279] - nfp: calculate ring masks without conditionals (Stefan Assmann) [2124279] - nfp: flower: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: add support for NFP3800/NFP3803 PCIe devices (Stefan Assmann) [2124279] - nfp: take chip version into account for ring sizes (Stefan Assmann) [2124279] - nfp: parametrize QCP offset/size using dev_info (Stefan Assmann) [2124279] - nfp: use dev_info for the DMA mask (Stefan Assmann) [2124279] - nfp: use dev_info for PCIe config space BAR offsets (Stefan Assmann) [2124279] - nfp: introduce dev_info static chip data (Stefan Assmann) [2124279] - nfp: sort the device ID tables (Stefan Assmann) [2124279] - nfp: use PluDevice register for model for non-NFP6000 chips (Stefan Assmann) [2124279] - nfp: use PCI_DEVICE_ID_NETRONOME_NFP6000_VF for VFs instead (Stefan Assmann) [2124279] - nfp: remove pessimistic NFP_QCP_MAX_ADD limits (Stefan Assmann) [2124279] - nfp: remove define for an unused control bit (Stefan Assmann) [2124279] - nfp: xsk: fix a warning when allocating rx rings (Stefan Assmann) [2124279] - nfp: xsk: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: xsk: add AF_XDP zero-copy Rx and Tx support (Stefan Assmann) [2124279] - nfp: xsk: add configuration check for XSK socket chunk size (Stefan Assmann) [2124279] - nfp: xsk: add an array of xsk buffer pools to each data path (Stefan Assmann) [2124279] - nfp: wrap napi add/del logic (Stefan Assmann) [2124279] - nfp: expose common functions to be used for AF_XDP (Stefan Assmann) [2124279] - nfp: flower: Remove usage of the deprecated ida_simple_xxx API (Stefan Assmann) [2124279] - nfp: avoid newline at end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279] - nfp: add NFP_FL_FEATS_QOS_METER to host features to enable meter offload (Stefan Assmann) [2124279] - nfp: add support to offload police action from flower table (Stefan Assmann) [2124279] - nfp: add process to get action stats from hardware (Stefan Assmann) [2124279] - nfp: add hash table to store meter table (Stefan Assmann) [2124279] - nfp: add support to offload tc action to hardware (Stefan Assmann) [2124279] - nfp: refactor policer config to support ingress/egress meter (Stefan Assmann) [2124279] - nfp: flower: Fix a potential leak in nfp_tunnel_add_shared_mac() (Stefan Assmann) [2124279] - nfp: flower: netdev offload check for ip6gretap (Stefan Assmann) [2124279] - nfp: flower: fix ida_idx not being released (Stefan Assmann) [2124279] - nfp: Simplify array allocation (Stefan Assmann) [2124279] - nfp: only use kdoc style comments for kdoc (Stefan Assmann) [2124279] - nfp: flower: Use struct_size() helper in kmalloc() (Stefan Assmann) [2124279] - nfp: flower: refine the use of circular buffer (Stefan Assmann) [2124279] - nfp: Fix memory leak in nfp_cpp_area_cache_add() (Stefan Assmann) [2124279] - nfp: checking parameter process for rx-usecs/tx-usecs is invalid (Stefan Assmann) [2124279] - nfp: flower: correction of error handling (Stefan Assmann) [2124279] - nfp: fix potential deadlock when canceling dim work (Stefan Assmann) [2124279] - nfp: fix NULL pointer access when scheduling dim work (Stefan Assmann) [2124279] - nfp: flower: Allow ipv6gretap interface for offloading (Stefan Assmann) [2124279] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2124279] - nfp: Prefer struct_size over open coded arithmetic (Stefan Assmann) [2124279] - nfp: bpf: silence bitwise vs. logical OR warning (Stefan Assmann) [2124279] - net/{mlx5|nfp|bnxt}: Remove unnecessary RTNL lock assert (Stefan Assmann) [2124279] - nfp: flow_offload: move flow_indr_dev_register from app init to app start (Stefan Assmann) [2124279] - nfp: use netif_set_real_num_queues() (Stefan Assmann) [2124279] - nfp: flower-ct: fix error return code in nfp_fl_ct_add_offload() (Stefan Assmann) [2124279] - nfp: add support for coalesce adaptive feature (Stefan Assmann) [2124279] - nfp: fix return statement in nfp_net_parse_meta() (Stefan Assmann) [2124279] - nfp: flower-tc: add flow stats updates for ct (Stefan Assmann) [2124279] - nfp: flower-ct: add offload calls to the nfp (Stefan Assmann) [2124279] - nfp: flower-ct: add flow_pay to the offload table (Stefan Assmann) [2124279] - nfp: flower-ct: add actions into flow_pay for offload (Stefan Assmann) [2124279] - nfp: flower-ct: compile match sections of flow_payload (Stefan Assmann) [2124279] - nfp: flower-ct: calculate required key_layers (Stefan Assmann) [2124279] - nfp: flower: refactor action offload code slightly (Stefan Assmann) [2124279] - nfp: flower: refactor match functions to take flow_rule as input (Stefan Assmann) [2124279] - nfp: flower: make the match compilation functions reusable (Stefan Assmann) [2124279] - mmu_gather: fix the CONFIG_MMU_GATHER_NO_RANGE case (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Force tlb-flush VM_PFNMAP vmas (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Let there be one tlb_{start,end}_vma() implementation (Waiman Long) [2130164] {CVE-2022-39188} - csky/tlb: Remove tlb_flush() define (Waiman Long) [2130164] {CVE-2022-39188} - mmu_gather: Remove per arch tlb_{start,end}_vma() (Waiman Long) [2130164] {CVE-2022-39188} - s390/dasd: add device ping attribute (Tobias Huschle) [2044384] - s390/dasd: suppress generic error messages for PPRC secondary devices (Tobias Huschle) [2044384] - s390/dasd: add ioctl to perform a swap of the drivers copy pair (Tobias Huschle) [2044384] - s390/dasd: add copy pair swap capability (Tobias Huschle) [2044384] - s390/dasd: add copy pair setup (Tobias Huschle) [2044384] - s390/dasd: add query PPRC function (Tobias Huschle) [2044384] - s390/dasd: put block allocation in separate function (Tobias Huschle) [2044384] - s390/dasd: fix Oops in dasd_alias_get_start_dev due to missing pavgroup (Tobias Huschle) [2044384] - net: stmmac: Fix unset max_speed difference between DT and non-DT platforms (Al Stone) [2071844] - usb: dwc2: fix wrong order of phy_power_on and phy_init (Al Stone) [2071844] - net: stmmac: remove phylink_config.pcs_poll usage (Al Stone) [2071844] - net: stmmac: use .mac_select_pcs() interface (Al Stone) [2071844] - net: stmmac: convert to phylink_generic_validate() (Al Stone) [2071844] - net: stmmac: convert to phylink_get_linkmodes() (Al Stone) [2071844] - lan743x: fix deadlock in lan743x_phy_link_status_change() (Al Stone) [2071844] - net: phy: c45 baset1: do not skip aneg configuration if clock role is not specified (Al Stone) [2071844] - rhel: Enable configs for imx8m PHYs (Al Stone) [2071844] - phy: freescale: Add i.MX8qm Mixel LVDS PHY support (Al Stone) [2071844] - units: Add SI metric prefix definitions (Al Stone) [2071844] - phy: tegra: Add PCIe PIPE2UPHY support for Tegra234 (Al Stone) [2071844] - net: phy: Don't trigger state machine while in suspend (Al Stone) [2071844] - net: phy: Fix race condition on link status change (Al Stone) [2071844] - net: phy: Convert to mdiobus_c45_{read|write} (Al Stone) [2071844] - net: phy: fix duplex out of sync problem while changing settings (Al Stone) [2071844] - phy: phy_ethtool_ksettings_set: Lock the PHY while changing settings (Al Stone) [2071844] - phy: phy_start_aneg: Add an unlocked version (Al Stone) [2071844] - phy: phy_ethtool_ksettings_set: Move after phy_start_aneg (Al Stone) [2071844] - phy: phy_ethtool_ksettings_get: Lock the phy for consistency (Al Stone) [2071844] - linux/phy.h: add phydev_err_probe() wrapper for dev_err_probe() (Al Stone) [2071844] - net: phy: export genphy_c45_baset1_read_status() (Al Stone) [2071844] - net: phy: genphy_c45_pma_baset1_read_master_slave: read actual configuration (Al Stone) [2071844] - net: phy: introduce genphy_c45_pma_baset1_read_master_slave() (Al Stone) [2071844] - net: phy: genphy_c45_pma_baset1_setup_master_slave: do no set unknown configuration (Al Stone) [2071844] - net: phy: introduce genphy_c45_pma_baset1_setup_master_slave() (Al Stone) [2071844] - net: phy: genphy_c45_baset1_an_config_aneg: do no set unknown configuration (Al Stone) [2071844] - net: phy: Add 10BASE-T1L support in phy-c45 (Al Stone) [2071844] - net: mdio: add helpers to extract clause 45 regad and devad fields (Al Stone) [2071844] - net: mdio: Add helper functions for accessing MDIO devices (Al Stone) [2071844] - net: mdio: add mdiobus_modify_changed() (Al Stone) [2071844] - net: mdio: introduce a shutdown method to mdio device drivers (Al Stone) [2071844] - net: phy: Add BaseT1 auto-negotiation registers (Al Stone) [2071844] - net: phy: Add 10-BaseT1L registers (Al Stone) [2071844] - ethtool: Add 10base-T1L link mode entry (Al Stone) [2071844] - phy: freescale: imx8m-pcie: Handle IMX8_PCIE_REFCLK_PAD_UNUSED (Al Stone) [2071844] - phy: core: Warn when phy_power_on is called before phy_init (Al Stone) [2071844] - phy: core: Update documentation syntax (Al Stone) [2071844] - phy: core: Add documentation of phy operation order (Al Stone) [2071844] - phy: freescale: phy-fsl-imx8-mipi-dphy: Add i.MX8qxp LVDS PHY mode support (Al Stone) [2071844] - phy: Add LVDS configuration options (Al Stone) [2071844] - net: phylink: remove phylink_helper_basex_speed() (Al Stone) [2071844] - phy: phy-can-transceiver: Add support for setting mux (Al Stone) [2071844] - phy: PHY_FSL_LYNX_28G should depend on ARCH_LAYERSCAPE (Al Stone) [2071844] - phy: Remove duplicated include in phy-fsl-lynx-28g.c (Al Stone) [2071844] - phy: add support for the Layerscape SerDes 28G (Al Stone) [2071844] - net: phy: correct spelling error of media in documentation (Al Stone) [2071844] - net: phy: exported the genphy_read_master_slave function (Al Stone) [2071844] - net: phylink: remove phylink_set_pcs() (Al Stone) [2071844] - phy: dphy: Correct lpx parameter and its derivatives(ta_{get,go,sure}) (Al Stone) [2071844] - net: phylink: remove phylink_config's pcs_poll (Al Stone) [2071844] - net: phylink: remove phylink_set_10g_modes() (Al Stone) [2071844] - phy: make phy_set_max_speed() *void* (Al Stone) [2071844] - phy: freescale: i.MX8 PHYs should depend on ARCH_MXC && ARM64 (Al Stone) [2071844] - phy: freescale: pcie: cosmetic clean-up (Al Stone) [2071844] - net: phylink: add pcs_validate() method (Al Stone) [2071844] - net: phylink: add mac_select_pcs() method to phylink_mac_ops (Al Stone) [2071844] - phy: freescale: pcie: explicitly add bitfield.h (Al Stone) [2071844] - phy: freescale: pcie: Initialize the imx8 pcie standalone phy driver (Al Stone) [2071844] - phy: tegra: xusb: Fix return value of tegra_xusb_find_port_node function (Al Stone) [2071844] - net: phylink: use legacy_pre_march2020 (Al Stone) [2071844] - net: phylink: add legacy_pre_march2020 indicator (Al Stone) [2071844] - net: phy: Remove unnecessary indentation in the comments of phy_device (Al Stone) [2071844] - phy: phy-can-transceiver: Make devm_gpiod_get optional (Al Stone) [2071844] - net: phylink: Add helpers for c22 registers without MDIO (Al Stone) [2071844] - net: phylink: add 1000base-KX to phylink_caps_to_linkmodes() (Al Stone) [2071844] - net: document SMII and correct phylink's new validation mechanism (Al Stone) [2071844] - net: phylink: add generic validate implementation (Al Stone) [2071844] - net: phylink: avoid mvneta warning when setting pause parameters (Al Stone) [2071844] - net: phylink: use supported_interfaces for phylink validation (Al Stone) [2071844] - net: phylink: Convert some users of mdiobus_* to mdiodev_* (Al Stone) [2071844] - net: phylink: Support disabling autonegotiation for PCS (Al Stone) [2071844] - net: phylink: rejig SFP interface selection in ksettings_set() (Al Stone) [2071844] - net: phylink: use mdiobus_modify_changed() helper (Al Stone) [2071844] - kernel-doc: support DECLARE_PHY_INTERFACE_MASK() (Al Stone) [2071844] - net: phylink: add MAC phy_interface_t bitmap (Al Stone) [2071844] - net: phy: add phy_interface_t bitmap support (Al Stone) [2071844] - net: phy: add genphy_c45_fast_retrain (Al Stone) [2071844] - net: phy: add constants for fast retrain related register (Al Stone) [2071844] - net: phylink: add phylink_set_10g_modes() helper (Al Stone) [2071844] - net: phylink: don't call netif_carrier_off() with NULL netdev (Al Stone) [2071844] - net: phylink: Update SFP selected interface on advertising changes (Al Stone) [2071844] - net: phylink: add suspend/resume support (Al Stone) [2071844] - net: phylink: cleanup ksettings_set (Al Stone) [2071844] - net: phylink: add phy change pause mode debug (Al Stone) [2071844] - phy: tegra: xusb: mark PM functions as __maybe_unused (Al Stone) [2071844] - s390/pai: Add support for PAI Extension 1 NNPA counters (Tobias Huschle) [2127436] Resolves: rhbz#2110436, rhbz#2124279, rhbz#2130164, rhbz#2044384, rhbz#2071844, rhbz#2127436 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-25 12:37:28 +00:00
# CONFIG_PHY_MIXEL_LVDS_PHY is not set
# CONFIG_PHY_MIXEL_MIPI_DPHY is not set
# CONFIG_PHY_OCELOT_SERDES is not set
# CONFIG_PHY_PXA_28NM_HSIC is not set
# CONFIG_PHY_PXA_28NM_USB2 is not set
kernel-5.14.0-158.el9 * Wed Aug 24 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-158.el9] - iommu/arm-smmu-qcom: Add SC8280XP support (Eric Chanudet) [2117020] - dt-bindings: arm-smmu: Add compatible for Qualcomm SC8280XP (Eric Chanudet) [2117020] - soc: qcom: smem: use correct format characters (Eric Chanudet) [2108804] - soc: qcom: smem: validate fields of shared structures (Eric Chanudet) [2108804] - soc: qcom: smem: map only partitions used by local HOST (Eric Chanudet) [2108804] - soc: qcom: smem: Update max processor count (Eric Chanudet) [2108804] - dt-bindings: soc: smem: Make indirection optional (Eric Chanudet) [2108804] - soc: qcom: llcc: Add sc8180x and sc8280xp configurations (Eric Chanudet) [2108329] - dt-bindings: arm: msm: Add sc8180x and sc8280xp LLCC compatibles (Eric Chanudet) [2108329] - soc: qcom: llcc: Add MODULE_DEVICE_TABLE() (Eric Chanudet) [2108329] - dt-bindings: arm: msm: Add LLCC compatible for SM8450 (Eric Chanudet) [2108329] - dt-bindings: arm: msm: Add LLCC compatible for SM8350 (Eric Chanudet) [2108329] - soc: qcom: llcc: Add configuration data for SM8450 SoC (Eric Chanudet) [2108329] - soc: qcom: llcc: Update register offsets for newer LLCC HW (Eric Chanudet) [2108329] - soc: qcom: llcc: Add missing llcc configuration data (Eric Chanudet) [2108329] - soc: qcom: llcc: Add write-cache cacheable support (Eric Chanudet) [2108329] - soc: qcom: llcc: Update the logic for version info extraction (Eric Chanudet) [2108329] - soc: qcom: llcc: Add support for 16 ways of allocation (Eric Chanudet) [2108329] - soc: qcom: llcc: Use devm_bitmap_zalloc() when applicable (Eric Chanudet) [2108329] - dt-bindings: arm: msm: Don't mark LLCC interrupt as required (Eric Chanudet) [2108329] - dt-bindings: arm: msm: Add LLCC for SM6350 (Eric Chanudet) [2108329] - soc: qcom: llcc: Add configuration data for SM8350 (Eric Chanudet) [2108329] - soc: qcom: llcc: Disable MMUHWT retention (Eric Chanudet) [2108329] - soc: qcom: llcc: Add configuration data for SM6350 (Eric Chanudet) [2108329] - spi: qcom: geni: Simplify DMA setting (Shawn Doherty) [2106892] - spi: qcom: geni: handle timeout for gpi mode (Shawn Doherty) [2106892] - spi: qcom: geni: set the error code for gpi transfer (Shawn Doherty) [2106892] - spi: qcom: geni: remove unused defines (Shawn Doherty) [2106892] - spi: spi-geni-qcom: fix error handling in spi_geni_grab_gpi_chan() (Shawn Doherty) [2106892] - spi: spi-geni-qcom: Add support for GPI dma (Shawn Doherty) [2106892] - soc: qcom: geni: Add support for gpi dma (Shawn Doherty) [2106892] - soc: qcom: geni: move GENI_IF_DISABLE_RO to common header (Shawn Doherty) [2106892] - spi: spi-geni-qcom: Remove confusing comment about setting the watermark (Shawn Doherty) [2106892] - interconnect: qcom: Add SC8280XP interconnect provider (Adrien Thierry) [2105458] - dt-bindings: interconnect: qcom: Add sc8280xp binding (Adrien Thierry) [2105458] - soc: qcom: rpmhpd: add sc8280xp & sa8540p rpmh power-domains (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Don't warn about sparse rpmhpd arrays (Adrien Thierry) [2105057] - dt-bindings: power: rpmpd: Add sc8280xp RPMh power-domains (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Add SDX65 power domains (Adrien Thierry) [2105057] - dt-bindings: power: Add rpm power domain bindings for SDX65 (Adrien Thierry) [2105057] - soc: qcom: rpmpd: Add MSM8226 support (Adrien Thierry) [2105057] - dt-bindings: power: rpmpd: Add MSM8226 to rpmpd binding (Adrien Thierry) [2105057] - soc: qcom: rpmpd: Check for null return of devm_kcalloc (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Sort power-domain definitions and lists (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Remove mx/cx relationship on sc7280 (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Rename rpmhpd struct names (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: sm8450: Add the missing .peer for sm8450_cx_ao (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Add SM8450 power domains (Adrien Thierry) [2105057] - dt-bindings: power: rpmpd: Add SM8450 to rpmpd binding (Adrien Thierry) [2105057] - soc: qcom: rpmpd: Add support for sm6125 (Adrien Thierry) [2105057] - dt-bindings: qcom-rpmpd: Add sm6125 power domains (Adrien Thierry) [2105057] - soc: qcom: rpmpd: Add QCM2290 support (Adrien Thierry) [2105057] - dt-bindings: power: rpmpd: Add QCM2290 support (Adrien Thierry) [2105057] - soc: qcom: rpmpd: Drop unused res_name from struct rpmpd (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: fix sm8350_mxc's peer domain (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Make power_on actually enable the domain (Adrien Thierry) [2105057] - dt-bindings: power: rpmpd: Add SM6350 to rpmpd binding (Adrien Thierry) [2105057] - soc: qcom: rpmpd: Add power domains for MSM8953 (Adrien Thierry) [2105057] - dt-bindings: power: rpmpd: Add MSM8953 to rpmpd binding (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Add SM6350 (Adrien Thierry) [2105057] - drivers: soc: qcom: rpmpd: Add SM6115 RPM Power Domains (Adrien Thierry) [2105057] - dt-bindings: power: rpmpd: Add SM6115 to rpmpd binding (Adrien Thierry) [2105057] - soc: qcom: rpmhpd: Use corner in power_off (Adrien Thierry) [2105057] - scsi: ufs: core: Fix referencing invalid rsp field (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Clean up ufshpb_suspend()/resume() (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Add handing of device reset regions in HPB device mode (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Change sysfs node hpb_stats/rb_* prefix to start with rcmd_* (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Clean up the handler when device resets HPB information (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Remove enum initialization value (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Merge ufshpb_reset() and ufshpb_reset_host() (Eric Chanudet) [2102378] - scsi: ufs: qcom: Enable RPM_AUTOSUSPEND for runtime PM (Eric Chanudet) [2102378] - scsi: ufs: core: Remove redundant wmb() in ufshcd_send_command() (Eric Chanudet) [2102378] - scsi: ufs: qcom: Add a readl() to make sure ref_clk gets enabled (Eric Chanudet) [2102378] - scsi: ufs: qcom: Simplify handling of devm_phy_get() (Eric Chanudet) [2102378] - scsi: ufs: qcom: Fix acquiring the optional reset control line (Eric Chanudet) [2102378] - dt-bindings: ufs: cdns,ufshc: Add power-domains (Eric Chanudet) [2102378] - scsi: ufs: Use pm_runtime_resume_and_get() instead of pm_runtime_get_sync() (Eric Chanudet) [2102378] - scsi: ufs: core: Remove duplicate include in ufshcd (Eric Chanudet) [2102378] - scsi: ufs: core: Exclude UECxx from SFR dump list (Eric Chanudet) [2102378] - scsi: ufs: Move the ufs_is_valid_unit_desc_lun() definition (Eric Chanudet) [2102378] - scsi: ufs: Move the struct ufs_ref_clk definition (Eric Chanudet) [2102378] - scsi: ufs: Split the ufshcd.h header file (Eric Chanudet) [2102378] - scsi: ufs: Minimize #include directives (Eric Chanudet) [2102378] - scsi: ufs: Fix kernel-doc syntax in ufshcd.h (Eric Chanudet) [2102378] - scsi: ufs: Remove unnecessary ufshcd-crypto.h include directives (Eric Chanudet) [2102378] - scsi: ufs: qcom: Fix ufs_qcom_resume() (Eric Chanudet) [2102378] - scsi: ufs: Introduce ufshcd_clkgate_delay_set() (Eric Chanudet) [2102378] - scsi: ufs: Remove locking from around single register writes (Eric Chanudet) [2102378] - scsi: ufs: Remove the TRUE and FALSE definitions (Eric Chanudet) [2102378] - scsi: ufs: Remove paths from source code comments (Eric Chanudet) [2102378] - scsi: ufs: Use an SPDX license identifier in the Kconfig file (Eric Chanudet) [2102378] - scsi: ufs: Rename sdev_ufs_device into ufs_device_wlun (Eric Chanudet) [2102378] - scsi: ufs: Remove the driver version (Eric Chanudet) [2102378] - scsi: ufs: Make the config_scaling_param calls type safe (Eric Chanudet) [2102378] - scsi: ufs: Switch to aggregate initialization (Eric Chanudet) [2102378] - scsi: ufs: Remove unused constants and code (Eric Chanudet) [2102378] - scsi: ufs: Invert the return value of ufshcd_is_hba_active() (Eric Chanudet) [2102378] - scsi: ufs: Declare the quirks array const (Eric Chanudet) [2102378] - scsi: ufs: Rename struct ufs_dev_fix into ufs_dev_quirk (Eric Chanudet) [2102378] - scsi: ufs: Remove the UFS_FIX() and END_FIX() macros (Eric Chanudet) [2102378] - scsi: ufs: Use get_unaligned_be16() instead of be16_to_cpup() (Eric Chanudet) [2102378] - scsi: ufs: Remove ufshcd_lrb.sense_buffer (Eric Chanudet) [2102378] - scsi: ufs: Remove ufshcd_lrb.sense_bufflen (Eric Chanudet) [2102378] - scsi: ufs: Simplify statements that return a boolean (Eric Chanudet) [2102378] - scsi: ufs: Remove superfluous boolean conversions (Eric Chanudet) [2102378] - scsi: ufs: Declare ufshcd_wait_for_register() static (Eric Chanudet) [2102378] - scsi: ufs: Fix a spelling error in a source code comment (Eric Chanudet) [2102378] - scsi: ufs: core: Increase fDeviceInit poll frequency (Eric Chanudet) [2102378] - scsi: ufs: core: Remove redundant HPB unmap (Eric Chanudet) [2102378] - scsi: ufs: ufshcd-pltfrm: Simplify pdev->dev usage (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Fix a NULL check on list iterator (Eric Chanudet) [2102378] - scsi: ufs: ufs-pci: Add support for Intel MTL (Eric Chanudet) [2102378] - scsi: ufs: qcom: Drop custom Android boot parameters (Eric Chanudet) [2102378] - scsi: ufs: core: Remove unused field in struct ufs_hba (Eric Chanudet) [2102378] - dt-bindings: ufs: qcom: Add SM6350 compatible string (Eric Chanudet) [2102378] - scsi: ufs: core: scsi_get_lba() error fix (Eric Chanudet) [2102378] - dt-bindings: ufs: snps,tc-dwc-g210: convert to dtschema (Eric Chanudet) [2102378] - dt-bindings: ufs: mediatek,ufs: convert to dtschema (Eric Chanudet) [2102378] - dt-bindings: ufs: hisilicon,ufs: convert to dtschema (Eric Chanudet) [2102378] - dt-bindings: ufs: qcom,ufs: convert to dtschema (Eric Chanudet) [2102378] - dt-bindings: ufs: drop unused/old ufs-qcom PHY bindings (Eric Chanudet) [2102378] - dt-bindings: ufs: cdns,ufshc: convert to dtschema (Eric Chanudet) [2102378] - dt-bindings: ufs: samsung,exynos-ufs: use common bindings (Eric Chanudet) [2102378] - dt-bindings: ufs: add common platform bindings (Eric Chanudet) [2102378] - scsi: ufs: Fix runtime PM messages never-ending cycle (Eric Chanudet) [2102378] - scsi: ufs: core: Remove wlun_dev_to_hba() (Eric Chanudet) [2102378] - scsi: ufs: core: Fix divide by zero in ufshcd_map_queues() (Eric Chanudet) [2102378] - block: pass a block_device and opf to bio_alloc (Eric Chanudet) [2102378] - scsi: ufs: Add checking lifetime attribute for WriteBooster (Eric Chanudet) [2102378] - scsi: ufs: Treat link loss as fatal error (Eric Chanudet) [2102378] - scsi: ufs: Use generic error code in ufshcd_set_dev_pwr_mode() (Eric Chanudet) [2102378] - scsi: ufs: ufshcd-pltfrm: Check the return value of devm_kstrdup() (Eric Chanudet) [2102378] - scsi: ufs: ufs-mediatek: Fix error checking in ufs_mtk_init_va09_pwr_ctrl() (Eric Chanudet) [2102378] - scsi: ufs: Modify Tactive time setting conditions (Eric Chanudet) [2102378] - scsi: ufs: core: Fix deadlock issue in ufshcd_wait_for_doorbell_clr() (Eric Chanudet) [2102378] - scsi: ufs: dt-bindings: Add SM8450 compatible strings (Eric Chanudet) [2102378] - scsi: ufs: Implement polling support (Eric Chanudet) [2102378] - scsi: ufs: Optimize the command queueing code (Eric Chanudet) [2102378] - scsi: ufs: Stop using the clock scaling lock in the error handler (Eric Chanudet) [2102378] - scsi: ufs: Fix a kernel crash during shutdown (Eric Chanudet) [2102378] - scsi: ufs: Improve SCSI abort handling further (Eric Chanudet) [2102378] - scsi: ufs: Introduce ufshcd_release_scsi_cmd() (Eric Chanudet) [2102378] - scsi: ufs: Remove the 'update_scaling' local variable (Eric Chanudet) [2102378] - scsi: ufs: Remove hba->cmd_queue (Eric Chanudet) [2102378] - scsi: ufs: Fix a deadlock in the error handler (Eric Chanudet) [2102378] - scsi: ufs: Rework ufshcd_change_queue_depth() (Eric Chanudet) [2102378] - scsi: ufs: Remove ufshcd_any_tag_in_use() (Eric Chanudet) [2102378] - scsi: ufs: Fix race conditions related to driver data (Eric Chanudet) [2102378] - scsi: ufs: Remove dead code (Eric Chanudet) [2102378] - scsi: ufs: Remove the sdev_rpmb member (Eric Chanudet) [2102378] - scsi: ufs: Remove is_rpmb_wlun() (Eric Chanudet) [2102378] - scsi: ufs: Rename a function argument (Eric Chanudet) [2102378] - scsi: Remove superfluous #include <linux/async.h> directives (Eric Chanudet) [2102378] - scsi: ufs: ufs-pci: Add support for Intel ADL (Eric Chanudet) [2102378] - scsi: ufs: Let devices remain runtime suspended during system suspend (Eric Chanudet) [2102378] - block: remove the gendisk argument to blk_execute_rq (Eric Chanudet) [2102378] - scsi: ufs: Fix double space in SCSI_UFS_HWMON description (Eric Chanudet) [2102378] - scsi: ufs: Wrap Universal Flash Storage drivers in SCSI_UFSHCD (Eric Chanudet) [2102378] - scsi: ufs: ufs-mediatek: Add put_device() after of_find_device_by_node() (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Fix warning in ufshpb_set_hpb_read_to_upiu() (Eric Chanudet) [2102378] - scsi: ufs: core: Fix another task management completion race (Eric Chanudet) [2102378] - scsi: ufs: core: Fix task management completion timeout race (Eric Chanudet) [2102378] - scsi: ufs: core: Improve SCSI abort handling (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Properly handle max-single-cmd (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Remove HPB2.0 flows (Eric Chanudet) [2102378] - dt-bindings: ufs: exynos-ufs: add exynosautov9 compatible (Eric Chanudet) [2102378] - dt-bindings: ufs: exynos-ufs: add io-coherency property (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Introduce ExynosAuto v9 virtual host (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Multi-host configuration for ExynosAuto v9 (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Support ExynosAuto v9 UFS (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Add pre/post_hce_enable drv callbacks (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Factor out priv data init (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Add EXYNOS_UFS_OPT_SKIP_CONFIG_PHY_ATTR option (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Support custom version of ufs_hba_variant_ops (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Add setup_clocks callback (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Add refclkout_stop control (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Simplify drv_data retrieval (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Change pclk available max value (Eric Chanudet) [2102378] - scsi: ufs: Add quirk to enable host controller without PH configuration (Eric Chanudet) [2102378] - scsi: ufs: Add quirk to handle broken UIC command (Eric Chanudet) [2102378] - scsi: ufs: core: Micro-optimize ufshcd_map_sg() (Eric Chanudet) [2102378] - scsi: ufs: core: Add a compile-time structure size check (Eric Chanudet) [2102378] - scsi: ufs: core: Remove three superfluous casts (Eric Chanudet) [2102378] - scsi: ufs: core: Add debugfs attributes for triggering the UFS EH (Eric Chanudet) [2102378] - scsi: ufs: core: Make it easier to add new debugfs attributes (Eric Chanudet) [2102378] - scsi: ufs: core: Export ufshcd_schedule_eh_work() (Eric Chanudet) [2102378] - scsi: ufs: core: Log error handler activity (Eric Chanudet) [2102378] - scsi: ufs: core: Improve static type checking (Eric Chanudet) [2102378] - scsi: ufs: core: Improve source code comments (Eric Chanudet) [2102378] - scsi: ufs: Revert "Retry aborted SCSI commands instead of completing these successfully" (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Correct timeout value setting registers (Eric Chanudet) [2102378] - scsi: ufs: ufshcd-pltfrm: Fix memory leak due to probe defer (Eric Chanudet) [2102378] - scsi: ufs: mediatek: Avoid sched_clock() misuse (Eric Chanudet) [2102378] - scsi: ufs: ufs-pci: Force a full restore after suspend-to-disk (Eric Chanudet) [2102378] - scsi: ufs: ufs-mediatek: Fix wrong location for ref-clk delay (Eric Chanudet) [2102378] - scsi: ufs: ufs-mediatek: Fix build error caused by use of sched_clock() (Eric Chanudet) [2102378] - scsi: ufs: ufs-mediatek: Introduce default delay for reference clock (Eric Chanudet) [2102378] - scsi: ufs: core: Fix synchronization between scsi_unjam_host() and ufshcd_queuecommand() (Eric Chanudet) [2102378] - scsi: ufs: mediatek: Support vops pre suspend to disable auto-hibern8 (Eric Chanudet) [2102378] - scsi: ufs: core: Do not exit ufshcd_err_handler() unless operational or dead (Eric Chanudet) [2102378] - scsi: ufs: core: Do not exit ufshcd_reset_and_restore() unless operational or dead (Eric Chanudet) [2102378] - scsi: ufs: core: Stop clearing UNIT ATTENTIONS (Eric Chanudet) [2102378] - scsi: ufs: core: Retry START_STOP on UNIT_ATTENTION (Eric Chanudet) [2102378] - scsi: ufs: core: Remove return statement in void function (Eric Chanudet) [2102378] - scsi: ufs: core: Fix ufshcd_probe_hba() prototype to match the definition (Eric Chanudet) [2102378] - scsi: ufs: core: Fix NULL pointer dereference (Eric Chanudet) [2102378] - scsi: ufs: core: Fix task management completion (Eric Chanudet) [2102378] - scsi: ufs: ufs-qcom: Enter and exit hibern8 during clock scaling (Eric Chanudet) [2102378] - scsi: ufs: core: Export hibern8 entry and exit functions (Eric Chanudet) [2102378] - scsi: ufs: core: SCSI_UFS_HWMON depends on HWMON=y (Eric Chanudet) [2102378] - scsi: ufs: exynos: Unify naming (Eric Chanudet) [2102378] - scsi: ufs: Fix illegal offset in UPIU event trace (Eric Chanudet) [2102378] - scsi: ufs: core: Add temperature notification exception handling (Eric Chanudet) [2102378] - scsi: ufs: core: Probe for temperature notification support (Eric Chanudet) [2102378] - scsi: ufs: core: Unbreak the reset handler (Eric Chanudet) [2102378] - scsi: ufs: core: Revert "scsi: ufs: Synchronize SCSI and UFS error handling" (Eric Chanudet) [2102378] - dt-bindings: ufs: Add bindings for Samsung ufs host (Eric Chanudet) [2102378] - scsi: ufs: ufs-mediatek: Change dbg select by check IP version (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Use proper power management API (Eric Chanudet) [2102378] - scsi: ufs: ufs-qcom: Remove unneeded variable 'err' (Eric Chanudet) [2102378] - scsi: ufs: ufs-pci: Fix Intel LKF link stability (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Remove unused parameters (Eric Chanudet) [2102378] - scsi: ufs: Fix ufshcd_request_sense_async() for Samsung KLUFG8RHDA-B2D1 (Eric Chanudet) [2102378] - scsi: ufs: ufs-exynos: Fix static checker warning (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Fix typo in comments (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Fix possible memory leak (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Eric Chanudet) [2102378] - scsi: ufs: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Do not report victim error in HCM (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Verify that 'num_inflight_map_req' is non-negative (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Use a correct max multi chunk (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Rewind the read timeout on every read (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Remove redundant initialization of variable 'lba' (Eric Chanudet) [2102378] - scsi: ufshcd: Fix device links when BOOT WLUN fails to probe (Eric Chanudet) [2102378] - scsi: ufs: Fix unsigned int compared with less than zero (Eric Chanudet) [2102378] - scsi: ufs: core: Add lu_enable sysfs node (Eric Chanudet) [2102378] - scsi: ufs: core: Add L2P entry swap quirk for Micron UFS (Eric Chanudet) [2102378] - scsi: ufs: core: Remove redundant call in ufshcd_add_command_trace() (Eric Chanudet) [2102378] - scsi: ufs: Add fault injection support (Eric Chanudet) [2102378] - scsi: ufs: Retry aborted SCSI commands instead of completing these successfully (Eric Chanudet) [2102378] - scsi: ufs: Synchronize SCSI and UFS error handling (Eric Chanudet) [2102378] - scsi: ufs: Request sense data asynchronously (Eric Chanudet) [2102378] - scsi: ufs: Fix the SCSI abort handler (Eric Chanudet) [2102378] - scsi: ufs: Optimize SCSI command processing (Eric Chanudet) [2102378] - scsi: ufs: Optimize serialization of setup_xfer_req() calls (Eric Chanudet) [2102378] - scsi: ufs: Revert "Utilize Transfer Request List Completion Notification Register" (Eric Chanudet) [2102378] - scsi: ufs: Inline ufshcd_outstanding_req_clear() (Eric Chanudet) [2102378] - scsi: ufs: Remove several wmb() calls (Eric Chanudet) [2102378] - scsi: ufs: Improve static type checking for the host controller state (Eric Chanudet) [2102378] - scsi: ufs: Verify UIC locking requirements at runtime (Eric Chanudet) [2102378] - scsi: ufs: Remove ufshcd_valid_tag() (Eric Chanudet) [2102378] - scsi: ufs: Use DECLARE_COMPLETION_ONSTACK() where appropriate (Eric Chanudet) [2102378] - scsi: ufs: Rename the second ufshcd_probe_hba() argument (Eric Chanudet) [2102378] - scsi: ufs: Only include power management code if necessary (Eric Chanudet) [2102378] - scsi: ufs: Reduce power management code duplication (Eric Chanudet) [2102378] - scsi: ufs: Fix memory corruption by ufshcd_read_desc_param() (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Make host mode parameters configurable (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Add support for host control mode (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Do not send umap_all in host control mode (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Limit the number of in-flight map requests (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Add "cold" regions timer (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Add HPB dev reset response (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Region inactivation in host mode (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Make eviction depend on region's reads (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Add reads counter (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Transform set_dirty to iterate_rgn (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Add host control mode support to rsp_upiu (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Cache HPB Control mode on init (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Add HPB 2.0 support (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Prepare HPB read for cached sub-region (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: L2P map management for HPB read (Eric Chanudet) [2102378] - scsi: ufs: ufshpb: Introduce Host Performance Buffer feature (Eric Chanudet) [2102378] - scsi: ufs: Allow async suspend/resume callbacks (Eric Chanudet) [2102378] - scsi: ufs: core: Use scsi_get_lba() to get LBA (Eric Chanudet) [2102378] - scsi: ufs: Refactor ufshcd_is_intr_aggr_allowed() (Eric Chanudet) [2102378] - redhat: config: disable qcom eDP phy driver (Eric Chanudet) [2102384] - phy: qcom-qmp: rename error labels (Eric Chanudet) [2102384] - phy: qcom-qmp: fix pipe-clock imbalance on power-on failure (Eric Chanudet) [2102384] - phy: qcom-qmp: switch to explicit reset helpers (Eric Chanudet) [2102384] - phy: qcom-qmp: fix reset-controller leak on probe errors (Eric Chanudet) [2102384] - phy: qcom-qmp: fix struct clk leak on probe errors (Eric Chanudet) [2102384] - phy: qcom-qmp: fix phy-descriptor kernel-doc typo (Eric Chanudet) [2102384] - phy: qcom-qmp: Add support for SDX65 QMP PHY (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add SDX65 USB PHY binding (Eric Chanudet) [2102384] - phy: qcom-qmp: Add SM6350 UFS PHY support (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add SM6350 UFS PHY bindings (Eric Chanudet) [2102384] - phy: qcom-qmp: add sc8280xp UFS PHY (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: add sc8180x and sc8280xp ufs compatibles (Eric Chanudet) [2102384] - phy: qcom-snps: Add sc8280xp support (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,usb-snps-femto-v2: Add sc8180x and sc8280xp (Eric Chanudet) [2102384] - phy: qcom: Program SSC only if supported by sink (Eric Chanudet) [2102384] - phy: qcom: Add support for eDP PHY on sc7280 (Eric Chanudet) [2102384] - dt-bindings: phy: Add eDP PHY compatible for sc7280 (Eric Chanudet) [2102384] - dt-bindings: phy: convert Qualcomm USB HS phy to yaml (Eric Chanudet) [2102384] - phy: qcom-qusb2: Add compatible for MSM8953 (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qusb2: Document msm8953 compatible (Eric Chanudet) [2102384] - drivers: phy: qcom: ipq806x-usb: conver latch function to pool macro (Eric Chanudet) [2102384] - drivers: phy: qcom: ipq806x-usb: convert to BITFIELD macro (Eric Chanudet) [2102384] - phy: qcom-qmp: Add SM8450 PCIe1 PHY support (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add SM8450 PCIe PHY bindings (Eric Chanudet) [2102384] - phy: qcom-qmp: Add SM8450 PCIe0 PHY support (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add SM8450 PCIe PHY bindings (Eric Chanudet) [2102384] - phy: qcom-qmp: Add SM8450 USB QMP PHYs (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add SM8450 USB3 PHY (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,usb-snps-femto-v2: Add bindings for SM8450 (Eric Chanudet) [2102384] - phy: qcom: use struct_size instead of sizeof (Eric Chanudet) [2102384] - phy: qcom-qmp: Add SM8450 UFS QMP Phy (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add SM8450 UFS phy compatible (Eric Chanudet) [2102384] - phy: qcom: Introduce new eDP PHY driver (Eric Chanudet) [2102384] - dt-bindings: phy: Introduce Qualcomm eDP PHY binding (Eric Chanudet) [2102384] - phy: qualcomm: ipq806x-usb: Fix kernel-doc style (Eric Chanudet) [2102384] - phy: qualcomm: usb-hsic: Fix the kernel-doc warn (Eric Chanudet) [2102384] - phy: qualcomm: qmp: Add missing struct documentation (Eric Chanudet) [2102384] - phy: qcom-snps: Correct the FSEL_MASK (Eric Chanudet) [2102384] - phy: qcom-qmp: another fix for the sc8180x PCIe definition (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: IPQ6018 and IPQ8074 PCIe PHY require no supply (Eric Chanudet) [2102384] - phy: qcom-qusb2: Fix a memory leak on probe (Eric Chanudet) [2102384] - phy: qcom-qmp: Add QCM2290 USB3 PHY support (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add QCM2290 USB3 PHY (Eric Chanudet) [2102384] - phy: qcom-qusb2: Add missing vdd supply (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qusb2: Add missing vdd-supply (Eric Chanudet) [2102384] - dt-bindings: arm: qcom: Drop qcom,mtp (Eric Chanudet) [2102384] - dt-bindings: arm: qcom: Document alcatel,idol347 board (Eric Chanudet) [2102384] - phy: qcom-qmp: Make use of the helper function devm_add_action_or_reset() (Eric Chanudet) [2102384] - phy: qcom-qusb2: Add compatible for QCM2290 (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qusb2: Add compatible for QCM2290 (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Update maintainer email (Eric Chanudet) [2102384] - phy: qcom-qmp: Add support for SM6115 UFS phy (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add SM6115 UFS PHY bindings (Eric Chanudet) [2102384] - phy: qmp: Provide unique clock names for DP clocks (Eric Chanudet) [2102384] - phy: qcom-qmp: add USB3 PHY support for IPQ6018 (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add IPQ6018 USB3 PHY (Eric Chanudet) [2102384] - phy: qcom: qmp: Add SC8180x USB/DP combo (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp-usb3-dp: Add support for sc8180x (Eric Chanudet) [2102384] - phy: qualcomm: phy-qcom-usb-hs: repair non-kernel-doc comment (Eric Chanudet) [2102384] - phy: qcom-qmp: Fix sc8180x PCIe definition (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp-usb3-dp: Add support for SC7280 (Eric Chanudet) [2102384] - phy: qcom-qmp: Add sc8180x PCIe support (Eric Chanudet) [2102384] - dt-bindings: phy: qcom,qmp: Add sc8180x PCIe compatible (Eric Chanudet) [2102384] - clk: qcom: add sc8280xp GCC driver (Adrien Thierry) [2103761] - dt-bindings: clock: Add Qualcomm SC8280XP GCC bindings (Adrien Thierry) [2103761] - redhat: config: qcom_scm download off by default (Eric Chanudet) [2101563] - redhat: config: disable sm8450 by default (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-gpio: Add pm6125 compatible (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom-pmic-gpio: Add pm6125 compatible (Eric Chanudet) [2101563] - pinctrl: qcom: Update clock voting as optional (Eric Chanudet) [2101563] - pinctrl: qcom: Add SC7280 lpass pin configuration (Eric Chanudet) [2101563] - pinctrl: qcom: Extract chip specific LPASS LPI code (Eric Chanudet) [2101563] - pinctrl: qcom: Update lpi pin group custiom functions with framework generic functions (Eric Chanudet) [2101563] - pinctrl: qcom: Update macro name to LPI specific (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Add sc7280 lpass lpi pinctrl bindings (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Update lpass lpi file name to SoC specific (Eric Chanudet) [2101563] - pinctrl: qcom-pmic-gpio: Add support for pmx65 (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom-pmic-gpio: Add pmx65 support (Eric Chanudet) [2101563] - pinctrl: qcom: sm6350: fix order of UFS & SDC pins (Eric Chanudet) [2101563] - pinctrl: qcom: sm8150: Specify PDC map (Eric Chanudet) [2101563] - dt-bindings: qcom,pdc: Add compatible for SM8150 (Eric Chanudet) [2101563] - pinctrl: qcom-pmic-gpio: Add support for pm8450 (Eric Chanudet) [2101563] - pinctrl: qcom: Introduce sc8280xp TLMM driver (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Add sc8280xp TLMM binding (Eric Chanudet) [2101563] - pinctrl: qcom: cleanup comments (Eric Chanudet) [2101563] - pinctrl: qcom: qcm2290: Add GPIO wakeirq map (Eric Chanudet) [2101563] - pinctrl: qcom: print egpio mode in debugfs (Eric Chanudet) [2101563] - pinctrl: qcom: sm8450: Add egpio support (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-mpp: Add PM8226 compatible (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom,pmic-mpp: Document PM8226 compatible (Eric Chanudet) [2101563] - pinctrl: qcom: Return -EINVAL for setting affinity if no IRQ parent (Eric Chanudet) [2101563] - pinctrl: qcom: Add SM8450 pinctrl driver (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Add SM8450 pinctrl bindings (Eric Chanudet) [2101563] - pinctrl: spmi-gpio: Add support for PM2250 (Eric Chanudet) [2101563] - dt-bindings: qcom,pmic-gpio: Add pm2250 compatible string (Eric Chanudet) [2101563] - pinctrl: qcom: sc7280: Add egpio support (Eric Chanudet) [2101563] - pinctrl: qcom: Add egpio feature support (Eric Chanudet) [2101563] - pinctrl: qcom-pmic-gpio: Add support for pm8019 (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom,pmic-gpio: Add compatible for PM8019 (Eric Chanudet) [2101563] - pinctrl: qcom: Add SDX65 pincontrol driver (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Add SDX65 pinctrl bindings (Eric Chanudet) [2101563] - pinctrl: qcom: sm8350: Correct UFS and SDC offsets (Eric Chanudet) [2101563] - pinctrl: qcom: sdm845: Enable dual edge errata (Eric Chanudet) [2101563] - pinctrl: qcom: fix unmet dependencies on GPIOLIB for GPIOLIB_IRQCHIP (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-mpp: add support for hierarchical IRQ chip (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-mpp: hardcode IRQ counts (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom,pmic-mpp: switch to #interrupt-cells (Eric Chanudet) [2101563] - pinctrl: qcom: ssbi-mpp: add support for hierarchical IRQ chip (Eric Chanudet) [2101563] - pinctrl: qcom: ssbi-mpp: hardcode IRQ counts (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom,pmic-mpp: Convert qcom pmic mpp bindings to YAML (Eric Chanudet) [2101563] - iommu/arm: fix ARM_SMMU_QCOM compilation (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-gpio: Add compatible for PM6350 (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom,pmic-gpio: Add compatible for PM6350 (Eric Chanudet) [2101563] - qcom_scm: hide Kconfig symbol (Eric Chanudet) [2101563] - firmware: include drivers/firmware/Kconfig unconditionally (Eric Chanudet) [2101563] - firmware: qcom_scm: QCOM_SCM should depend on ARCH_QCOM (Eric Chanudet) [2101563] - firmware: qcom_scm: Allow qcom_scm driver to be loadable as a permenent module (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-gpio: add support to enable/disable output (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom-pmic-gpio: Add output-{enable,disable} properties (Eric Chanudet) [2101563] - pinctrl: qcom: Add QCM2290 pinctrl driver (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Add QCM2290 pinctrl bindings (Eric Chanudet) [2101563] - pinctrl: qcom: Add SM6350 pinctrl driver (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Add SM6350 pinctrl bindings (Eric Chanudet) [2101563] - pinctrl: qcom: sc7280: Add PM suspend callbacks (Eric Chanudet) [2101563] - pinctrl: qcom: msm8226: fill in more functions (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-gpio: correct parent irqspec translation (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom-pmic-gpio: Remove the interrupts property (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom-pmic-gpio: Convert qcom pmic gpio bindings to YAML (Eric Chanudet) [2101563] - pinctrl: qcom: spmi-gpio: Add pmc8180 & pmc8180c (Eric Chanudet) [2101563] - drivers: qcom: pinctrl: Add pinctrl driver for sm6115 (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom: Add SM6115 pinctrl bindings (Eric Chanudet) [2101563] - pinctrl: qcom/pinctrl-spmi-gpio: Add compatible for pmic-gpio on SA8155p-adp (Eric Chanudet) [2101563] - pinctrl: qcom/pinctrl-spmi-gpio: Arrange compatibles alphabetically (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom,pmic-gpio: Add compatible for SA8155p-adp (Eric Chanudet) [2101563] - dt-bindings: pinctrl: qcom,pmic-gpio: Arrange compatibles alphabetically (Eric Chanudet) [2101563] - pinctrl: qcom: Add MDM9607 pinctrl driver (Eric Chanudet) [2101563] Resolves: rhbz#2117020, rhbz#2108804, rhbz#2108329, rhbz#2106892, rhbz#2105458, rhbz#2105057, rhbz#2102378, rhbz#2102384, rhbz#2103761, rhbz#2101563 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-24 21:59:46 +00:00
# CONFIG_PHY_QCOM_EDP is not set
kernel-5.14.0-320.el9 * Tue May 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-320.el9] - redhat/configs: add missing Qualcomm USB PHY configs (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p-ride: enable USB nodes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add USB nodes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add the sdam_0 node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: remove the PON modes (Adrien Thierry) [2208304] - phy: qcom-qmp: Add SA8775P USB3 UNI phy (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-qmp-ufs: add definitions for sa8775p (Adrien Thierry) [2208304] - pinctrl: qcom: spmi-gpio: add support for pmm8654au-gpio (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: drop sdm845_qhp_pcie_rx_tbl (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: sc8180x PCIe PHY has 2 lanes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p-ride: add PMIC regulators (Adrien Thierry) [2208304] - regulator: qcom-rpmh: add support for pmm8654au regulators (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p-ride: set gpio-line-names for PMIC GPIOs (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add PMIC GPIO controller nodes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add thermal zones (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add support for the pmm8654 RESIN input (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add the power key (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add the Power On device node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add support for the on-board PMICs (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add the spmi node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add the pdc node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: sort soc nodes by reg property (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pad reg properties to 8 digits (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add SM7150 support (Adrien Thierry) [2208304] - phy: qcom-qmp: Add support for SDX65 QMP PCIe PHY (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: use qmp_combo_offsets_v3 instead of _v6 (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: fix v3 offsets table (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-ipq806x-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-eusb2-repeater: Convert to platform remove callback returning void (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-apq8064-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: Add RC init sequence for SDX55 (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: Split out EP related init sequence for SDX55 (Adrien Thierry) [2208304] - phy: qcom: phy-qcom-snps-eusb2: Add support for eUSB2 repeater (Adrien Thierry) [2208304] - phy: qcom: Add QCOM SNPS eUSB2 repeater driver (Adrien Thierry) [2208304] - phy: qcom: snps-eusb2: Add missing headers (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: Add support for SM8550 (Adrien Thierry) [2208304] - phy: qcom-qmp: Add v6 DP register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-usb: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom: Add QCOM SNPS eUSB2 driver (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: Add support for SM8550 g3x2 and g4x2 PCIEs (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-lane-shared: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-txrx: Add v6.20 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-pcie: Add v6.20 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-pcie: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs: Add v6.20 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom: com-qmp-combo: add SM8350 & SM8450 support (Adrien Thierry) [2208304] - phy: qcom-qmp: Introduce Kconfig symbols for discrete drivers (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: Add config for SM6350 (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add SM8550 support (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-ufs: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-txrx-ufs: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-txrx: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-com: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: fix the regs layout table for sdx65 uniphy PHY (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: fix the regs layout table for sm8450 gen3x1 PHY (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304] - phy: qualcomm: qmp-ufs: rename qmp_ufs_offsets_v5 to qmp_ufs_offsets (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SC8280XP SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8450 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8350 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Avoid setting HS G3 specific registers (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8250 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8150 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Move HS Rate B register setting to tbls_hs_b (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add support for configuring PHY in HS G4 mode (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add support for configuring PHY in HS Series B mode (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Move register settings to qmp_phy_cfg_tbls struct (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Rename MSM8996 PHY definitions (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Remove _tbl suffix from qmp_phy_init_tbl definitions (Adrien Thierry) [2208304] - phy: qcom-qmp: Add SM6125 UFS PHY support (Adrien Thierry) [2208304] - phy: Revert "phy: qualcomm: usb28nm: Add MDM9607 init sequence" (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: Add Qualcomm SM6115 / SM4250 USB3 PHY support (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: Fix QSERDES_V3_RX_UCDR_PI_CONTROLS init val (Adrien Thierry) [2208304] - phy: qcom-qmp: move type-specific headers to particular driver (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: fix regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: remove QPHY_PCS_MISC_TYPEC_CTRL reg (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: rename regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: split UFS-specific v2 PCS registers to a separate header (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie-msm8996: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: rename regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp: remove duplicate v5_5nm register definitions (Adrien Thierry) [2208304] - phy: qcom-qmp: fix typo in QSERDES_COM_CMN_RSVD5 value (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: provide symbol clocks (Adrien Thierry) [2208304] - phy: qualcomm: pcie2: register as clock provider (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: add support for sm8350 platform (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: rename the sm8450 gen3 PHY config tables (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: split sm8450 gen3 PHY config tables (Adrien Thierry) [2208304] - clk: fixed-rate: add devm_clk_hw_register_fixed_rate (Adrien Thierry) [2208304] - clk: asm9260: use parent index to link the reference clock (Adrien Thierry) [2208304] - netfilter: nf_dup_netdev: add and use recursion counter (Eric Garver) [1724795] - netfilter: nf_dup_netdev: do not push mac header a second time (Eric Garver) [1724795] - netfilter: egress: silence egress hook lockdep splats (Eric Garver) [1724795] - netfilter: nft_fwd_netdev: Support egress hook (Eric Garver) [1724795] - netfilter: nft_meta: add NFT_META_IFTYPE (Eric Garver) [1724795] - selftests/bpf: Do not use sign-file as testcase (Alex Gladkov) [2184616] - x86/kprobes: Fix kprobes instruction boudary check with CONFIG_RETHUNK (Oleg Nesterov) [2190456] - kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517] - x86/kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517] - netlabel: fix out-of-bounds memory accesses (Juraj Marcin) [2181134] - lsm: Clarify documentation of vm_enough_memory hook (Juraj Marcin) [2181134] - lsm,fs: fix vfs_getxattr_alloc() return type and caller error paths (Juraj Marcin) [2181134] - LSM: Better reporting of actual LSMs at boot (Juraj Marcin) [2181134] - selinux: remove the sidtab context conversion indirect calls (Juraj Marcin) [2181134] - audit: Fix some kernel-doc warnings (Juraj Marcin) [2181134] - lsm: remove obsoleted comments for security hooks (Juraj Marcin) [2181134] - selinux: enable use of both GFP_KERNEL and GFP_ATOMIC in convert_context() (Juraj Marcin) [2181134] - selinux: remove the unneeded result variable (Juraj Marcin) [2181134] - ->getprocattr(): attribute name is const char *, TYVM... (Juraj Marcin) [2181134] - selinux: declare read-only parameters const (Juraj Marcin) [2181134] - selinux: use int arrays for boolean values (Juraj Marcin) [2181134] - selinux: remove an unneeded variable in sel_make_class_dir_entries() (Juraj Marcin) [2181134] - security: pass down mount idmapping to setattr hook (Juraj Marcin) [2181134] - selinux: selinux_add_opt() callers free memory (Juraj Marcin) [2181134] - selinux: Add boundary check in put_entry() (Juraj Marcin) [2181134] - selinux: fix memleak in security_read_state_kernel() (Juraj Marcin) [2181134] - selinux: fix typos in comments (Juraj Marcin) [2181134] - selinux: drop unnecessary NULL check (Juraj Marcin) [2181134] - selinux: add __randomize_layout to selinux_audit_data (Juraj Marcin) [2181134] - selinux: free contexts previously transferred in selinux_add_opt() (Juraj Marcin) [2181134 2187402] - security: declare member holding string literal const (Juraj Marcin) [2181134] - selinux: fix indentation level of mls_ops block (Juraj Marcin) [2181134] - selinux: include necessary headers in headers (Juraj Marcin) [2181134] - selinux: avoid extra semicolon (Juraj Marcin) [2181134] - selinux: update parameter documentation (Juraj Marcin) [2181134] - selinux: resolve checkpatch errors (Juraj Marcin) [2181134] - security: don't treat structure as an array of struct hlist_head (Juraj Marcin) [2181134] - selinux: Remove redundant assignments (Juraj Marcin) [2181134] - selinux: fix bad cleanup on error in hashtab_duplicate() (Juraj Marcin) [2181134] - tipc: check the bearer min mtu properly when setting it by netlink (Xin Long) [2185140] - tipc: do not update mtu if msg_max is too small in mtu negotiation (Xin Long) [2185140] - tipc: add tipc_bearer_min_mtu to calculate min mtu (Xin Long) [2185140] Resolves: rhbz#1724795, rhbz#2181134, rhbz#2184616, rhbz#2185140, rhbz#2187402, rhbz#2190456, rhbz#2208304, RHEL-517 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-30 05:14:47 +00:00
# CONFIG_PHY_QCOM_EUSB2_REPEATER is not set
# CONFIG_PHY_QCOM_IPQ4019_USB is not set
# CONFIG_PHY_QCOM_IPQ806X_USB is not set
# CONFIG_PHY_QCOM_QMP is not set
# CONFIG_PHY_QCOM_QUSB2 is not set
kernel-5.14.0-349.el9 * Wed Aug 02 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-349.el9] - x86/speculation: Allow enabling STIBP with legacy IBRS (Ricardo Robaina) [2187269] {CVE-2023-1998} - gfs2: retry interrupted internal reads (Andreas Gruenbacher) [2192392] - gfs2: ignore rindex_update failure in dinode_dealloc (Bob Peterson) [2192392] - selinux: make labeled NFS work when mounted before policy load (Juraj Marcin) [2218207] - redhat/configs: Disable new PHY_QCOM_SGMII_ETH config (Andrew Halaney) [RHEL-799] - arm64: dts: qcom: sa8775p-ride: enable ethernet0 (Andrew Halaney) [RHEL-799] - arm64: dts: qcom: sa8775p-ride: add pin functions for ethernet0 (Andrew Halaney) [RHEL-799] - arm64: dts: qcom: sa8775p-ride: enable the SerDes PHY (Andrew Halaney) [RHEL-799] - arm64: dts: qcom: sa8775p: add the first 1Gb ethernet interface (Andrew Halaney) [RHEL-799] - arm64: dts: qcom: sa8775p: add the SGMII PHY node (Andrew Halaney) [RHEL-799] - phy: qcom: add the SGMII SerDes PHY driver (Andrew Halaney) [RHEL-799] - phy: qualcomm: fix indentation in Makefile (Andrew Halaney) [RHEL-799] - net: stmmac: fix double serdes powerdown (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: add support for emac4 on sa8775p platforms (Andrew Halaney) [RHEL-799] - net: stmmac: add new switch to struct plat_stmmacenet_data (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: add support for SGMII (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: prepare the driver for more PHY modes (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: add support for the phyaux clock (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: add support for the optional serdes phy (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: remove stray space (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: add a newline between headers (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: add missing include (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: use a helper variable for &pdev->dev (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: tweak the order of local variables (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: rename a label in probe() (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: shrink clock code with devres (Andrew Halaney) [RHEL-799] - net: stmmac: dwmac-qcom-ethqos: fix a regression on EMAC < 3 (Andrew Halaney) [RHEL-799] - Revert "NFSv4: Retry LOCK on OLD_STATEID during delegation return" (Benjamin Coddington) [2217659] - ACPI: sleep: Avoid breaking S3 wakeup due to might_sleep() (Mark Langsdorf) [2215972] - fs: dlm: fix mismatch of plock results from userspace (Alexander Aring) [2192751] - fs: dlm: make F_SETLK use unkillable wait_event (Alexander Aring) [2204519] - fs: dlm: interrupt posix locks only when process is killed (Alexander Aring) [2192746] - fs: dlm: fix cleanup pending ops when interrupted (Alexander Aring) [2192744] - fs: dlm: return positive pid value for F_GETLK (Alexander Aring) [2192747] - vmstat: skip periodic vmstat update for isolated CPUs (Marcelo Tosatti) [2118482] - memcg: do not drain charge pcp caches on remote isolated cpus (Marcelo Tosatti) [2118482] - sched/isolation: add cpu_is_isolated() API (Marcelo Tosatti) [2118482] Resolves: rhbz#2118482, rhbz#2187269, rhbz#2192392, rhbz#2192744, rhbz#2192746, rhbz#2192747, rhbz#2192751, rhbz#2204519, rhbz#2215972, rhbz#2217659, rhbz#2218207, RHEL-799 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-02 09:37:39 +00:00
# CONFIG_PHY_QCOM_SGMII_ETH is not set
kernel-5.14.0-320.el9 * Tue May 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-320.el9] - redhat/configs: add missing Qualcomm USB PHY configs (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p-ride: enable USB nodes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add USB nodes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add the sdam_0 node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: remove the PON modes (Adrien Thierry) [2208304] - phy: qcom-qmp: Add SA8775P USB3 UNI phy (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-qmp-ufs: add definitions for sa8775p (Adrien Thierry) [2208304] - pinctrl: qcom: spmi-gpio: add support for pmm8654au-gpio (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: drop sdm845_qhp_pcie_rx_tbl (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: sc8180x PCIe PHY has 2 lanes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p-ride: add PMIC regulators (Adrien Thierry) [2208304] - regulator: qcom-rpmh: add support for pmm8654au regulators (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p-ride: set gpio-line-names for PMIC GPIOs (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add PMIC GPIO controller nodes (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add thermal zones (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add support for the pmm8654 RESIN input (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pmic: add the power key (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add the Power On device node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add support for the on-board PMICs (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add the spmi node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: add the pdc node (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: sort soc nodes by reg property (Adrien Thierry) [2208304] - arm64: dts: qcom: sa8775p: pad reg properties to 8 digits (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add SM7150 support (Adrien Thierry) [2208304] - phy: qcom-qmp: Add support for SDX65 QMP PCIe PHY (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: use qmp_combo_offsets_v3 instead of _v6 (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: fix v3 offsets table (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-ipq806x-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-eusb2-repeater: Convert to platform remove callback returning void (Adrien Thierry) [2208304] - phy: qualcomm: phy-qcom-apq8064-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: Add RC init sequence for SDX55 (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: Split out EP related init sequence for SDX55 (Adrien Thierry) [2208304] - phy: qcom: phy-qcom-snps-eusb2: Add support for eUSB2 repeater (Adrien Thierry) [2208304] - phy: qcom: Add QCOM SNPS eUSB2 repeater driver (Adrien Thierry) [2208304] - phy: qcom: snps-eusb2: Add missing headers (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: Add support for SM8550 (Adrien Thierry) [2208304] - phy: qcom-qmp: Add v6 DP register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-usb: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom: Add QCOM SNPS eUSB2 driver (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: Add support for SM8550 g3x2 and g4x2 PCIEs (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-lane-shared: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-txrx: Add v6.20 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-pcie: Add v6.20 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-pcie: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs: Add v6.20 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom: com-qmp-combo: add SM8350 & SM8450 support (Adrien Thierry) [2208304] - phy: qcom-qmp: Introduce Kconfig symbols for discrete drivers (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: Add config for SM6350 (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add SM8550 support (Adrien Thierry) [2208304] - phy: qcom-qmp: pcs-ufs: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-txrx-ufs: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-txrx: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp: qserdes-com: Add v6 register offsets (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: fix the regs layout table for sdx65 uniphy PHY (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: fix the regs layout table for sm8450 gen3x1 PHY (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-combo: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304] - phy: qualcomm: qmp-ufs: rename qmp_ufs_offsets_v5 to qmp_ufs_offsets (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SC8280XP SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8450 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8350 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Avoid setting HS G3 specific registers (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8250 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add HS G4 mode support to SM8150 SoC (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Move HS Rate B register setting to tbls_hs_b (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add support for configuring PHY in HS G4 mode (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Add support for configuring PHY in HS Series B mode (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Move register settings to qmp_phy_cfg_tbls struct (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Rename MSM8996 PHY definitions (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: Remove _tbl suffix from qmp_phy_init_tbl definitions (Adrien Thierry) [2208304] - phy: qcom-qmp: Add SM6125 UFS PHY support (Adrien Thierry) [2208304] - phy: Revert "phy: qualcomm: usb28nm: Add MDM9607 init sequence" (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: Add Qualcomm SM6115 / SM4250 USB3 PHY support (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: Fix QSERDES_V3_RX_UCDR_PI_CONTROLS init val (Adrien Thierry) [2208304] - phy: qcom-qmp: move type-specific headers to particular driver (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: fix regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: remove QPHY_PCS_MISC_TYPEC_CTRL reg (Adrien Thierry) [2208304] - phy: qcom-qmp-usb: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: rename regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: split UFS-specific v2 PCS registers to a separate header (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie-msm8996: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: rename regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: rework regs layout arrays (Adrien Thierry) [2208304] - phy: qcom-qmp: remove duplicate v5_5nm register definitions (Adrien Thierry) [2208304] - phy: qcom-qmp: fix typo in QSERDES_COM_CMN_RSVD5 value (Adrien Thierry) [2208304] - phy: qcom-qmp-ufs: provide symbol clocks (Adrien Thierry) [2208304] - phy: qualcomm: pcie2: register as clock provider (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: add support for sm8350 platform (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: rename the sm8450 gen3 PHY config tables (Adrien Thierry) [2208304] - phy: qcom-qmp-pcie: split sm8450 gen3 PHY config tables (Adrien Thierry) [2208304] - clk: fixed-rate: add devm_clk_hw_register_fixed_rate (Adrien Thierry) [2208304] - clk: asm9260: use parent index to link the reference clock (Adrien Thierry) [2208304] - netfilter: nf_dup_netdev: add and use recursion counter (Eric Garver) [1724795] - netfilter: nf_dup_netdev: do not push mac header a second time (Eric Garver) [1724795] - netfilter: egress: silence egress hook lockdep splats (Eric Garver) [1724795] - netfilter: nft_fwd_netdev: Support egress hook (Eric Garver) [1724795] - netfilter: nft_meta: add NFT_META_IFTYPE (Eric Garver) [1724795] - selftests/bpf: Do not use sign-file as testcase (Alex Gladkov) [2184616] - x86/kprobes: Fix kprobes instruction boudary check with CONFIG_RETHUNK (Oleg Nesterov) [2190456] - kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517] - x86/kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517] - netlabel: fix out-of-bounds memory accesses (Juraj Marcin) [2181134] - lsm: Clarify documentation of vm_enough_memory hook (Juraj Marcin) [2181134] - lsm,fs: fix vfs_getxattr_alloc() return type and caller error paths (Juraj Marcin) [2181134] - LSM: Better reporting of actual LSMs at boot (Juraj Marcin) [2181134] - selinux: remove the sidtab context conversion indirect calls (Juraj Marcin) [2181134] - audit: Fix some kernel-doc warnings (Juraj Marcin) [2181134] - lsm: remove obsoleted comments for security hooks (Juraj Marcin) [2181134] - selinux: enable use of both GFP_KERNEL and GFP_ATOMIC in convert_context() (Juraj Marcin) [2181134] - selinux: remove the unneeded result variable (Juraj Marcin) [2181134] - ->getprocattr(): attribute name is const char *, TYVM... (Juraj Marcin) [2181134] - selinux: declare read-only parameters const (Juraj Marcin) [2181134] - selinux: use int arrays for boolean values (Juraj Marcin) [2181134] - selinux: remove an unneeded variable in sel_make_class_dir_entries() (Juraj Marcin) [2181134] - security: pass down mount idmapping to setattr hook (Juraj Marcin) [2181134] - selinux: selinux_add_opt() callers free memory (Juraj Marcin) [2181134] - selinux: Add boundary check in put_entry() (Juraj Marcin) [2181134] - selinux: fix memleak in security_read_state_kernel() (Juraj Marcin) [2181134] - selinux: fix typos in comments (Juraj Marcin) [2181134] - selinux: drop unnecessary NULL check (Juraj Marcin) [2181134] - selinux: add __randomize_layout to selinux_audit_data (Juraj Marcin) [2181134] - selinux: free contexts previously transferred in selinux_add_opt() (Juraj Marcin) [2181134 2187402] - security: declare member holding string literal const (Juraj Marcin) [2181134] - selinux: fix indentation level of mls_ops block (Juraj Marcin) [2181134] - selinux: include necessary headers in headers (Juraj Marcin) [2181134] - selinux: avoid extra semicolon (Juraj Marcin) [2181134] - selinux: update parameter documentation (Juraj Marcin) [2181134] - selinux: resolve checkpatch errors (Juraj Marcin) [2181134] - security: don't treat structure as an array of struct hlist_head (Juraj Marcin) [2181134] - selinux: Remove redundant assignments (Juraj Marcin) [2181134] - selinux: fix bad cleanup on error in hashtab_duplicate() (Juraj Marcin) [2181134] - tipc: check the bearer min mtu properly when setting it by netlink (Xin Long) [2185140] - tipc: do not update mtu if msg_max is too small in mtu negotiation (Xin Long) [2185140] - tipc: add tipc_bearer_min_mtu to calculate min mtu (Xin Long) [2185140] Resolves: rhbz#1724795, rhbz#2181134, rhbz#2184616, rhbz#2185140, rhbz#2187402, rhbz#2190456, rhbz#2208304, RHEL-517 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-30 05:14:47 +00:00
# CONFIG_PHY_QCOM_SNPS_EUSB2 is not set
# CONFIG_PHY_QCOM_USB_HS_28NM is not set
# CONFIG_PHY_QCOM_USB_HSIC is not set
# CONFIG_PHY_QCOM_USB_HS is not set
# CONFIG_PHY_QCOM_USB_SNPS_FEMTO_V2 is not set
# CONFIG_PHY_QCOM_USB_SS is not set
CONFIG_PHYSICAL_ALIGN=0x200000
# CONFIG_PHY_TUSB1210 is not set
CONFIG_PID_NS=y
CONFIG_PINCTRL_ALDERLAKE=m
# CONFIG_PINCTRL_BROXTON is not set
# CONFIG_PINCTRL_CHERRYVIEW is not set
CONFIG_PINCTRL_ELKHARTLAKE=m
CONFIG_PINCTRL_EMMITSBURG=m
CONFIG_PINCTRL_ICELAKE=m
# CONFIG_PINCTRL is not set
# CONFIG_PINCTRL_JASPERLAKE is not set
CONFIG_PINCTRL_LAKEFIELD=m
# CONFIG_PINCTRL_LPASS_LPI is not set
# CONFIG_PINCTRL_LYNXPOINT is not set
# CONFIG_PINCTRL_MCP23S08 is not set
# CONFIG_PINCTRL_MICROCHIP_SGPIO is not set
# CONFIG_PINCTRL_MSM is not set
# CONFIG_PINCTRL_OCELOT is not set
# CONFIG_PINCTRL_STMFX is not set
# CONFIG_PINCTRL_SX150X is not set
# CONFIG_PING is not set
# CONFIG_PKCS7_TEST_KEY is not set
CONFIG_PKCS8_PRIVATE_KEY_PARSER=m
# CONFIG_PL320_MBOX is not set
# CONFIG_PLATFORM_MHU is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_PLAYSTATION_FF=y
# CONFIG_PLIP is not set
# CONFIG_PLX_DMA is not set
CONFIG_PM_ADVANCED_DEBUG=y
# CONFIG_PM_AUTOSLEEP is not set
CONFIG_PMBUS=m
CONFIG_PM_DEBUG=y
# CONFIG_PM_DEVFREQ is not set
# CONFIG_PMIC_ADP5520 is not set
# CONFIG_PMIC_DA903X is not set
CONFIG_PM_STD_PARTITION=""
CONFIG_PM_TEST_SUSPEND=y
CONFIG_PM_TRACE_RTC=y
# CONFIG_PMU_SYSFS is not set
# CONFIG_PM_WAKELOCKS is not set
CONFIG_PM=y
CONFIG_PNFS_BLOCK=m
CONFIG_PNFS_FILE_LAYOUT=m
CONFIG_POSIX_MQUEUE=y
# CONFIG_POWER7_CPU is not set
# CONFIG_POWER8_CPU is not set
CONFIG_POWER9_CPU=y
# CONFIG_POWERCAP is not set
CONFIG_POWERNV_CPUFREQ=y
CONFIG_POWERNV_CPUIDLE=y
CONFIG_POWERNV_OP_PANEL=m
# CONFIG_POWER_RESET_BRCMSTB is not set
# CONFIG_POWER_RESET_GPIO_RESTART is not set
CONFIG_POWER_RESET_GPIO=y
# CONFIG_POWER_RESET_LTC2952 is not set
# CONFIG_POWER_RESET_RESTART is not set
# CONFIG_POWER_RESET_SYSCON is not set
# CONFIG_POWER_RESET_SYSCON_POWEROFF is not set
# CONFIG_POWER_RESET_VEXPRESS is not set
CONFIG_POWER_RESET=y
# CONFIG_POWER_SUPPLY_DEBUG is not set
CONFIG_POWER_SUPPLY_HWMON=y
CONFIG_POWER_SUPPLY=y
# CONFIG_PPC_4K_PAGES is not set
CONFIG_PPC_64K_PAGES=y
CONFIG_PPC64_SUPPORTS_MEMORY_FAILURE=y
CONFIG_PPC64=y
# CONFIG_PPC_BOOK3E_64 is not set
CONFIG_PPC_BOOK3S_64=y
CONFIG_PPC_DENORMALISATION=y
CONFIG_PPC_DISABLE_WERROR=y
CONFIG_PPC_DT_CPU_FTRS=y
# CONFIG_PPC_EARLY_DEBUG is not set
CONFIG_PPC_EMULATED_STATS=y
# CONFIG_PPC_EPAPR_HV_BYTECHAN is not set
# CONFIG_PPC_FAST_ENDIAN_SWITCH is not set
# CONFIG_PPC_IRQ_SOFT_MASK_DEBUG is not set
CONFIG_PPC_KUAP_DEBUG=y
CONFIG_PPC_KUAP=y
CONFIG_PPC_KUEP=y
CONFIG_PPC_MEM_KEYS=y
# CONFIG_PPC_MEMTRACE is not set
CONFIG_PPC_OF_BOOT_TRAMPOLINE=y
CONFIG_PPC_POWERNV=y
# CONFIG_PPC_PROT_SAO_LPAR is not set
CONFIG_PPC_PSERIES=y
# CONFIG_PPC_PTDUMP is not set
CONFIG_PPC_QUEUED_SPINLOCKS=y
CONFIG_PPC_RADIX_MMU_DEFAULT=y
CONFIG_PPC_RADIX_MMU=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_PPC_RFI_SRR_DEBUG is not set
CONFIG_PPC_RTAS_FILTER=y
CONFIG_PPC_SECURE_BOOT=y
CONFIG_PPC_SECVAR_SYSFS=y
CONFIG_PPC_SMLPAR=y
CONFIG_PPC_SPLPAR=y
CONFIG_PPC_SUBPAGE_PROT=y
CONFIG_PPC_SVM=y
CONFIG_PPC_TRANSACTIONAL_MEM=y
CONFIG_PPC_UV=y
CONFIG_PPC_VAS=y
CONFIG_PPC_XIVE_NATIVE=y
CONFIG_PPC_XIVE=y
CONFIG_PPC=y
CONFIG_PPDEV=m
CONFIG_PPP_ASYNC=m
CONFIG_PPP_BSDCOMP=m
CONFIG_PPP_DEFLATE=m
CONFIG_PPP_FILTER=y
CONFIG_PPP=m
CONFIG_PPP_MPPE=m
CONFIG_PPP_MULTILINK=y
CONFIG_PPPOATM=m
CONFIG_PPPOE=m
CONFIG_PPPOL2TP=m
CONFIG_PPP_SYNC_TTY=m
CONFIG_PPS_CLIENT_GPIO=m
# CONFIG_PPS_CLIENT_KTIMER is not set
CONFIG_PPS_CLIENT_LDISC=m
# CONFIG_PPS_DEBUG is not set
CONFIG_PPS=y
CONFIG_PPTP=m
kernel-5.14.0-27.el9 * Tue Dec 07 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-27.el9] - x86: change default to spec_store_bypass_disable=prctl spectre_v2_user=prctl (Wander Lairson Costa) [2002637] - Enable PREEMPT_DYNAMIC for all but s390x (Phil Auld) [2019472] - preempt: Restore preemption model selection configs (Phil Auld) [2019472] - sched: Provide Kconfig support for default dynamic preempt mode (Phil Auld) [2019472] - x86/sgx: Add TAINT_TECH_PREVIEW for virtual EPC (Wander Lairson Costa) [2025959] - x86/sgx: mark tech preview (Wander Lairson Costa) [2025959] - ipv6: When forwarding count rx stats on the orig netdev (Hangbin Liu) [2025457] - ipv6: make exception cache less predictible (Hangbin Liu) [2025457] - icmp: fix icmp_ext_echo_iio parsing in icmp_build_probe (Guillaume Nault) [2024572] - net: prefer socket bound to interface when not in VRF (Guillaume Nault) [2024572] - net: ipv4: Fix rtnexthop len when RTA_FLOW is present (Guillaume Nault) [2024572] - nexthop: Fix memory leaks in nexthop notification chain listeners (Guillaume Nault) [2024572] - nexthop: Fix division by zero while replacing a resilient group (Guillaume Nault) [2024572] - ipv4: fix endianness issue in inet_rtm_getroute_build_skb() (Guillaume Nault) [2024572] - crypto: ccp - Make use of the helper macro kthread_run() (Vladis Dronov) [1997595] - crypto: ccp - Fix whitespace in sev_cmd_buffer_len() (Vladis Dronov) [1997595] - crypto: ccp - fix resource leaks in ccp_run_aes_gcm_cmd() (Vladis Dronov) [1997595] {CVE-2021-3744 CVE-2021-3764} - net/l2tp: Fix reference count leak in l2tp_udp_recv_core (Guillaume Nault) [2023271] - scsi: megaraid: Clean up some inconsistent indenting (Tomas Henzl) [1879402] - scsi: megaraid: Fix Coccinelle warning (Tomas Henzl) [1879402] - scsi: megaraid_sas: Driver version update to 07.719.03.00-rc1 (Tomas Henzl) [1879402] - scsi: megaraid_sas: Add helper functions for irq_context (Tomas Henzl) [1879402] - scsi: megaraid_sas: Fix concurrent access to ISR between IRQ polling and real interrupt (Tomas Henzl) [1879402] - tpm: ibmvtpm: Avoid error message when process gets signal while waiting (Štěpán Horáček) [1983089] - char: tpm: cr50_i2c: convert to new probe interface (Štěpán Horáček) [1983089] - char: tpm: Kconfig: remove bad i2c cr50 select (Štěpán Horáček) [1983089] Resolves: rhbz#1879402, rhbz#1983089, rhbz#1997595, rhbz#2002637, rhbz#2019472, rhbz#2023271, rhbz#2024572, rhbz#2025457, rhbz#2025959 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-07 23:42:02 +00:00
CONFIG_PREEMPT_DYNAMIC=y
# CONFIG_PREEMPTIRQ_DELAY_TEST is not set
# CONFIG_PREEMPT is not set
# CONFIG_PREEMPT_NONE is not set
CONFIG_PREEMPT_NOTIFIERS=y
kernel-5.14.0-27.el9 * Tue Dec 07 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-27.el9] - x86: change default to spec_store_bypass_disable=prctl spectre_v2_user=prctl (Wander Lairson Costa) [2002637] - Enable PREEMPT_DYNAMIC for all but s390x (Phil Auld) [2019472] - preempt: Restore preemption model selection configs (Phil Auld) [2019472] - sched: Provide Kconfig support for default dynamic preempt mode (Phil Auld) [2019472] - x86/sgx: Add TAINT_TECH_PREVIEW for virtual EPC (Wander Lairson Costa) [2025959] - x86/sgx: mark tech preview (Wander Lairson Costa) [2025959] - ipv6: When forwarding count rx stats on the orig netdev (Hangbin Liu) [2025457] - ipv6: make exception cache less predictible (Hangbin Liu) [2025457] - icmp: fix icmp_ext_echo_iio parsing in icmp_build_probe (Guillaume Nault) [2024572] - net: prefer socket bound to interface when not in VRF (Guillaume Nault) [2024572] - net: ipv4: Fix rtnexthop len when RTA_FLOW is present (Guillaume Nault) [2024572] - nexthop: Fix memory leaks in nexthop notification chain listeners (Guillaume Nault) [2024572] - nexthop: Fix division by zero while replacing a resilient group (Guillaume Nault) [2024572] - ipv4: fix endianness issue in inet_rtm_getroute_build_skb() (Guillaume Nault) [2024572] - crypto: ccp - Make use of the helper macro kthread_run() (Vladis Dronov) [1997595] - crypto: ccp - Fix whitespace in sev_cmd_buffer_len() (Vladis Dronov) [1997595] - crypto: ccp - fix resource leaks in ccp_run_aes_gcm_cmd() (Vladis Dronov) [1997595] {CVE-2021-3744 CVE-2021-3764} - net/l2tp: Fix reference count leak in l2tp_udp_recv_core (Guillaume Nault) [2023271] - scsi: megaraid: Clean up some inconsistent indenting (Tomas Henzl) [1879402] - scsi: megaraid: Fix Coccinelle warning (Tomas Henzl) [1879402] - scsi: megaraid_sas: Driver version update to 07.719.03.00-rc1 (Tomas Henzl) [1879402] - scsi: megaraid_sas: Add helper functions for irq_context (Tomas Henzl) [1879402] - scsi: megaraid_sas: Fix concurrent access to ISR between IRQ polling and real interrupt (Tomas Henzl) [1879402] - tpm: ibmvtpm: Avoid error message when process gets signal while waiting (Štěpán Horáček) [1983089] - char: tpm: cr50_i2c: convert to new probe interface (Štěpán Horáček) [1983089] - char: tpm: Kconfig: remove bad i2c cr50 select (Štěpán Horáček) [1983089] Resolves: rhbz#1879402, rhbz#1983089, rhbz#1997595, rhbz#2002637, rhbz#2019472, rhbz#2023271, rhbz#2024572, rhbz#2025457, rhbz#2025959 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-07 23:42:02 +00:00
# CONFIG_PREEMPT_TRACER is not set
CONFIG_PREEMPT_VOLUNTARY=y
kernel-5.14.0-146.el9 * Tue Aug 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-146.el9] - cpufreq: fix memory leak in sun50i_cpufreq_nvmem_probe (Mark Langsdorf) [2072976] - cpufreq: powernow-k8: Re-order the init checks (Mark Langsdorf) [2072976] - cpufreq: amd-pstate: Add more tracepoint for AMD P-State module (Mark Langsdorf) [2072976] - cpufreq: CPPC: Fix performance/frequency conversion (Mark Langsdorf) [2072976] - cpufreq: unify show() and store() naming and use __ATTR_XX (Mark Langsdorf) [2072976] - cpufreq: longhaul: Replace acpi_bus_get_device() (Mark Langsdorf) [2072976] - cpufreq: Move to_gov_attr_set() to cpufreq.h (Mark Langsdorf) [2072976] - cpufreq: use default_groups in kobj_type (Mark Langsdorf) [2072976] - cpufreq: Fix initialization of min and max frequency QoS requests (Mark Langsdorf) [2072976] - cpufreq: Fix a comment in cpufreq_policy_free (Mark Langsdorf) [2072976] - cpufreq: Fix get_cpu_device() failure in add_cpu_dev_symlink() (Mark Langsdorf) [2072976] - cpufreq: Use CPUFREQ_RELATION_E in DVFS governors (Mark Langsdorf) [2072976] - cpufreq: Introducing CPUFREQ_RELATION_E (Mark Langsdorf) [2072976] - cpufreq: Add an interface to mark inefficient frequencies (Mark Langsdorf) [2072976] - cpufreq: Make policy min/max hard requirements (Mark Langsdorf) [2072976] - PM: EM: Allow skipping inefficient states (Mark Langsdorf) [2072976] - PM: EM: Extend em_perf_domain with a flag field (Mark Langsdorf) [2072976] - PM: EM: Mark inefficient states (Mark Langsdorf) [2072976] - PM: EM: Fix inefficient states detection (Mark Langsdorf) [2072976] - PM: EM: Increase energy calculation precision (Mark Langsdorf) [2072976] - cpufreq: tegra186/tegra194: Handle errors in BPMP response (Mark Langsdorf) [2072976] - cpufreq: remove useless INIT_LIST_HEAD() (Mark Langsdorf) [2072976] - cpufreq: s3c244x: add fallthrough comments for switch (Mark Langsdorf) [2072976] - cpufreq: vexpress: Drop unused variable (Mark Langsdorf) [2072976] - qede: Reduce verbosity of ptp tx timestamp (Manish Chopra) [2080656] - redhat/configs: Enable Marvell OcteonTX2 crypto device (Vladis Dronov) [2042239] - hwrng: cn10k - Enable compile testing (Vladis Dronov) [2042239] - hwrng: cn10k - Make check_rng_health() return an error code (Vladis Dronov) [2042239] - hwrng: cn10k - Optimize cn10k_rng_read() (Vladis Dronov) [2042239] - hwrng: cn10k - Add random number generator support (Vladis Dronov) [2042239] - hwrng: cavium - fix NULL but dereferenced coccicheck error (Vladis Dronov) [2042261] - hwrng: cavium - HW_RANDOM_CAVIUM should depend on ARCH_THUNDER (Vladis Dronov) [2042261] - hwrng: cavium - Check health status while reading random data (Vladis Dronov) [2042261] - crypto: octeontx2 - fix potential null pointer access (Vladis Dronov) [2041740] - crypto: octeontx2 - add firmware version in devlink info (Vladis Dronov) [2041740] - crypto: octeontx2 - simplify the return expression of otx2_cpt_aead_cbc_aes_sha_setkey() (Vladis Dronov) [2041740] - crypto: octeontx2 - fix missing unlock (Vladis Dronov) [2041740] - crypto: octeontx2 - add synchronization between mailbox accesses (Vladis Dronov) [2041740] - crypto: octeontx2 - remove CONFIG_DM_CRYPT check (Vladis Dronov) [2041740] - crypto: octeontx2 - increase CPT HW instruction queue length (Vladis Dronov) [2041740] - crypto: octeontx2 - disable DMA black hole on an DMA fault (Vladis Dronov) [2041740] - crypto: octeontx2 - CN10K CPT to RNM workaround (Vladis Dronov) [2041740] - crypto: octeontx2 - Avoid stack variable overflow (Vladis Dronov) [2041740] - crypto: octeontx2 - prevent underflow in get_cores_bmap() (Vladis Dronov) [2041740] - crypto: octeontx2 - out of bounds access in otx2_cpt_dl_custom_egrp_delete() (Vladis Dronov) [2041740] - crypto: octeontx2 - Use swap() instead of swap_engines() (Vladis Dronov) [2041740] - crypto: octeontx2 - parameters for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - add apis for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - uninitialized variable in kvf_limits_store() (Vladis Dronov) [2041740] - crypto: octeontx2 - use swap() to make code cleaner (Vladis Dronov) [2041740] - crypto: octeontx2 - set assoclen in aead_do_fallback() (Vladis Dronov) [2041740] Resolves: rhbz#2072976, rhbz#2080656, rhbz#2042239, rhbz#2042261, rhbz#2041740 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-16 17:29:33 +00:00
# CONFIG_PRESTERA is not set
CONFIG_PREVENT_FIRMWARE_BUILD=y
kernel-5.14.0-333.el9 * Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9] - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - redhat: rpminspect: ignore perf coresight tests (Jan Stancek) - PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619] - Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619] - PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619] - PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619] - bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930] - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930] - bpf: netdev: init the offload table earlier (Felix Maurer) [2178930] - spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930] - tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930] - tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930] - tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930] - tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930] - tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930] - tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930] - powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930] - powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930] - powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930] - powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930] - selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930] - veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930] - xdp: rss hash types representation (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930] - xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930] - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930] - xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930] - selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930] - selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930] - selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930] - bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930] - xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930] - xsk: support use vaddr as ring (Felix Maurer) [2178930] - selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930] - bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930] - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930] - bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930] - xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930] - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930] - bonding: add xdp_features support (Felix Maurer) [2178930] - veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930] - selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930] - net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930] - veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930] - net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930] - net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930] - xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930] - libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930] - netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930] - hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930] - net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930] - net, xdp: Add missing xdp_features description (Felix Maurer) [2178930] - virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930] - libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930] - selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930] - selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930] - selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930] - bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930] - libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930] - libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930] - xsk: add usage of XDP features flags (Felix Maurer) [2178930] - drivers: net: turn on XDP features (Felix Maurer) [2178930] - netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930] - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930] - configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930] - page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930] - net: page_pool: use in_softirq() instead (Felix Maurer) [2178930] - selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930] - selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930] - bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930] - kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930] - bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930] - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930] - bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930] - bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930] - selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930] - libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930] - selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930] - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930] - bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930] - bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930] - libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930] - selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930] - bpf: Allow reads from uninit stack (Viktor Malik) [2178930] - bpf: add missing header file include (Viktor Malik) [2178930] - selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930] - selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930] - bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930] - Fix typos in selftest/bpf files (Viktor Malik) [2178930] - selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930] - selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930] - selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930] - selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930] - selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930] - selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930] - selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930] - selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930] - bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930] - selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930] - bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930] - selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930] - selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930] - Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930] - Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930] - selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930] - selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930] - bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930] - selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930] - bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930] - bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930] - bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930] - bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930] - bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930] - bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930] - bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930] - bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930] - bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930] - bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930] - mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930] - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930] - selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930] - selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930] - selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930] - tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930] - selftests/bpf: Quote host tools (Viktor Malik) [2178930] - samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930] - libbpf: Add sample_period to creation options (Viktor Malik) [2178930] - bpf, docs: Add note about type convention (Viktor Malik) [2178930] - bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930] - bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930] - libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930] - bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930] - bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930] - bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930] - selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930] - tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930] - bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930] - docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930] - selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930] - bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930] - bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930] - selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930] - selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930] - selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930] - selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930] - selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930] - selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930] - xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930] - selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930] - veth: Support RX XDP metadata (Felix Maurer) [2178930] - veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930] - bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930] - bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930] - selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930] - bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930] - bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930] - bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930] - bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930] - bpf: Document XDP RX metadata (Felix Maurer) [2178930] - xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930] - selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930] - bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930] - selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930] - selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930] - selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930] - selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930] - selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930] - selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930] - selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930] - selftests/xsk: remove namespaces (Felix Maurer) [2178930] - selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930] - selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930] - selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930] - selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930] - selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930] - selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930] - selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930] - selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930] - bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930] - selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930] - s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930] - s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930] - s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930] - s390/bpf: encode register within extable entry (Viktor Malik) [2178930] - s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930] - s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930] - s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930] - s390: introduce text_poke_sync() (Viktor Malik) [2178930] - s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930] - bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930] - bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930] - libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930] - libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930] - libbpf: Simplify barrier_var() (Viktor Malik) [2178930] - selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930] - selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930] - selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930] - selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930] - selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930] - selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930] - selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930] - selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930] - selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930] - selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930] - bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930] - bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930] - Documentation: bpf: correct spelling (Viktor Malik) [2178930] - bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930] - selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930] - libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930] - libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930] - bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930] - bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930] - libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930] - bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930] - bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930] - bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930] - bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930] - selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930] - selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930] - bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930] - bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930] - selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930] - bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930] - bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930] - bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930] - libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930] - selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930] - libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930] - selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930] - libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930] - libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930] - libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930] - selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930] - selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930] - selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930] - bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930] - bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930] - bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930] - bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930] - bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930] - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930] - bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930] - bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930] - selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930] - bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930] - bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930] - samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930] - samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930] - samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930] - samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930] - samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930] - samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930] - samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930] - samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930] - samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930] - samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930] - selftests/bpf: Fix missing space error (Viktor Malik) [2178930] - libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930] - bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930] - bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930] - bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930] - bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930] - bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930] - bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930] - bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930] - libbpf: Poison strlcpy() (Viktor Malik) [2178930] - libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930] - libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930] - libbpf: Added the description of some API functions (Viktor Malik) [2178930] - libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930] - samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930] - samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930] - samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930] - samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930] - bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930] - libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930] - bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930] - bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930] - bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930] - bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930] - bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930] - bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930] - bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930] - selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930] - libbpf: start v1.2 development cycle (Viktor Malik) [2178930] - selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930] - bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930] - bpf: Reduce smap->elem_size (Viktor Malik) [2178930] - bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930] - libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930] - libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930] - docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930] - samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930] - samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930] - samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930] - bpf: Remove trace_printk_lock (Viktor Malik) [2178930] - bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930] - bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930] - tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930] - libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930] - selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930] - libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930] - libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930] - selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930] - libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930] - libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930] - mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388] - ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348] - arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348] - dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348] - arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348] - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348] - arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348] - arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348] - arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348] - arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348] - arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348] - arm64: tegra: Sort includes (Mark Salter) [2186348] - arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348] - arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348] - dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348] - dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348] - dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348] - arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348] - arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348] - arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348] - arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348] - arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348] - arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348] - arm64: tegra: Update cache properties (Mark Salter) [2186348] - arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348] - arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348] - arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348] - arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348] - arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348] - arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348] - arm64: tegra: Enable GTE nodes (Mark Salter) [2186348] - arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348] - arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348] - arm64: tegra: Add missing whitespace (Mark Salter) [2186348] - arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348] - arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348] - arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348] - arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348] - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348] - arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348] - arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348] - dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348] - spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348] - spi: dt-bindings: split peripheral prods (Mark Salter) [2186348] - dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348] - dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348] - dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348] - dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348] - dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348] - dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348] - dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348] - spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348] - arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348] - dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348] - dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348] - spi: Fix Tegra QSPI example (Mark Salter) [2186348] - dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348] - spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348] - dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348] - dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348] - ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348] - dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348] - dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348] - dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348] - ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348] - ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348] - dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348] - ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348] - nouveau: fix client work fence deletion race (Mika Penttilä) [2160457] - drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457] - accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457] - gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457] - gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457] - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457] - drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457] - drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457] - drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457] - drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457] - drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457] - drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457] - drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457] - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457] - drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457] - drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457] - drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457] - drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457] - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457] - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457] - drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457] - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457] - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457] - drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457] - drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457] - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457] - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457] - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457] - drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457] - drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457] - drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457] - drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457] - drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457] - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457] - drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457] - drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457] - drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457] - drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457] - drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457] - drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457] - drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457] - drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457] - drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457] - drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457] - drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457] - drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457] - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457] - drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457] - drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457] - drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457] - drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457] - drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457] - drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457] - drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457] - drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457] - drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457] - drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457] - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457] - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457] - drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457] - drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457] - drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457] - drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457] - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457] - drm/nouveau: fix data overrun (Mika Penttilä) [2160457] - Add new config defaults (Mika Penttilä) [2160457] - Add needed modules to the internal list. (Mika Penttilä) [2160457] - Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457] - Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457] - kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457] - kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457] - kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457] - Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457] - Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457] - overflow: Introduce castable_to_type() (Mika Penttilä) [2160457] - Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457] - Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457] - Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457] - Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457] - Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457] - Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457] - Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457] - Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457] - Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457] - Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457] - Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457] - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372] - mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372] - mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372] - mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372] - mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372] - mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372] - mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372] - mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372] - selftests/vm: update hugetlb madvise (Nico Pache) [2168372] - ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372] - mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372] - mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372] - mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372] - mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372] - mm: cma: make kobj_type structure constant (Nico Pache) [2168372] - mm: slub: make kobj_type structure constant (Nico Pache) [2168372] - mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372] - mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372] - writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372] - mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372] - mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372] - mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372] - mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372] - mm: kfence: fix handling discontiguous page (Nico Pache) [2168372] - mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372] - kfence: avoid passing -g for test (Nico Pache) [2168372] - mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372] - Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372] - mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372] - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372] - mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372] - mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372] - mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372] - mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372] - migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372] - mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372] - Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372] - mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372] - mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372] - mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372] - filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372] - mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372] - mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372] - mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372] - mm: Make failslab writable again (Nico Pache) [2168372] - mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372] - mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372] - memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372] - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372] - swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372] - mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372] - mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372] - mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372] - mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372] - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372] - mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372] - mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372] - hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372] - hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372] - hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372] - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372] - hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372] - hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372] - hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372] - hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372] - mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372] - mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372] - mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372] - mm: drop oom code from exit_mmap (Nico Pache) [2168372] - mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372] - mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372] - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372] - mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372] - tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372] - mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372] - hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372] - hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372] - mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372] - mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372] - mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372] - Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372] - selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372] - mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372] - mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372] - mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372] - mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372] - mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372] - delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for retry (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372] - migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372] - migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372] - migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372] - migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372] - filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372] - mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372] - mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372] - mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372] - mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372] - mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372] - mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372] - mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372] - mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372] - mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372] - Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372] - redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296] - RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296] - RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296] - RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296] - RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296] - net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296] - redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476] Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 06:07:08 +00:00
CONFIG_PRIME_NUMBERS=m
CONFIG_PRINTER=m
# CONFIG_PRINTK_CALLER is not set
kernel-5.14.0-176.el9 * Wed Oct 12 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-176.el9] - docs: translations: zn_CN: irq-affinity.rst: add a missing extension (David Arcari) [2117494] - docs/zh_CN: core-api: Update the translation of printk-basics.rst to 5.19-rc3 (David Arcari) [2117494] - docs/zh_CN: Modify the translator tag and fix the wrong word (David Arcari) [2117494] - MIPS/asm/printk: Fix build failure caused by printk (David Arcari) [2117494] - printk: do not wait for consoles when suspended (David Arcari) [2117494] - Revert "printk: add functions to prefer direct printing" (David Arcari) [2117494] - Revert "printk: add kthread console printers" (David Arcari) [2117494] - Revert "printk: extend console_lock for per-console locking" (David Arcari) [2117494] - Revert "printk: remove @console_locked" (David Arcari) [2117494] - Revert "printk: Block console kthreads when direct printing will be required" (David Arcari) [2117494] - Revert "printk: Wait for the global console lock when the system is going down" (David Arcari) [2117494] - printk: Wait for the global console lock when the system is going down (David Arcari) [2117494] - printk: Block console kthreads when direct printing will be required (David Arcari) [2117494] - Revert "printk: wake up all waiters" (David Arcari) [2117494] - printk, tracing: fix console tracepoint (David Arcari) [2117494] - printk: remove @console_locked (David Arcari) [2117494] - printk: extend console_lock for per-console locking (David Arcari) [2117494] - printk: add kthread console printers (David Arcari) [2117494] - printk: add functions to prefer direct printing (David Arcari) [2117494] - printk: add pr_flush() (David Arcari) [2117494] - printk: move buffer definitions into console_emit_next_record() caller (David Arcari) [2117494] - printk: refactor and rework printing logic (David Arcari) [2117494] - serial: core: Fix initializing and restoring termios speed (David Arcari) [2117494] - printk: add con_printk() macro for console details (David Arcari) [2117494] - printk: call boot_delay_msec() in printk_delay() (David Arcari) [2117494] - printk: get caller_id/timestamp after migration disable (David Arcari) [2117494] - printk: wake waiters for safe and NMI contexts (David Arcari) [2117494] - printk: wake up all waiters (David Arcari) [2117494] - printk: add missing memory barrier to wake_up_klogd() (David Arcari) [2117494] - printk: rename cpulock functions (David Arcari) [2117494] - printk: fix return value of printk.devkmsg __setup handler (David Arcari) [2117494] - printk: make suppress_panic_printk static (David Arcari) [2117494] - printk: Set console_set_on_cmdline=1 when __add_preferred_console() is called with user_specified == true (David Arcari) [2117494] - printk: Drop console_sem during panic (David Arcari) [2117494] - printk: Avoid livelock with heavy printk during panic (David Arcari) [2117494] - printk: disable optimistic spin during panic (David Arcari) [2117494] - printk: Add panic_in_progress helper (David Arcari) [2117494] - printk: Fix incorrect __user type in proc_dointvec_minmax_sysadmin() (David Arcari) [2117494] - printk: ringbuffer: Improve prb_next_seq() performance (David Arcari) [2117494] - printk: fix build warning when CONFIG_PRINTK=n (David Arcari) [2117494] - printk: move printk sysctl to printk/sysctl.c (David Arcari) [2117494] - printk/console: Clean up boot console handling in register_console() (David Arcari) [2117494] - printk/console: Remove need_default_console variable (David Arcari) [2117494] - printk/console: Remove unnecessary need_default_console manipulation (David Arcari) [2117494] - printk/console: Rename has_preferred_console to need_default_console (David Arcari) [2117494] - printk/console: Split out code that enables default console (David Arcari) [2117494] - printk: use gnu_printf format attribute for printk_sprint() (David Arcari) [2117494] - printk: avoid -Wsometimes-uninitialized warning (David Arcari) [2117494] - printk: use kvmalloc instead of kmalloc for devkmsg_user (David Arcari) [2117494] - printk/index: Fix -Wunused-function warning (David Arcari) [2117494] - printk: Add printk.console_no_auto_verbose boot parameter (David Arcari) [2117494] - printk: Move the printk() kerneldoc comment to its new home (David Arcari) [2117494] - printk/index: Fix warning about missing prototypes (David Arcari) [2117494] - redhat/configs: enable CONFIG_PRINTK_INDEX (David Arcari) [2117494] - printk: Userspace format indexing support (David Arcari) [2117494] - printk: Rework parse_prefix into printk_parse_prefix (David Arcari) [2117494] - printk: Straighten out log_flags into printk_info_flags (David Arcari) [2117494] - printk/console: Check consistent sequence number when handling race in console_unlock() (David Arcari) [2117494] - serial/8250: Use fifo in 8250 console driver (David Arcari) [2117494] - serial: 8250_omap: Handle optional overrun-throttle-ms property (David Arcari) [2117494] - serial: omap: Disable PM runtime autoidle to remove pm_runtime_irq_safe() (David Arcari) [2117494] - rhel: Enable imx8 pinctrl devices (Al Stone) [2071845] - pinctrl: Don't allow PINCTRL_AMD to be a module (Al Stone) [2071845] - pinctrl: imx: Add the zero base flag for imx93 (Al Stone) [2071845] - pinctrl: intel: Fix kernel doc format, i.e. add return sections (Al Stone) [2071845] - pinctrl: freescale: Add i.MXRT1170 pinctrl driver support (Al Stone) [2071845] - pinctrl: intel: Drop unused irqchip member in struct intel_pinctrl (Al Stone) [2071845] - pinctrl: intel: make irq_chip immutable (Al Stone) [2071845] - pinctrl: cherryview: Use GPIO chip pointer in chv_gpio_irq_mask_unmask() (Al Stone) [2071845] - pinctrl: lynxpoint: make irq_chip immutable (Al Stone) [2071845] - pinctrl: cherryview: make irq_chip immutable (Al Stone) [2071845] - pinctrl: baytrail: make irq_chip immutable (Al Stone) [2071845] - pinctrl: broxton: Add module alias for Intel Apollo Lake (Al Stone) [2071845] - pinctrl: tegra: tegra194: drop unused pin groups (Al Stone) [2071845] - pinctrl: thunderbay: Use devm_platform_ioremap_resource() (Al Stone) [2071845] - pinctrl: amd: Make the irqchip immutable (Al Stone) [2071845] - pinctrl: imx: Reduce printk message level for empty nodes (Al Stone) [2071845] - pinctrl: pinconf-generic: Print arguments for bias-pull-* (Al Stone) [2071845] - pinctrl: imx93: Add pinctrl driver support (Al Stone) [2071845] - pinctrl: baytrail: Add pinconf group + function for the pmu_clk (Al Stone) [2071845] - pinctrl: icelake: Add Ice Lake-N PCH pin controller support (Al Stone) [2071845] - pinctrl: baytrail: Clear direct_irq_en flag on broken configs (Al Stone) [2071845] - pinctrl: cherryview: Trigger hwirq0 for interrupt-lines without a mapping (Al Stone) [2071845] - pinctrl: thunderbay: rework loops looking for groups names (Al Stone) [2071845] - pinctrl: thunderbay: comment process of building functions a bit (Al Stone) [2071845] - pinctrl: imx: fix assigning groups names (Al Stone) [2071845] - pinctrl: imx: fix allocation result check (Al Stone) [2071845] - pinctrl: add one more "const" for generic function groups (Al Stone) [2071845] - pinctrl: keembay: rework loops looking for groups names (Al Stone) [2071845] - pinctrl: keembay: comment process of building functions a bit (Al Stone) [2071845] - pinctrl: imx: prepare for making "group_names" in "function_desc" const (Al Stone) [2071845] - pinctrl: Add Intel Thunder Bay pinctrl driver (Al Stone) [2071845] - pinctrl: freescale: Add i.MXRT1050 pinctrl driver support (Al Stone) [2071845] - pinctrl: cherryview: Use temporary variable for struct device (Al Stone) [2071845] - pinctrl: cherryview: Do not allow the same interrupt line to be used by 2 pins (Al Stone) [2071845] - pinctrl: cherryview: Don't use selection 0 to mark an interrupt line as unused (Al Stone) [2071845] - pinctrl: baytrail: Set IRQCHIP_SET_TYPE_MASKED flag on the irqchip (Al Stone) [2071845] - pinctrl: tegra194: remove duplicate initializer again (Al Stone) [2071845] - pinctrl: tegra: Return const pointer from tegra_pinctrl_get_group() (Al Stone) [2071845] - pinctrl: pinconf-generic: Add support for "output-impedance-ohms" to be extracted from DT files (Al Stone) [2071845] - pinctrl: tegra: Fix warnings and error (Al Stone) [2071845] - pinctrl: tegra: Use correct offset for pin group (Al Stone) [2071845] - pinctrl: core: fix possible memory leak in pinctrl_enable() (Al Stone) [2071845] - pinctrl: tegra: Add pinmux support for Tegra194 (Al Stone) [2071845] - pinctrl: tegra: include lpdr pin properties (Al Stone) [2071845] - pinctrl: amd: disable and mask interrupts on probe (Al Stone) [2071845] - pinctrl: Fix spelling mistake "atleast" -> "at least" (Al Stone) [2071845] - pinctrl: core: Remove duplicated word from devm_pinctrl_unregister() (Al Stone) [2071845] - pinctrl: Add Intel Keem Bay pinctrl driver (Al Stone) [2071845] - pinctrl: single: Move test PCS_HAS_PINCONF in pcs_parse_bits_in_pinctrl_entry() to the beginning (Al Stone) [2071845] - pinctrl: single: Fix error return code in pcs_parse_bits_in_pinctrl_entry() (Al Stone) [2071845] - pinctrl: imx8dxl: Constify imx_pinctrl_soc_info (Al Stone) [2071845] - pinctrl: imx8qxp: Constify imx_pinctrl_soc_info (Al Stone) [2071845] - pinctrl: imx8mn: Constify imx_pinctrl_soc_info (Al Stone) [2071845] - pinctrl: imx8ulp: Initialize pin_reg (Al Stone) [2071845] - pinctrl: imx8ulp: Add pinctrl driver support (Al Stone) [2071845] - inotify: show inotify mask flags in proc fdinfo (Andrey Albershteyn) [2125133] - fsnotify: fix fsnotify hooks in pseudo filesystems (Andrey Albershteyn) [2090079] - fsnotify: invalidate dcache before IN_DELETE event (Andrey Albershteyn) [2090079] - scsi: target: core: De-RCU of se_lun and se_lun acl (Maurizio Lombardi) [2129900] - scsi: target: core: Fix race during ACL removal (Maurizio Lombardi) [2129900] - scsi: target: Remove XDWRITEREAD emulated support (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Do not require target authentication (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Allow AuthMethod=None (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Support base64 in CHAP (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Add support for extended CDB AHS (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Fix clang -Wformat warnings (Maurizio Lombardi) [2129900] - scsi: target: Detect UNMAP support post configuration (Maurizio Lombardi) [2129900] - scsi: target: Add file configure_unmap callout (Maurizio Lombardi) [2129900] - scsi: target: Add iblock configure_unmap callout (Maurizio Lombardi) [2129900] - scsi: target: Add callout to configure UNMAP settings (Maurizio Lombardi) [2129900] - scsi: target: Remove incorrect zero blocks WRITE_SAME check (Maurizio Lombardi) [2129900] - scsi: target: Remove duplicate "the" (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Fix NULL pointer dereference in iscsi_conn_auth_required() (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Control authentication per ACL (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Extract auth functions (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Add upcast helpers (Maurizio Lombardi) [2129900] - scsi: target: Fix WRITE_SAME No Data Buffer crash (Maurizio Lombardi) [2129900] - scsi: target: tcmu: Avoid holding XArray lock when calling lock_page (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Rename iscsi_session to iscsit_session (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Rename iscsi_conn to iscsit_conn (Maurizio Lombardi) [2129900] - scsi: target: iscsi: Rename iscsi_cmd to iscsit_cmd (Maurizio Lombardi) [2129900] - scsi: target: tcmu: Fix possible data corruption (Maurizio Lombardi) [2129900] - scsi: target: core: Silence the message about unknown VPD pages (Maurizio Lombardi) [2129900] - scsi: target: Fix incorrect use of cpumask_t (Maurizio Lombardi) [2129900] - scsi: target: Add iscsi/cpus_allowed_list in configfs (Maurizio Lombardi) [2129900] - random: trigger reseeding DRBG on more occasions (Daiki Ueno) [2131988] Resolves: rhbz#2117494, rhbz#2071845, rhbz#2125133, rhbz#2090079, rhbz#2129900, rhbz#2131988 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-12 06:19:40 +00:00
CONFIG_PRINTK_INDEX=y
CONFIG_PRINTK_SAFE_LOG_BUF_SHIFT=13
CONFIG_PRINTK_TIME=y
CONFIG_PRINT_QUOTA_WARNING=y
CONFIG_PRINT_STACK_DEPTH=64
CONFIG_PROC_CHILDREN=y
CONFIG_PROC_EVENTS=y
CONFIG_PROC_FS=y
CONFIG_PROC_KCORE=y
CONFIG_PROC_PID_CPUSET=y
CONFIG_PROC_VMCORE_DEVICE_DUMP=y
CONFIG_PROC_VMCORE=y
# CONFIG_PROFILE_ANNOTATED_BRANCHES is not set
CONFIG_PROFILING=y
kernel-5.14.0-204.el9 * Wed Nov 30 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-204.el9] - Documentation/admin-guide: Document nomodeset kernel parameter (Karol Herbst) [2143841] - drm: Move nomodeset kernel parameter to the DRM subsystem (Karol Herbst) [2143841] - Add rtla subpackage (John Kacur) [2075216] - dt-bindings: mmc: PL18x stop relying on order of dma-names (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add i.MX8DXL compatible string (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Update compatible fallbacks (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add imx93 compatible string (Steve Best) [2137969] - dt-bindings: mmc: Add missing properties used in examples (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: add i.MXRT compatible string (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add imx8ulp compatible string (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: change the pinctrl-names rule (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: add a new compatible string (Steve Best) [2137969] - MAINTAINERS: Update Kishon's email address in PCI endpoint subsystem (Myron Stowe) [2135902] - MAINTAINERS: Add Vignesh Raghavendra as maintainer of TI DRA7XX/J721E PCI driver (Myron Stowe) [2135902] - MAINTAINERS: Add Mahesh J Salgaonkar as EEH maintainer (Myron Stowe) [2135902] - MAINTAINERS: Add Manivannan Sadhasivam as PCI Endpoint reviewer (Myron Stowe) [2135902] - PCI/P2PDMA: Use for_each_pci_dev() helper (Myron Stowe) [2135902] - PCI: mt7621: Use PCI_CONF1_EXT_ADDRESS() macro (Myron Stowe) [2135902] - PCI: ftpci100: Use PCI_CONF1_ADDRESS() macro (Myron Stowe) [2135902] - PCI: Add standard PCI Config Address macros (Myron Stowe) [2135902] - PCI: qcom-ep: Check platform_get_resource_byname() return value (Myron Stowe) [2135902] - PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Define clocks per platform (Myron Stowe) [2135902] - PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902] - PCI: qcom-ep: Disable Master AXI Clock when there is no PCIe traffic (Myron Stowe) [2135902] - PCI: qcom-ep: Gate Master AXI clock to MHI bus during L1SS (Myron Stowe) [2135902] - PCI: qcom-ep: Expose link transition counts via debugfs (Myron Stowe) [2135902] - PCI: qcom-ep: Disable IRQs during driver remove (Myron Stowe) [2135902] - PCI: qcom-ep: Make use of the cached dev pointer (Myron Stowe) [2135902] - PCI: qcom-ep: Rely on the clocks supplied by devicetree (Myron Stowe) [2135902] - PCI: qcom-ep: Add kernel-doc for qcom_pcie_ep structure (Myron Stowe) [2135902] - PCI: qcom: Rename host-init error label (Myron Stowe) [2135902] - PCI: qcom: Drop unused post_deinit callback (Myron Stowe) [2135902] - PCI: qcom-ep: Add MODULE_DEVICE_TABLE (Myron Stowe) [2135902] - PCI: qcom: Sort device-id table (Myron Stowe) [2135902] - PCI: qcom: Clean up IP configurations (Myron Stowe) [2135902] - PCI: qcom: Make all optional clocks optional (Myron Stowe) [2135902] - PCI: qcom: Add support for SA8540P (Myron Stowe) [2135902] - PCI: qcom: Add support for SC8280XP (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Add SA8540P to binding (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Add SC8280XP to binding (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Enumerate platforms with single msi interrupt (Myron Stowe) [2135902] - PCI: mvebu: Fix endianness when accessing PCI emul bridge members (Myron Stowe) [2135902] - PCI: mediatek-gen3: Change driver name to mtk-pcie-gen3 (Myron Stowe) [2135902] - phy: freescale: imx8m-pcie: Fix the wrong order of phy_init() and phy_power_on() (Myron Stowe) [2135902] - PCI: imx6: Add i.MX8MP PCIe support (Myron Stowe) [2135902] - PCI: dwc: Replace of_gpio_named_count() by gpiod_count() (Myron Stowe) [2135902] - PCI: dwc: Drop dependency on ZONE_DMA32 (Myron Stowe) [2135902] - dt-bindings: pci: QCOM Add missing sc7280 aggre0, aggre1 clocks (Myron Stowe) [2135902] - dt-bindings: PCI: microchip,pcie-host: fix missing dma-ranges (Myron Stowe) [2135902] - dt-bindings: PCI: microchip,pcie-host: fix missing clocks properties (Myron Stowe) [2135902] - dt-bindings: PCI: mediatek-gen3: Add support for MT8188 and MT8195 (Myron Stowe) [2135902] - PCI: pci-bridge-emul: Set position of PCI capabilities to real HW value (Myron Stowe) [2135902] - PCI: aardvark: Add support for PCI Bridge Subsystem Vendor ID on emulated bridge (Myron Stowe) [2135902] - PCI: Sanitise firmware BAR assignments behind a PCI-PCI bridge (Myron Stowe) [2135902] - PCI: Fix typo in pci_scan_child_bus_extend() (Myron Stowe) [2135902] - PCI: Fix whitespace and indentation (Myron Stowe) [2135902] - PCI: Move pci_assign_unassigned_root_bus_resources() (Myron Stowe) [2135902] - PCI: Pass available buses even if the bridge is already configured (Myron Stowe) [2135902] - PCI: Fix used_buses calculation in pci_scan_child_bus_extend() (Myron Stowe) [2135902] - PCI: Expose PCIe Resizable BAR support via sysfs (Myron Stowe) [2135902] - PCI/PM: Reduce D3hot delay with usleep_range() (Myron Stowe) [2135902] - PCI/PM: Simplify pci_pm_suspend_noirq() (Myron Stowe) [2135902] - PCI/PM: Always disable PTM for all devices during suspend (Myron Stowe) [2135902] - PCI/PTM: Consolidate PTM interface declarations (Myron Stowe) [2135902] - PCI/PTM: Reorder functions in logical order (Myron Stowe) [2135902] - PCI/PTM: Preserve RsvdP bits in PTM Control register (Myron Stowe) [2135902] - PCI/PTM: Move pci_ptm_info() body into its only caller (Myron Stowe) [2135902] - PCI/PTM: Add pci_suspend_ptm() and pci_resume_ptm() (Myron Stowe) [2135902] - PCI/PTM: Separate configuration and enable (Myron Stowe) [2135902] - PCI/PTM: Add pci_upstream_ptm() helper (Myron Stowe) [2135902] - PCI/PTM: Cache PTM Capability offset (Myron Stowe) [2135902] - PCI/DPC: Quirk PIO log size for certain Intel Root Ports (Myron Stowe) [2135902] - PCI/ASPM: Correct LTR_L1.2_THRESHOLD computation (Myron Stowe) [2135902] - PCI/ASPM: Ignore L1 PM Substates if device lacks capability (Myron Stowe) [2135902] - PCI/ASPM: Factor out L1 PM Substates configuration (Myron Stowe) [2135902] - PCI/ASPM: Save L1 PM Substates Capability for suspend/resume (Myron Stowe) [2135902] - PCI/ASPM: Refactor L1 PM Substates Control Register programming (Myron Stowe) [2135902] - drm: Implement DRM aperture helpers under video/ (Myron Stowe) [2135902] - MAINTAINERS: Broaden scope of simpledrm entry (Myron Stowe) [2135902] - MAINTAINERS: Add simpledrm driver co-maintainer (Myron Stowe) [2135902] - PCI: qcom: Remove ddrss_sf_tbu clock from SC8180X (Myron Stowe) [2135902] - ARM: 9100/1: MAINTAINERS: mark all linux-arm-kernel@infradead list as moderated (Myron Stowe) [2135902] - PM: core: Remove static qualifier in DEFINE_SIMPLE_DEV_PM_OPS macro (Íñigo Huguet) [2147541] - x86/ftrace: remove return_to_handler SYM_FUNC_END macro (Joe Lawrence) [2144901] - dt-bindings: pinctrl: imx93: Add pinctrl binding (Steve Best) [2137921] - pinctrl: imx93: Add MODULE_DEVICE_TABLE() (Steve Best) [2137921] - ACPI: NUMA: Add CXL CFMWS 'nodes' to the possible nodes set (John W. Linville) [2107254] - tools/testing/cxl: Fix decoder default state (John W. Linville) [2107254] - cxl/mbox: Use __le32 in get,set_lsa mailbox structures (John W. Linville) [2107254] - cxl: Fix cleanup of port devices on failure to probe driver. (John W. Linville) [2107254] - cxl/mem: Drop mem_enabled check from wait_for_media() (John W. Linville) [2107254] - cxl/pci: Make cxl_dvsec_ranges() failure not fatal to cxl_pci (John W. Linville) [2107254] - rehdat/configs: set missing options relevant to CXL update (John W. Linville) [2107254] - cxl/pci: Drop shadowed variable (John W. Linville) [2107254] - cxl/core/port: Fix NULL but dereferenced coccicheck error (John W. Linville) [2107254] - cxl/port: Hold port reference until decoder release (John W. Linville) [2107254] - cxl/port: Fix endpoint refcount leak (John W. Linville) [2107254] - cxl/core: Fix cxl_device_lock() class detection (John W. Linville) [2107254] - cxl/core/port: Fix unregister_port() lock assertion (John W. Linville) [2107254] - cxl/regs: Fix size of CXL Capability Header Register (John W. Linville) [2107254] - cxl/core/port: Handle invalid decoders (John W. Linville) [2107254] - cxl/core/port: Fix / relax decoder target enumeration (John W. Linville) [2107254] - tools/testing/cxl: Add a physical_node link (John W. Linville) [2107254] - tools/testing/cxl: Enumerate mock decoders (John W. Linville) [2107254] - tools/testing/cxl: Mock one level of switches (John W. Linville) [2107254] - tools/testing/cxl: Fix root port to host bridge assignment (John W. Linville) [2107254] - tools/testing/cxl: Mock dvsec_ranges() (John W. Linville) [2107254] - cxl/core/port: Add endpoint decoders (John W. Linville) [2107254] - cxl/core: Move target_list out of base decoder attributes (John W. Linville) [2107254] - cxl/mem: Add the cxl_mem driver (John W. Linville) [2107254] - cxl/core/port: Add switch port enumeration (John W. Linville) [2107254] - cxl/memdev: Add numa_node attribute (John W. Linville) [2107254] - cxl/pci: Emit device serial number (John W. Linville) [2107254] - cxl/pci: Implement wait for media active (John W. Linville) [2107254] - cxl/pci: Retrieve CXL DVSEC memory info (John W. Linville) [2107254] - cxl/pci: Cache device DVSEC offset (John W. Linville) [2107254] - cxl/pci: Store component register base in cxlds (John W. Linville) [2107254] - cxl/core/port: Remove @host argument for dport + decoder enumeration (John W. Linville) [2107254] - cxl/port: Add a driver for 'struct cxl_port' objects (John W. Linville) [2107254] - cxl/core: Emit modalias for CXL devices (John W. Linville) [2107254] - cxl/core/hdm: Add CXL standard decoder enumeration to the core (John W. Linville) [2107254] - cxl/core: Generalize dport enumeration in the core (John W. Linville) [2107254] - cxl/pci: Rename pci.h to cxlpci.h (John W. Linville) [2107254] - cxl/port: Up-level cxl_add_dport() locking requirements to the caller (John W. Linville) [2107254] - cxl/pmem: Introduce a find_cxl_root() helper (John W. Linville) [2107254] - cxl/port: Introduce cxl_port_to_pci_bus() (John W. Linville) [2107254] - cxl/core/port: Use dedicated lock for decoder target list (John W. Linville) [2107254] - cxl: Prove CXL locking (John W. Linville) [2107254] - cxl/core: Track port depth (John W. Linville) [2107254] - cxl/core/port: Make passthrough decoder init implicit (John W. Linville) [2107254] - cxl/core: Fix cxl_probe_component_regs() error message (John W. Linville) [2107254] - cxl/core/port: Clarify decoder creation (John W. Linville) [2107254] - cxl/core: Convert decoder range to resource (John W. Linville) [2107254] - cxl/decoder: Hide physical address information from non-root (John W. Linville) [2107254] - cxl/core/port: Rename bus.c to port.c (John W. Linville) [2107254] - cxl: Introduce module_cxl_driver (John W. Linville) [2107254] - cxl/acpi: Map component registers for Root Ports (John W. Linville) [2107254] - cxl/pci: Add new DVSEC definitions (John W. Linville) [2107254] - cxl: Flesh out register names (John W. Linville) [2107254] - cxl/pci: Defer mailbox status checks to command timeouts (John W. Linville) [2107254] - cxl/pci: Implement Interface Ready Timeout (John W. Linville) [2107254] - cxl: Rename CXL_MEM to CXL_PCI (John W. Linville) [2107254] - ACPI: NUMA: Add a node and memblk for each CFMWS not in SRAT (John W. Linville) [2107254] - cxl/test: Mock acpi_table_parse_cedt() (John W. Linville) [2107254] - cxl/acpi: Convert CFMWS parsing to ACPI sub-table helpers (John W. Linville) [2107254] - cxl/pci: Use pci core's DVSEC functionality (John W. Linville) [2107254] - cxl/core: Replace unions with struct_group() (John W. Linville) [2107254] - PCI: Add pci_find_dvsec_capability to find designated VSEC (John W. Linville) [2107254] - net: remove noblock parameter from skb_recv_datagram() (Íñigo Huguet) [2143360] - net: ethernet: move from strlcpy with unused retval to strscpy (Ken Cox) [2104465] - e1000e: convert .adjfreq to .adjfine (Ken Cox) [2104465] - e1000e: remove unnecessary range check in e1000e_phc_adjfreq (Ken Cox) [2104465] - Revert "e1000e: Fix possible HW unit hang after an s0ix exit" (Ken Cox) [2104465] - e1000e: Enable GPT clock before sending message to CSME (Ken Cox) [2104465] - intel/e1000e:fix repeated words in comments (Ken Cox) [2104465] - intel: remove unused macros (Ken Cox) [2104465] - e1000e: Remove useless DMA-32 fallback configuration (Ken Cox) [2104465] Resolves: rhbz#2143841, rhbz#2075216, rhbz#2137969, rhbz#2135902, rhbz#2147541, rhbz#2144901, rhbz#2137921, rhbz#2107254, rhbz#2143360, rhbz#2104465 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-30 13:16:06 +00:00
# CONFIG_PROVE_CXL_LOCKING is not set
CONFIG_PROVE_LOCKING=y
kernel-5.14.0-204.el9 * Wed Nov 30 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-204.el9] - Documentation/admin-guide: Document nomodeset kernel parameter (Karol Herbst) [2143841] - drm: Move nomodeset kernel parameter to the DRM subsystem (Karol Herbst) [2143841] - Add rtla subpackage (John Kacur) [2075216] - dt-bindings: mmc: PL18x stop relying on order of dma-names (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add i.MX8DXL compatible string (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Update compatible fallbacks (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add imx93 compatible string (Steve Best) [2137969] - dt-bindings: mmc: Add missing properties used in examples (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: add i.MXRT compatible string (Steve Best) [2137969] - dt-bindings: mmc: imx-esdhc: Add imx8ulp compatible string (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: change the pinctrl-names rule (Steve Best) [2137969] - dt-bindings: mmc: fsl-imx-esdhc: add a new compatible string (Steve Best) [2137969] - MAINTAINERS: Update Kishon's email address in PCI endpoint subsystem (Myron Stowe) [2135902] - MAINTAINERS: Add Vignesh Raghavendra as maintainer of TI DRA7XX/J721E PCI driver (Myron Stowe) [2135902] - MAINTAINERS: Add Mahesh J Salgaonkar as EEH maintainer (Myron Stowe) [2135902] - MAINTAINERS: Add Manivannan Sadhasivam as PCI Endpoint reviewer (Myron Stowe) [2135902] - PCI/P2PDMA: Use for_each_pci_dev() helper (Myron Stowe) [2135902] - PCI: mt7621: Use PCI_CONF1_EXT_ADDRESS() macro (Myron Stowe) [2135902] - PCI: ftpci100: Use PCI_CONF1_ADDRESS() macro (Myron Stowe) [2135902] - PCI: Add standard PCI Config Address macros (Myron Stowe) [2135902] - PCI: qcom-ep: Check platform_get_resource_byname() return value (Myron Stowe) [2135902] - PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Define clocks per platform (Myron Stowe) [2135902] - PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902] - dt-bindings: PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902] - PCI: qcom-ep: Disable Master AXI Clock when there is no PCIe traffic (Myron Stowe) [2135902] - PCI: qcom-ep: Gate Master AXI clock to MHI bus during L1SS (Myron Stowe) [2135902] - PCI: qcom-ep: Expose link transition counts via debugfs (Myron Stowe) [2135902] - PCI: qcom-ep: Disable IRQs during driver remove (Myron Stowe) [2135902] - PCI: qcom-ep: Make use of the cached dev pointer (Myron Stowe) [2135902] - PCI: qcom-ep: Rely on the clocks supplied by devicetree (Myron Stowe) [2135902] - PCI: qcom-ep: Add kernel-doc for qcom_pcie_ep structure (Myron Stowe) [2135902] - PCI: qcom: Rename host-init error label (Myron Stowe) [2135902] - PCI: qcom: Drop unused post_deinit callback (Myron Stowe) [2135902] - PCI: qcom-ep: Add MODULE_DEVICE_TABLE (Myron Stowe) [2135902] - PCI: qcom: Sort device-id table (Myron Stowe) [2135902] - PCI: qcom: Clean up IP configurations (Myron Stowe) [2135902] - PCI: qcom: Make all optional clocks optional (Myron Stowe) [2135902] - PCI: qcom: Add support for SA8540P (Myron Stowe) [2135902] - PCI: qcom: Add support for SC8280XP (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Add SA8540P to binding (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Add SC8280XP to binding (Myron Stowe) [2135902] - dt-bindings: PCI: qcom: Enumerate platforms with single msi interrupt (Myron Stowe) [2135902] - PCI: mvebu: Fix endianness when accessing PCI emul bridge members (Myron Stowe) [2135902] - PCI: mediatek-gen3: Change driver name to mtk-pcie-gen3 (Myron Stowe) [2135902] - phy: freescale: imx8m-pcie: Fix the wrong order of phy_init() and phy_power_on() (Myron Stowe) [2135902] - PCI: imx6: Add i.MX8MP PCIe support (Myron Stowe) [2135902] - PCI: dwc: Replace of_gpio_named_count() by gpiod_count() (Myron Stowe) [2135902] - PCI: dwc: Drop dependency on ZONE_DMA32 (Myron Stowe) [2135902] - dt-bindings: pci: QCOM Add missing sc7280 aggre0, aggre1 clocks (Myron Stowe) [2135902] - dt-bindings: PCI: microchip,pcie-host: fix missing dma-ranges (Myron Stowe) [2135902] - dt-bindings: PCI: microchip,pcie-host: fix missing clocks properties (Myron Stowe) [2135902] - dt-bindings: PCI: mediatek-gen3: Add support for MT8188 and MT8195 (Myron Stowe) [2135902] - PCI: pci-bridge-emul: Set position of PCI capabilities to real HW value (Myron Stowe) [2135902] - PCI: aardvark: Add support for PCI Bridge Subsystem Vendor ID on emulated bridge (Myron Stowe) [2135902] - PCI: Sanitise firmware BAR assignments behind a PCI-PCI bridge (Myron Stowe) [2135902] - PCI: Fix typo in pci_scan_child_bus_extend() (Myron Stowe) [2135902] - PCI: Fix whitespace and indentation (Myron Stowe) [2135902] - PCI: Move pci_assign_unassigned_root_bus_resources() (Myron Stowe) [2135902] - PCI: Pass available buses even if the bridge is already configured (Myron Stowe) [2135902] - PCI: Fix used_buses calculation in pci_scan_child_bus_extend() (Myron Stowe) [2135902] - PCI: Expose PCIe Resizable BAR support via sysfs (Myron Stowe) [2135902] - PCI/PM: Reduce D3hot delay with usleep_range() (Myron Stowe) [2135902] - PCI/PM: Simplify pci_pm_suspend_noirq() (Myron Stowe) [2135902] - PCI/PM: Always disable PTM for all devices during suspend (Myron Stowe) [2135902] - PCI/PTM: Consolidate PTM interface declarations (Myron Stowe) [2135902] - PCI/PTM: Reorder functions in logical order (Myron Stowe) [2135902] - PCI/PTM: Preserve RsvdP bits in PTM Control register (Myron Stowe) [2135902] - PCI/PTM: Move pci_ptm_info() body into its only caller (Myron Stowe) [2135902] - PCI/PTM: Add pci_suspend_ptm() and pci_resume_ptm() (Myron Stowe) [2135902] - PCI/PTM: Separate configuration and enable (Myron Stowe) [2135902] - PCI/PTM: Add pci_upstream_ptm() helper (Myron Stowe) [2135902] - PCI/PTM: Cache PTM Capability offset (Myron Stowe) [2135902] - PCI/DPC: Quirk PIO log size for certain Intel Root Ports (Myron Stowe) [2135902] - PCI/ASPM: Correct LTR_L1.2_THRESHOLD computation (Myron Stowe) [2135902] - PCI/ASPM: Ignore L1 PM Substates if device lacks capability (Myron Stowe) [2135902] - PCI/ASPM: Factor out L1 PM Substates configuration (Myron Stowe) [2135902] - PCI/ASPM: Save L1 PM Substates Capability for suspend/resume (Myron Stowe) [2135902] - PCI/ASPM: Refactor L1 PM Substates Control Register programming (Myron Stowe) [2135902] - drm: Implement DRM aperture helpers under video/ (Myron Stowe) [2135902] - MAINTAINERS: Broaden scope of simpledrm entry (Myron Stowe) [2135902] - MAINTAINERS: Add simpledrm driver co-maintainer (Myron Stowe) [2135902] - PCI: qcom: Remove ddrss_sf_tbu clock from SC8180X (Myron Stowe) [2135902] - ARM: 9100/1: MAINTAINERS: mark all linux-arm-kernel@infradead list as moderated (Myron Stowe) [2135902] - PM: core: Remove static qualifier in DEFINE_SIMPLE_DEV_PM_OPS macro (Íñigo Huguet) [2147541] - x86/ftrace: remove return_to_handler SYM_FUNC_END macro (Joe Lawrence) [2144901] - dt-bindings: pinctrl: imx93: Add pinctrl binding (Steve Best) [2137921] - pinctrl: imx93: Add MODULE_DEVICE_TABLE() (Steve Best) [2137921] - ACPI: NUMA: Add CXL CFMWS 'nodes' to the possible nodes set (John W. Linville) [2107254] - tools/testing/cxl: Fix decoder default state (John W. Linville) [2107254] - cxl/mbox: Use __le32 in get,set_lsa mailbox structures (John W. Linville) [2107254] - cxl: Fix cleanup of port devices on failure to probe driver. (John W. Linville) [2107254] - cxl/mem: Drop mem_enabled check from wait_for_media() (John W. Linville) [2107254] - cxl/pci: Make cxl_dvsec_ranges() failure not fatal to cxl_pci (John W. Linville) [2107254] - rehdat/configs: set missing options relevant to CXL update (John W. Linville) [2107254] - cxl/pci: Drop shadowed variable (John W. Linville) [2107254] - cxl/core/port: Fix NULL but dereferenced coccicheck error (John W. Linville) [2107254] - cxl/port: Hold port reference until decoder release (John W. Linville) [2107254] - cxl/port: Fix endpoint refcount leak (John W. Linville) [2107254] - cxl/core: Fix cxl_device_lock() class detection (John W. Linville) [2107254] - cxl/core/port: Fix unregister_port() lock assertion (John W. Linville) [2107254] - cxl/regs: Fix size of CXL Capability Header Register (John W. Linville) [2107254] - cxl/core/port: Handle invalid decoders (John W. Linville) [2107254] - cxl/core/port: Fix / relax decoder target enumeration (John W. Linville) [2107254] - tools/testing/cxl: Add a physical_node link (John W. Linville) [2107254] - tools/testing/cxl: Enumerate mock decoders (John W. Linville) [2107254] - tools/testing/cxl: Mock one level of switches (John W. Linville) [2107254] - tools/testing/cxl: Fix root port to host bridge assignment (John W. Linville) [2107254] - tools/testing/cxl: Mock dvsec_ranges() (John W. Linville) [2107254] - cxl/core/port: Add endpoint decoders (John W. Linville) [2107254] - cxl/core: Move target_list out of base decoder attributes (John W. Linville) [2107254] - cxl/mem: Add the cxl_mem driver (John W. Linville) [2107254] - cxl/core/port: Add switch port enumeration (John W. Linville) [2107254] - cxl/memdev: Add numa_node attribute (John W. Linville) [2107254] - cxl/pci: Emit device serial number (John W. Linville) [2107254] - cxl/pci: Implement wait for media active (John W. Linville) [2107254] - cxl/pci: Retrieve CXL DVSEC memory info (John W. Linville) [2107254] - cxl/pci: Cache device DVSEC offset (John W. Linville) [2107254] - cxl/pci: Store component register base in cxlds (John W. Linville) [2107254] - cxl/core/port: Remove @host argument for dport + decoder enumeration (John W. Linville) [2107254] - cxl/port: Add a driver for 'struct cxl_port' objects (John W. Linville) [2107254] - cxl/core: Emit modalias for CXL devices (John W. Linville) [2107254] - cxl/core/hdm: Add CXL standard decoder enumeration to the core (John W. Linville) [2107254] - cxl/core: Generalize dport enumeration in the core (John W. Linville) [2107254] - cxl/pci: Rename pci.h to cxlpci.h (John W. Linville) [2107254] - cxl/port: Up-level cxl_add_dport() locking requirements to the caller (John W. Linville) [2107254] - cxl/pmem: Introduce a find_cxl_root() helper (John W. Linville) [2107254] - cxl/port: Introduce cxl_port_to_pci_bus() (John W. Linville) [2107254] - cxl/core/port: Use dedicated lock for decoder target list (John W. Linville) [2107254] - cxl: Prove CXL locking (John W. Linville) [2107254] - cxl/core: Track port depth (John W. Linville) [2107254] - cxl/core/port: Make passthrough decoder init implicit (John W. Linville) [2107254] - cxl/core: Fix cxl_probe_component_regs() error message (John W. Linville) [2107254] - cxl/core/port: Clarify decoder creation (John W. Linville) [2107254] - cxl/core: Convert decoder range to resource (John W. Linville) [2107254] - cxl/decoder: Hide physical address information from non-root (John W. Linville) [2107254] - cxl/core/port: Rename bus.c to port.c (John W. Linville) [2107254] - cxl: Introduce module_cxl_driver (John W. Linville) [2107254] - cxl/acpi: Map component registers for Root Ports (John W. Linville) [2107254] - cxl/pci: Add new DVSEC definitions (John W. Linville) [2107254] - cxl: Flesh out register names (John W. Linville) [2107254] - cxl/pci: Defer mailbox status checks to command timeouts (John W. Linville) [2107254] - cxl/pci: Implement Interface Ready Timeout (John W. Linville) [2107254] - cxl: Rename CXL_MEM to CXL_PCI (John W. Linville) [2107254] - ACPI: NUMA: Add a node and memblk for each CFMWS not in SRAT (John W. Linville) [2107254] - cxl/test: Mock acpi_table_parse_cedt() (John W. Linville) [2107254] - cxl/acpi: Convert CFMWS parsing to ACPI sub-table helpers (John W. Linville) [2107254] - cxl/pci: Use pci core's DVSEC functionality (John W. Linville) [2107254] - cxl/core: Replace unions with struct_group() (John W. Linville) [2107254] - PCI: Add pci_find_dvsec_capability to find designated VSEC (John W. Linville) [2107254] - net: remove noblock parameter from skb_recv_datagram() (Íñigo Huguet) [2143360] - net: ethernet: move from strlcpy with unused retval to strscpy (Ken Cox) [2104465] - e1000e: convert .adjfreq to .adjfine (Ken Cox) [2104465] - e1000e: remove unnecessary range check in e1000e_phc_adjfreq (Ken Cox) [2104465] - Revert "e1000e: Fix possible HW unit hang after an s0ix exit" (Ken Cox) [2104465] - e1000e: Enable GPT clock before sending message to CSME (Ken Cox) [2104465] - intel/e1000e:fix repeated words in comments (Ken Cox) [2104465] - intel: remove unused macros (Ken Cox) [2104465] - e1000e: Remove useless DMA-32 fallback configuration (Ken Cox) [2104465] Resolves: rhbz#2143841, rhbz#2075216, rhbz#2137969, rhbz#2135902, rhbz#2147541, rhbz#2144901, rhbz#2137921, rhbz#2107254, rhbz#2143360, rhbz#2104465 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-30 13:16:06 +00:00
CONFIG_PROVE_NVDIMM_LOCKING=y
# CONFIG_PROVE_RAW_LOCK_NESTING is not set
CONFIG_PSAMPLE=m
kernel-5.14.0-301.el9 * Tue Apr 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-301.el9] - kernel.spec: gcov: make gcov subpackages per variant (Jan Stancek) [2180784] - block: don't set GD_NEED_PART_SCAN if scan partition failed (Ming Lei) [2179915] - net: ethtool: fix __ethtool_dev_mm_supported() implementation (Ivan Vecera) [2175237] - ethtool: pse-pd: Fix double word in comments (Ivan Vecera) [2175237] - netlink-specs: add rx-push to ethtool family (Ivan Vecera) [2175237] - net: ethtool: extend ringparam set/get APIs for rx_push (Ivan Vecera) [2175237] - ethtool: mm: fix get_mm() return code not propagating to user space (Ivan Vecera) [2175237] - netlink: specs: add partial specification for ethtool (Ivan Vecera) [2175237] - Documentation: networking: correct spelling (Ivan Vecera) [2175237] - ethtool: netlink: convert commands to common SET (Ivan Vecera) [2175237] - ethtool: netlink: handle SET intro/outro in the common code (Ivan Vecera) [2175237] - net: ethtool: provide shims for stats aggregation helpers when CONFIG_ETHTOOL_NETLINK=n (Ivan Vecera) [2175237] - net: ethtool: fix NULL pointer dereference in pause_prepare_data() (Ivan Vecera) [2175237] - net: ethtool: fix NULL pointer dereference in stats_prepare_data() (Ivan Vecera) [2175237] - ethtool: Add and use ethnl_update_bool. (Ivan Vecera) [2175237] - net: ethtool: add helpers for MM fragment size translation (Ivan Vecera) [2175237] - net: ethtool: add helpers for aggregate statistics (Ivan Vecera) [2175237] - docs: ethtool: document ETHTOOL_A_STATS_SRC and ETHTOOL_A_PAUSE_STATS_SRC (Ivan Vecera) [2175237] - net: ethtool: netlink: retrieve stats from multiple sources (eMAC, pMAC) (Ivan Vecera) [2175237] - docs: ethtool-netlink: document interface for MAC Merge layer (Ivan Vecera) [2175237] - net: ethtool: add support for MAC Merge layer (Ivan Vecera) [2175237] - plca.c: fix obvious mistake in checking retval (Ivan Vecera) [2175237] - ethtool: add tx aggregation parameters (Ivan Vecera) [2175237] - ethtool: add netlink attr in rss get reply only if value is not null (Ivan Vecera) [2175237] - net/ethtool: add netlink interface for the PLCA RS (Ivan Vecera) [2175237] - ethtool: Replace 0-length array with flexible array (Ivan Vecera) [2175237] - net/ethtool/ioctl: split ethtool_get_phy_stats into multiple helpers (Ivan Vecera) [2175237] - net/ethtool/ioctl: remove if n_stats checks from ethtool_get_phy_stats (Ivan Vecera) [2175237] - net/ethtool/ioctl: return -EOPNOTSUPP if we have no phy stats (Ivan Vecera) [2175237] - ethtool: add netlink based get rss support (Ivan Vecera) [2175237] - ethtool: avoiding integer overflow in ethtool_phys_id() (Ivan Vecera) [2175237] - ethtool: doc: clarify what drivers can implement in their get_drvinfo() (Ivan Vecera) [2175237] - ethtool: ethtool_get_drvinfo: populate drvinfo fields even if callback exits (Ivan Vecera) [2175237] - ethtool: Fail number of channels change when it conflicts with rxnfc (Ivan Vecera) [2175237] - ethtool: linkstate: add a statistic for PHY down events (Ivan Vecera) [2175237] - ethtool: Add support for 800Gbps link modes (Ivan Vecera) [2175237] - ethtool: pse-pd: fix null-deref on genl_info in dump (Ivan Vecera) [2175237] - eth: pse: add missing static inlines (Ivan Vecera) [2175237] - ethtool: add interface to interact with Ethernet Power Equipment (Ivan Vecera) [2175237] - net: mdiobus: search for PSE nodes by parsing PHY nodes. (Ivan Vecera) [2175237] - net: mdiobus: fwnode_mdiobus_register_phy() rework error handling (Ivan Vecera) [2175237] - redhat: configs: Add config for PSE_CONTROLLER (Ivan Vecera) [2175237] - net: add framework to support Ethernet PSE and PDs devices (Ivan Vecera) [2175237] - ethtool: tunnels: check the return value of nla_nest_start() (Ivan Vecera) [2175237] - ethtool: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175237] - net: delete extra space and tab in blank line (Ivan Vecera) [2175237] - treewide: uapi: Replace zero-length arrays with flexible-array members (Ivan Vecera) [2175237] - ethtool: Fix and simplify ethtool_convert_link_mode_to_legacy_u32() (Ivan Vecera) [2175237] - net: ethtool: move checks before rtnl_lock() in ethnl_set_rings (Ivan Vecera) [2175237] - net: ethtool: extend ringparam set/get APIs for tx_push (Ivan Vecera) [2175237] - ethtool: add support to set/get completion queue event size (Ivan Vecera) [2175237] - ethtool: stats: Use struct_group() to clear all stats at once (Ivan Vecera) [2175237] - net: convert users of bitmap_foo() to linkmode_foo() (Ivan Vecera) [2175237] - cifs: Fix pages leak when writedata alloc failed in cifs_write_from_iter() (Ronnie Sahlberg) [2182524] - cifs: Fix pages array leak when writedata alloc failed in cifs_writedata_alloc() (Ronnie Sahlberg) [2182524] - cifs: update internal module number (Ronnie Sahlberg) [2182524] - cifs: fix double free on failed kerberos auth (Ronnie Sahlberg) [2182524] - cifs: fix interface count calculation during refresh (Ronnie Sahlberg) [2182524] - cifs: fix memory leaks in session setup (Ronnie Sahlberg) [2182524] - smb3: interface count displayed incorrectly (Ronnie Sahlberg) [2182524] - cifs: Fix memory leak when build ntlmssp negotiate blob failed (Ronnie Sahlberg) [2182524] - cifs: Fix xid leak in cifs_ses_add_channel() (Ronnie Sahlberg) [2182524] - cifs: Fix xid leak in cifs_flock() (Ronnie Sahlberg) [2182524] - cifs: Fix xid leak in cifs_create() (Ronnie Sahlberg) [2182524] - cifs: Fix xid leak in cifs_copy_file_range() (Ronnie Sahlberg) [2182524] - smb3: improve SMB3 change notification support (Ronnie Sahlberg) [2182524] - cifs: lease key is uninitialized in two additional functions when smb1 (Ronnie Sahlberg) [2182524] - cifs: lease key is uninitialized in smb1 paths (Ronnie Sahlberg) [2182524] - cifs: fix double-fault crash during ntlmssp (Ronnie Sahlberg) [2182524] - cifs: fix mount on old smb servers (Ronnie Sahlberg) [2182524] - cifs: use ALIGN() and round_up() macros (Ronnie Sahlberg) [2182524] - cifs: prevent copying past input buffer boundaries (Ronnie Sahlberg) [2182524] - cifs: Fix the error length of VALIDATE_NEGOTIATE_INFO message (Ronnie Sahlberg) [2182524] - cifs: destage dirty pages before re-reading them for cache=none (Ronnie Sahlberg) [2182524] - cifs: return correct error in ->calc_signature() (Ronnie Sahlberg) [2182524] - smb3: rename encryption/decryption TFMs (Ronnie Sahlberg) [2182524] - cifs: replace kfree() with kfree_sensitive() for sensitive data (Ronnie Sahlberg) [2182524] - cifs: remove initialization value (Ronnie Sahlberg) [2182524] - cifs: Replace a couple of one-element arrays with flexible-array members (Ronnie Sahlberg) [2182524] - smb3: add dynamic trace points for tree disconnect (Ronnie Sahlberg) [2182524] - cifs: misc: fix spelling typo in comment (Ronnie Sahlberg) [2182524] - cifs: update internal module number (Ronnie Sahlberg) [2182524] - cifs: Fix memory leak on the deferred close (Ronnie Sahlberg) [2182524] - SMB3: fix lease break timeout when multiple deferred close handles for the same file. (Ronnie Sahlberg) [2182524] - smb3: lower default deferred close timeout to address perf regression (Ronnie Sahlberg) [2182524] - smb3: allow deferred close timeout to be configurable (Ronnie Sahlberg) [2182524] - cifs: update internal module number (Ronnie Sahlberg) [2182524] - cifs: alloc_mid function should be marked as static (Ronnie Sahlberg) [2182524] - cifs: remove "cifs_" prefix from init/destroy mids functions (Ronnie Sahlberg) [2182524] - cifs: remove useless DeleteMidQEntry() (Ronnie Sahlberg) [2182524] - cifs: fix wrong unlock before return from cifs_tree_connect() (Ronnie Sahlberg) [2182524] - Documentation: networking: TC queue based filtering (Ivan Vecera) [2178209] - act_skbedit: skbedit queue mapping for receive queue (Ivan Vecera) [2178209] - wifi: iwlwifi: mvm: protect TXQ list manipulation (Jose Ignacio Tornos Martinez) [2183490] - wifi: iwlwifi: mvm: fix mvmtxq->stopped handling (Jose Ignacio Tornos Martinez) [2183490] - smb3: clarify multichannel warning (Ronnie Sahlberg) [2180669] - smb3: do not log confusing message when server returns no network interfaces (Ronnie Sahlberg) [2180669] - cifs: do not query ifaces on smb1 mounts (Ronnie Sahlberg) [2180669] - cifs: periodically query network interfaces from server (Ronnie Sahlberg) [2180669] - smb3: workaround negprot bug in some Samba servers (Ronnie Sahlberg) [2180669] - smb3: use netname when available on secondary channels (Ronnie Sahlberg) [2180669] - smb3: fix empty netname context on secondary channels (Ronnie Sahlberg) [2180669] - cifs: populate empty hostnames for extra channels (Ronnie Sahlberg) [2180669] - redhat/kernel.spec.template: fix installonlypkg for meta package (Jan Stancek) - s390/dump: save IPL CPU registers once DAT is available (Chris von Recklinghausen) [2185692] - gen_compile_commands: handle multiple lines per .mod file (Joel Slebodnick) [2160559] - scripts/nsdeps: adjust to the format change of *.mod files (Joel Slebodnick) [2160559] - kbuild: avoid regex RS for POSIX awk (Joel Slebodnick) [2160559] - kbuild: make *.mod rule robust against too long argument error (Joel Slebodnick) [2160559] - kbuild: make built-in.a rule robust against too long argument error (Joel Slebodnick) [2160559] - kbuild: read *.mod to get objects passed to $(LD) or $(AR) (Joel Slebodnick) [2160559] - kbuild: make *.mod not depend on *.o (Joel Slebodnick) [2160559] - kbuild: get rid of duplication in *.mod files (Joel Slebodnick) [2160559] - kbuild: split the second line of *.mod into *.usyms (Joel Slebodnick) [2160559] - kbuild: reuse real-search to simplify cmd_mod (Joel Slebodnick) [2160559] - kbuild: Fixup the IBT kbuild changes (Joel Slebodnick) [2160559] Resolves: rhbz#2160559, rhbz#2175237, rhbz#2178209, rhbz#2179915, rhbz#2180669, rhbz#2180784, rhbz#2182524, rhbz#2183490, rhbz#2185692 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-18 12:27:12 +00:00
# CONFIG_PSE_CONTROLLER is not set
CONFIG_PSERIES_CPUIDLE=y
CONFIG_PSERIES_ENERGY=m
kernel-5.14.0-198.el9 * Thu Nov 17 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-198.el9] - CI: Remove deprecated variable (Veronika Kabatova) - atlantic: fix deadlock at aq_nic_stop (Íñigo Huguet) [2125601] - sched/core: Fix comparison in sched_group_cookie_match() (Phil Auld) [2115520] - drivers/base: Fix unsigned comparison to -1 in CPUMAP_FILE_MAX_BYTES (Phil Auld) [2115520] - sched/debug: fix dentry leak in update_sched_domain_debugfs (Phil Auld) [2115520] - debugfs: add debugfs_lookup_and_remove() (Phil Auld) [2115520] - sched/psi: Remove unused parameter nbytes of psi_trigger_create() (Phil Auld) [2115520] - sched/psi: Zero the memory of struct psi_group (Phil Auld) [2115520] - sched/core: Do not requeue task on CPU excluded from cpus_mask (Phil Auld) [2115520] - workqueue: Avoid a false warning in unbind_workers() (Phil Auld) [2115520] - sched/rt: Fix Sparse warnings due to undefined rt.c declarations (Phil Auld) [2115520] - sched/deadline: Fix BUG_ON condition for deboosted tasks (Phil Auld) [2115520] - sched/core: Always flush pending blk_plug (Phil Auld) [2115520] - sched/fair: fix case with reduced capacity CPU (Phil Auld) [2115520] - sched/core: add forced idle accounting for cgroups (Phil Auld) [2115520] - sched/core: Use try_cmpxchg in set_nr_{and_not,if}_polling (Phil Auld) [2115520] - sched/core: Fix the bug that task won't enqueue into core tree when update cookie (Phil Auld) [2115520] - sched/fair: Remove the energy margin in feec() (Phil Auld) [2115520] - sched/fair: Remove task_util from effective utilization in feec() (Phil Auld) [2115520] - sched/fair: Use the same cpumask per-PD throughout find_energy_efficient_cpu() (Phil Auld) [2115520] - sched/fair: Rename select_idle_mask to select_rq_mask (Phil Auld) [2115520] - sched/fair: Decay task PELT values during wakeup migration (Phil Auld) [2115520] - sched/fair: Provide u64 read for 32-bits arch helper (Phil Auld) [2115520] - sched: Remove unused function group_first_cpu() (Phil Auld) [2115520] - sched/fair: Remove redundant word " *" (Phil Auld) [2115520] - sched: only perform capability check on privileged operation (Phil Auld) [2115520] - sched: Allow newidle balancing to bail out of load_balance (Phil Auld) [2115520] - sched: Remove the limitation of WF_ON_CPU on wakelist if wakee cpu is idle (Phil Auld) [2115520] - sched: Fix the check of nr_running at queue wakelist (Phil Auld) [2115520] - sched/deadline: Use proc_douintvec_minmax() limit minimum value (Phil Auld) [2115520] - workqueue: Wrap flush_workqueue() using a macro (Phil Auld) [2115520] - sched/fair: Optimize and simplify rq leaf_cfs_rq_list (Phil Auld) [2115520] - sched/autogroup: Fix sysctl move (Phil Auld) [2115520] - psi: dont alloc memory for psi by default (Phil Auld) [2115520] - sched: Reverse sched_class layout (Phil Auld) [2115520] - topology: Remove unused cpu_cluster_mask() (Phil Auld) [2115520] - sched: Update task_tick_numa to ignore tasks without an mm (Phil Auld) [2115520] - sched/deadline: Remove superfluous rq clock update in push_dl_task() (Phil Auld) [2115520] - sched/core: Avoid obvious double update_rq_clock warning (Phil Auld) [2115520] - sched/fair: Remove cfs_rq_tg_path() (Phil Auld) [2115520] - sched/fair: Remove sched_trace_*() helper functions (Phil Auld) [2115520] - sched: Fix build warning without CONFIG_SYSCTL (Phil Auld) [2115520] - time/sched_clock: Fix formatting of frequency reporting code (Phil Auld) [2115520] - time/sched_clock: Use Hz as the unit for clock rate reporting below 4kHz (Phil Auld) [2115520] - time/sched_clock: Round the frequency reported to nearest rather than down (Phil Auld) [2115520] - sched/fair: Revise comment about lb decision matrix (Phil Auld) [2115520] - sched/psi: report zeroes for CPU full at the system level (Phil Auld) [2115520] - sched/fair: Delete useless condition in tg_unthrottle_up() (Phil Auld) [2115520] - sched/fair: Fix cfs_rq_clock_pelt() for throttled cfs_rq (Phil Auld) [2115520] - sched/fair: Move calculate of avg_load to a better location (Phil Auld) [2115520] - workqueue: Restrict kworker in the offline CPU pool running on housekeeping CPUs (Phil Auld) [2115520] - sched/fair: Refactor cpu_util_without() (Phil Auld) [2115520] - sched/rt: fix build error when CONFIG_SYSCTL is disable (Phil Auld) [2115520] - sched: Move energy_aware sysctls to topology.c (Phil Auld) [2115520] - sched: Move cfs_bandwidth_slice sysctls to fair.c (Phil Auld) [2115520] - sched: Move uclamp_util sysctls to core.c (Phil Auld) [2115520] - sched: Move rr_timeslice sysctls to rt.c (Phil Auld) [2115520] - sched: Move deadline_period sysctls to deadline.c (Phil Auld) [2115520] - sched: Move rt_period/runtime sysctls to rt.c (Phil Auld) [2115520] - sched: Move schedstats sysctls to core.c (Phil Auld) [2115520] - sched: Move child_runs_first sysctls to fair.c (Phil Auld) [2115520] - sched: move autogroup sysctls into its own file (Phil Auld) [2115520] - psi: fix "no previous prototype" warnings when CONFIG_CGROUPS=n (Phil Auld) [2115520] - kernel/sched: Remove dl_boosted flag comment (Phil Auld) [2115520] - workqueue: Remove schedule() in unbind_workers() (Phil Auld) [2115520] - workqueue: Remove outdated comment about exceptional workers in unbind_workers() (Phil Auld) [2115520] - workqueue: Remove the advanced kicking of the idle workers in rebind_workers() (Phil Auld) [2115520] - workqueue: Fix unbind_workers() VS wq_worker_running() race (Phil Auld) [2115520] - firmware: tegra: bpmp: Do only aligned access to IPC memory area (Al Stone) [2122349] - dt-bindings: firmware: tegra: Convert to json-schema (Al Stone) [2122349] - firmware: tegra: Switch over to memdup_user() (Al Stone) [2122349] - firmware: tegra: Fix error check return value of debugfs_create_file() (Al Stone) [2122349] - firmware: tegra: Fix error application of sizeof() to pointer (Al Stone) [2122349] - firmware: tegra: Reduce stack usage (Al Stone) [2122349] - firmware: tegra: Stop using seq_get_buf() (Al Stone) [2122349] - mm, oom: do not trigger out_of_memory from the #PF (Waiman Long) [2139747] - redhat Kconfig: Enable virtual watchdog timers provided by PAPR hypervisors (Steve Best) [2110139] - watchdog/pseries-wdt: initial support for H_WATCHDOG-based watchdog timers (Steve Best) [2110139] - powerpc/pseries: register pseries-wdt device with platform bus (Steve Best) [2110139] - powerpc/pseries: add FW_FEATURE_WATCHDOG flag (Steve Best) [2110139] - powerpc/pseries: hvcall.h: add H_WATCHDOG opcode, H_NOOP return code (Steve Best) [2110139] Resolves: rhbz#2125601, rhbz#2115520, rhbz#2122349, rhbz#2139747, rhbz#2110139 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-17 08:59:11 +00:00
CONFIG_PSERIES_WDT=m
CONFIG_PSI_DEFAULT_DISABLED=y
CONFIG_PSI=y
# CONFIG_PSTORE_842_COMPRESS is not set
# CONFIG_PSTORE_BLK is not set
# CONFIG_PSTORE_CONSOLE is not set
CONFIG_PSTORE_DEFLATE_COMPRESS_DEFAULT=y
CONFIG_PSTORE_DEFLATE_COMPRESS=y
# CONFIG_PSTORE_FTRACE is not set
# CONFIG_PSTORE_LZ4_COMPRESS is not set
# CONFIG_PSTORE_LZ4HC_COMPRESS is not set
# CONFIG_PSTORE_LZO_COMPRESS is not set
# CONFIG_PSTORE_PMSG is not set
CONFIG_PSTORE_RAM=m
CONFIG_PSTORE=y
# CONFIG_PSTORE_ZSTD_COMPRESS is not set
CONFIG_PTDUMP_DEBUGFS=y
kernel-5.14.0-181.el9 * Wed Oct 26 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-181.el9] - perf test: Record only user callchains on the "Check Arm64 callgraphs are complete in fp mode" test (Michael Petlan) [2049125] - scsi: core: Allow the ALUA transitioning state enough time (Ewan D. Milne) [2132461] - scsi: ch: Do not initialise statics to 0 (Ewan D. Milne) [2132461] - scsi: core: Fix warning in scsi_alloc_sgtables() (Ewan D. Milne) [2132461] - scsi: sg: Allow waiting for commands to complete on removed device (Ewan D. Milne) [2132461] - scsi: core: Move the definition of SCSI_QUEUE_DELAY (Ewan D. Milne) [2132461] - scsi: core: Shorten long warning messages (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix zone transition to full condition (Ewan D. Milne) [2132461] - scsi: sd: Fix interpretation of VPD B9h length (Ewan D. Milne) [2132461] - scsi: core: Return BLK_STS_TRANSPORT for ALUA transitioning (Ewan D. Milne) [2132461] - scsi: sd_zbc: Prevent zone information memory leak (Ewan D. Milne) [2132461] - scsi: sd: Fix potential NULL pointer dereference (Ewan D. Milne) [2132461] - scsi: sd: Don't call blk_cleanup_disk() in sd_probe() (Ewan D. Milne) [2132461] - scsi: sr: Add memory allocation failure handling for get_capabilities() (Ewan D. Milne) [2132461] - scsi: sd: Reorganize DIF/DIX code to avoid calling revalidate twice (Ewan D. Milne) [2132461] - scsi: sd: Optimal I/O size should be a multiple of reported granularity (Ewan D. Milne) [2132461] - scsi: sd: Switch to using scsi_device VPD pages (Ewan D. Milne) [2132461] - scsi: sd: Use cached ATA Information VPD page (Ewan D. Milne) [2132461] - scsi: core: Do not truncate INQUIRY data on modern devices (Ewan D. Milne) [2132461] - scsi: core: Cache VPD pages b0, b1, b2 (Ewan D. Milne) [2132461] - scsi: core: Pick suitable allocation length in scsi_report_opcode() (Ewan D. Milne) [2132461] - scsi: core: Query VPD size before getting full page (Ewan D. Milne) [2132461] - scsi: fcoe: Fix Wstringop-overflow warnings in fcoe_wwn_from_mac() (Ewan D. Milne) [2132461] - scsi: core: Refine how we set tag_set NUMA node (Ewan D. Milne) [2132461] - scsi: scsi_debug: Add gap zone support (Ewan D. Milne) [2132461] - scsi: scsi_debug: Rename zone type constants (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix a typo (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Hide gap zones (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Return early in sd_zbc_check_zoned_characteristics() (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Introduce struct zoned_disk_info (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Use logical blocks as unit when querying zones (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Verify that the zone size is a power of two (Ewan D. Milne) [2132461] - scsi: sd: sd_zbc: Improve source code documentation (Ewan D. Milne) [2132461] - scsi: core: Increase max device queue_depth to 4096 (Ewan D. Milne) [2132461] - scsi: sr: Do not leak information in ioctl (Ewan D. Milne) [2132461] - scsi: sd: Clean up gendisk if device_add_disk() failed (Ewan D. Milne) [2132461] - scsi: sd: sd_read_cpr() requires VPD pages (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix sdebug_blk_mq_poll() in_use_bm bitmap use (Ewan D. Milne) [2132461] - scsi: core: sysfs: Remove comments that conflict with the actual logic (Ewan D. Milne) [2132461] - scsi: core: Remove unreachable code warning (Ewan D. Milne) [2132461] - scsi: libfc: Fix use after free in fc_exch_abts_resp() (Ewan D. Milne) [2132461] - scsi: scsi_debug: Fix qc_lock use in sdebug_blk_mq_poll() (Ewan D. Milne) [2132461] - scsi: scsi_debug: Silence unexpected unlock warnings (Ewan D. Milne) [2132461] - scsi: Remove unused member cmd_pool for structure scsi_host_template (Ewan D. Milne) [2132461] - scsi: core: Make "access_state" sysfs attribute always visible (Ewan D. Milne) [2132461] - scsi: scsi_debug: Add environmental reporting log subpage (Ewan D. Milne) [2132461] - scsi: scsi_debug: Add no_rwlock parameter (Ewan D. Milne) [2132461] - scsi: scsi_debug: Divide power on reset UNIT ATTENTION (Ewan D. Milne) [2132461] - scsi: scsi_debug: Refine sdebug_blk_mq_poll() (Ewan D. Milne) [2132461] - scsi: scsi_debug: Call scsi_done() directly (Ewan D. Milne) [2132461] - scsi: scsi_debug: Use TASK SET FULL more (Ewan D. Milne) [2132461] - scsi: scsi_debug: Strengthen defer_t accesses (Ewan D. Milne) [2132461] - scsi: ch: Don't use GFP_DMA (Ewan D. Milne) [2132461] - wireguard: netlink: avoid variable-sized memcpy on sockaddr (Hangbin Liu) [2135317] - rhel: Enable imx8 I2C configs properly (Al Stone) [2071838] - i2c: imx: fix typo in comment (Al Stone) [2071838] - i2c: dev: Force case user pointers in compat_i2cdev_ioctl() (Al Stone) [2071838] - i2c: dev: check return value when calling dev_set_name() (Al Stone) [2071838] - i2c: imx: Implement errata ERR007805 or e7805 bus frequency limit (Al Stone) [2071838] - i2c: mux: demux-pinctrl: do not deactivate a master that is not active (Al Stone) [2071838] - i2c: add tracepoints for I2C slave events (Al Stone) [2071838] - i2c: tegra: Add SMBus block read function (Al Stone) [2071838] - i2c: core: Use generic_handle_irq_safe() in i2c_handle_smbus_host_notify(). (Al Stone) [2071838] - i2c: smbus: Check for parent device before dereference (Al Stone) [2071838] - i2c: imx: allow COMPILE_TEST (Al Stone) [2071838] - i2c: don't expose function which is only used internally (Al Stone) [2071838] - i2c: ACPI: Replace acpi_bus_get_device() (Al Stone) [2071838] - i2c: smbus: Use device_*() functions instead of of_*() (Al Stone) [2071838] - Revert "i2c: core: support bus regulator controlling in adapter" (Al Stone) [2071838] - i2c: validate user data in compat ioctl (Al Stone) [2071838] - i2c: acpi: Do not instantiate I2C-clients on boards with known bogus DSDT entries (Al Stone) [2071838] - i2c: acpi: Add i2c_acpi_new_device_by_fwnode() function (Al Stone) [2071838] - i2c: acpi: Use acpi_dev_ready_for_enumeration() helper (Al Stone) [2071838] - i2c: tegra: use i2c_timings for bus clock freq (Al Stone) [2071838] - i2c: virtio: fix completion handling (Al Stone) [2071838] - i2c: enable async suspend/resume on i2c client devices (Al Stone) [2071838] - i2c: enable async suspend/resume for i2c adapters (Al Stone) [2071838] - i2c: tegra: Add the ACPI support (Al Stone) [2071838] - i2c: mux: gpio: Use array_size() helper (Al Stone) [2071838] - i2c: mux: gpio: Don't dereference fwnode from struct device (Al Stone) [2071838] - i2c: mux: gpio: Replace custom acpi_get_local_address() (Al Stone) [2071838] - i2c: imx: Add timer for handling the stop condition (Al Stone) [2071838] - i2c: virtio: disable timeout handling (Al Stone) [2071838] - i2c: Allow an ACPI driver to manage the device's power state during probe (Al Stone) [2071838] - i2c: virtio: Add support for zero-length requests (Al Stone) [2071838] - i2c: tegra: Ensure that device is suspended before driver is removed (Al Stone) [2071838] - i2c: acpi: Replace custom function with device_match_acpi_handle() (Al Stone) [2071838] - i2c: switch from 'pci_' to 'dma_' API (Al Stone) [2071838] - i2c: acpi: fix resource leak in reconfiguration device addition (Al Stone) [2071838] - i2c: virtio: add a virtio i2c frontend driver (Al Stone) [2071838] - i2c: remove dead PMC MSP TWI/SMBus/I2C driver (Al Stone) [2071838] - i2c: dev: Use sysfs_emit() in "show" functions (Al Stone) [2071838] - i2c: dev: Define pr_fmt() and drop duplication substrings (Al Stone) [2071838] - i2c: imx: : use proper DMAENGINE API for termination (Al Stone) [2071838] - remove CONFIG_PTE_MARKER and add CONFIG_PTE_MARKER_UFFD_WP (Chris von Recklinghausen) [2136480] - mm/uffd: move USERFAULTFD configs into mm/ (Chris von Recklinghausen) [2136480] - mm: enable PTE markers by default (Chris von Recklinghausen) [2136480] - mm/uffd: PTE_MARKER_UFFD_WP (Chris von Recklinghausen) [2136480] - scsi: qedf: Populate sysfs attributes for vport (Nilesh Javali) [2125169] - scsi: qedf: Fix typo in comment (Nilesh Javali) [2111468] - scsi: qedf: Remove redundant variable op (Nilesh Javali) [2111468] - scsi: qedf: Remove an unneeded NULL check on list iterator (Nilesh Javali) [2111468] - scsi: qedf: Remove unnecessary code (Nilesh Javali) [2111468] - scsi: bnx2fc: Avoid using get_cpu() in bnx2fc_cmd_alloc() (Nilesh Javali) [2119312] - scsi: bnx2fc: Fix spelling mistake "mis-match" -> "mismatch" (Nilesh Javali) [2119312] - scsi: bnx2fc: Make use of the helper macro kthread_run() (Nilesh Javali) [2119312] - scsi: bnx2fc: Fix typo in comments (Nilesh Javali) [2119312] Resolves: rhbz#2049125, rhbz#2132461, rhbz#2135317, rhbz#2071838, rhbz#2136480, rhbz#2125169, rhbz#2111468, rhbz#2119312 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-26 07:40:28 +00:00
# CONFIG_PTE_MARKER_UFFD_WP is not set
# CONFIG_PTP_1588_CLOCK_IDT82P33 is not set
# CONFIG_PTP_1588_CLOCK_IDTCM is not set
# CONFIG_PTP_1588_CLOCK_INES is not set
CONFIG_PTP_1588_CLOCK_KVM=m
# CONFIG_PTP_1588_CLOCK_OCP is not set
CONFIG_PTP_1588_CLOCK=y
# CONFIG_PUNIT_ATOM_DEBUG is not set
# CONFIG_PVPANIC is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_PVPANIC_MMIO is not set
# CONFIG_PVPANIC_PCI is not set
# CONFIG_PWM_ATMEL_TCB is not set
# CONFIG_PWM_DEBUG is not set
# CONFIG_PWM_DWC is not set
# CONFIG_PWM_FSL_FTM is not set
# CONFIG_PWM_HIBVT is not set
# CONFIG_PWM_PCA9685 is not set
CONFIG_PWM=y
# CONFIG_PWRSEQ_EMMC is not set
# CONFIG_PWRSEQ_SD8787 is not set
# CONFIG_PWRSEQ_SIMPLE is not set
# CONFIG_QCA7000_SPI is not set
# CONFIG_QCOM_AOSS_QMP is not set
# CONFIG_QCOM_APCS_IPC is not set
# CONFIG_QCOM_COMMAND_DB is not set
# CONFIG_QCOM_CPR is not set
# CONFIG_QCOM_EBI2 is not set
CONFIG_QCOM_FALKOR_ERRATUM_1003=y
CONFIG_QCOM_FALKOR_ERRATUM_1009=y
# CONFIG_QCOM_GENI_SE is not set
# CONFIG_QCOM_GPI_DMA is not set
# CONFIG_QCOM_HIDMA is not set
# CONFIG_QCOM_HIDMA_MGMT is not set
kernel-5.14.0-196.el9 * Mon Nov 14 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-196.el9] - redhat/configs: add configs for new Qualcomm options (Adrien Thierry) [2122365] - soc: qcom: Make QCOM_RPMPD depend on OF (Adrien Thierry) [2122365] - soc: qcom: spm: Add CPU data for MSM8909 (Adrien Thierry) [2122365] - soc: qcom: rpmpd: Add compatible for MSM8909 (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add compatible for MSM8909 (Adrien Thierry) [2122365] - soc: qcom: icc-bwmon: Remove unnecessary print function dev_err() (Adrien Thierry) [2122365] - soc: qcom: socinfo: Fix the id of SA8540P SoC (Adrien Thierry) [2122365] - soc: qcom: Make QCOM_RPMPD depend on PM (Adrien Thierry) [2122365] - soc: qcom: icc-bwmon: Add bandwidth monitoring driver (Adrien Thierry) [2122365] - soc/qcom: Make QCOM_RPMPD select PM_GENERIC_DOMAINS/_OF (Adrien Thierry) [2122365] - soc: qcom: aoss: Fix refcount leak in qmp_cooling_devices_register (Adrien Thierry) [2122365] - soc: qcom: llcc: Fix syntax errors in comments (Adrien Thierry) [2122365] - soc: qcom: ocmem: Fix refcount leak in of_get_ocmem (Adrien Thierry) [2122365] - soc: qcom: cmd-db: replace strscpy_pad() with strncpy() (Adrien Thierry) [2122365] - soc: qcom: rpmhpd: fix typos in comment (Adrien Thierry) [2122365] - soc: qcom: correct kerneldoc (Adrien Thierry) [2122365] - soc: qcom: cmd-db: replace strncpy() with strscpy_pad() (Adrien Thierry) [2122365] - soc: qcom: apr: Drop redundant check in .remove() (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add an ID for sc7180P (Adrien Thierry) [2122365] - soc: qcom: geni: Disable MMIO tracing for GENI SE (Adrien Thierry) [2122365] - soc: qcom: pdr: use static for servreg_* variables (Adrien Thierry) [2122365] - soc: qcom: socinfo: Sort out 8974PRO names (Adrien Thierry) [2122365] - soc: qcom: pdr: replace usage of found with dedicated list iterator variable (Adrien Thierry) [2122365] - soc: qcom: smsm: Fix missing of_node_put() in smsm_parse_ipc (Adrien Thierry) [2122365] - soc: qcom: smp2p: Fix missing of_node_put() in smp2p_parse_ipc (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add another ID for SM8450 (Adrien Thierry) [2122365] - soc: qcom: socinfo: add SC7280 entry to soc_id array (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Fix split-firmware condition (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add some more PMICs and SoCs (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Extract PAS operations (Adrien Thierry) [2122365] - cpuidle: qcom-spm: Check if any CPU is managed by SPM (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Always invoke PAS mem_setup (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Reorder parts of __qcom_mdt_load() (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Extend check for split firmware (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Allow hash to reside in any segment (Adrien Thierry) [2122365] - firmware: qcom: scm: Introduce pas_metadata context (Adrien Thierry) [2122365] - soc: qcom: aoss: remove spurious IRQF_ONESHOT flags (Adrien Thierry) [2122365] - soc: qcom: apr: Remove redundant 'flush_workqueue()' calls (Adrien Thierry) [2122365] - soc: qcom: ocmem: Fix missing put_device() call in of_get_ocmem (Adrien Thierry) [2122365] - soc: qcom: rpmh-rsc: Fix typo in a comment (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add SM6350 and SM7225 (Adrien Thierry) [2122365] - soc: qcom: socinfo: add SM8450 ID (Adrien Thierry) [2122365] - soc: qcom: aoss: constify static struct thermal_cooling_device_ops (Adrien Thierry) [2122365] - PM: AVS: qcom-cpr: Use div64_ul instead of do_div (Adrien Thierry) [2122365] - soc: qcom: stats: Add fixed sleep stats offset for older RPM firmwares (Adrien Thierry) [2122365] - soc: qcom: qmi: Fix a typo in a comment (Adrien Thierry) [2122365] - qcom: spm: allow compile-testing (Adrien Thierry) [2122365] - soc: qcom: smp2p: Add of_node_put() before goto (Adrien Thierry) [2122365] - soc: qcom: apr: Add of_node_put() before return (Adrien Thierry) [2122365] - soc: qcom: qcom_stats: Fix client votes offset (Adrien Thierry) [2122365] - soc: qcom: spm: Add 8916 SPM register data (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add PM8150C and SMB2351 models (Adrien Thierry) [2122365] - soc: qcom: smp2p: add feature negotiation and ssr ack feature support (Adrien Thierry) [2122365] - soc: qcom: Add Sleep stats driver (Adrien Thierry) [2122365] - soc: qcom: socinfo: add two missing PMIC IDs (Adrien Thierry) [2122365] - soc: qcom: apr: Add GPR support (Adrien Thierry) [2122365] - soc: qcom: apr: make code more reuseable (Adrien Thierry) [2122365] - soc: qcom: aoss: Drop power domain support (Adrien Thierry) [2122365] - soc: qcom: smp2p: Add wakeup capability to SMP2P IRQ (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add QCM2290 compatible (Adrien Thierry) [2122365] - soc: qcom: pdr: Prefer strscpy over strcpy (Adrien Thierry) [2122365] - soc: qcom: rpmh-rsc: Make use of the helper function devm_platform_ioremap_resource_byname() (Adrien Thierry) [2122365] - soc: qcom: gsbi: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365] - soc: qcom: aoss: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365] - soc: qcom: ocmem: Make use of the helper function devm_platform_ioremap_resource_byname() (Adrien Thierry) [2122365] - PM: AVS: qcom-cpr: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add IPQ8074 family ID-s (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add compatible for MSM8953 SoC (Adrien Thierry) [2122365] - soc: qcom: spm: Add compatible for MSM8998 SAWv4.1 L2 (Adrien Thierry) [2122365] - soc: qcom: spm: Implement support for SAWv4.1, SDM630/660 L2 AVS (Adrien Thierry) [2122365] - cpuidle: qcom_spm: Detach state machine from main SPM handling (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Drop PT_LOAD check on hash segment (Adrien Thierry) [2122365] - nvmem: core: Add stubs for nvmem_cell_read_variable_le_u32/64 if !CONFIG_NVMEM (Adrien Thierry) [2122365] - soc: qcom: socinfo: Fixed argument passed to platform_set_data() (Adrien Thierry) [2122365] - soc: qcom: smsm: Fix missed interrupts if state changes while masked (Adrien Thierry) [2122365] - soc: qcom: smsm: Implement support for get_irqchip_state (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add SM6115 compatible (Adrien Thierry) [2122365] - PM: AVS: qcom-cpr: Use nvmem_cell_read_variable_le_u32() (Adrien Thierry) [2122365] - soc: qcom: aoss: Fix the out of bound usage of cooling_devs (Adrien Thierry) [2122365] - soc: qcom: socinfo: Don't print anything if nothing found (Adrien Thierry) [2122365] - soc: qcom: aoss: Add generic compatible (Adrien Thierry) [2122365] - net: drop the weight argument from netif_napi_add (Íñigo Huguet) [2139498] - eth: octeon: fix build after netif_napi_add() changes (Íñigo Huguet) [2139498] - net: remove netif_tx_napi_add() (Íñigo Huguet) [2139498] - atl1c: use netif_napi_add_tx() for Tx NAPI (Íñigo Huguet) [2139498] - ipoib: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - IB/hfi1: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - IB/hfi1: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498] - crypto: caam/qi2 - switch to netif_napi_add_tx_weight() (Íñigo Huguet) [2139498] - can: can-dev: move to netif_napi_add_weight() (Íñigo Huguet) [2139498] - net: wan: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - net: virtio: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - r8152: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - eth: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - mt76: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498] - net: move snowflake callers to netif_napi_add_tx_weight() (Íñigo Huguet) [2139498] - net: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498] - netdev: reshuffle netif_napi_add() APIs to allow dropping weight (Íñigo Huguet) [2139498] - ath10k: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - rtw88: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: smsc: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: benet: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: remove remaining copies of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: remove copies of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: atlantic: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - can: m_can: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - scsi: lpfc: Update lpfc version to 14.2.0.8 (Dick Kennedy) [2114053] - scsi: lpfc: Create a sysfs entry called lpfc_xcvr_data for transceiver info (Dick Kennedy) [2114053] - scsi: lpfc: Log when congestion management limits are in effect (Dick Kennedy) [2114053] - scsi: lpfc: Fix hard lockup when reading the rx_monitor from debugfs (Dick Kennedy) [2114053] - scsi: lpfc: Set sli4_param's cmf option to zero when CMF is turned off (Dick Kennedy) [2114053] - scsi: lpfc: Update lpfc version to 14.2.0.7 (Dick Kennedy) [2114053] - scsi: lpfc: Fix various issues reported by tools (Dick Kennedy) [2114053] - scsi: lpfc: Add reporting capability for Link Degrade Signaling (Dick Kennedy) [2114053] - scsi: lpfc: Rework FDMI attribute registration for unintential padding (Dick Kennedy) [2114053] - scsi: lpfc: Rework lpfc_fdmi_cmd() routine for cleanup and consistency (Dick Kennedy) [2114053] - scsi: lpfc: Rename mp/bmp dma buffers to rq/rsp in lpfc_fdmi_cmd (Dick Kennedy) [2114053] - scsi: lpfc: Update congestion mode logging for Emulex SAN Manager application (Dick Kennedy) [2114053] - scsi: lpfc: Move scsi_host_template outside dynamically allocated/freed phba (Dick Kennedy) [2114053] - scsi: lpfc: Fix multiple NVMe remoteport registration calls for the same NPort ID (Dick Kennedy) [2114053] - scsi: lpfc: Add missing free iocb and nlp kref put for early return VMID cases (Dick Kennedy) [2114053] - scsi: lpfc: Fix mbuf pool resource detected as busy at driver unload (Dick Kennedy) [2114053] - scsi: lpfc: Fix FLOGI ACC with wrong SID in PT2PT topology (Dick Kennedy) [2114053] - scsi: lpfc: Fix prli_fc4_req checks in PRLI handling (Dick Kennedy) [2114053] - scsi: lpfc: Remove unneeded result variable (Dick Kennedy) [2114053] - scsi: lpfc: Remove the unneeded result variable (Dick Kennedy) [2114053] - scsi: lpfc: Copyright updates for 14.2.0.6 patches (Dick Kennedy) [2114053] - scsi: lpfc: Update lpfc version to 14.2.0.6 (Dick Kennedy) [2114053] - scsi: lpfc: Remove SANDiags related code (Dick Kennedy) [2114053] - scsi: lpfc: Add warning notification period to CMF_SYNC_WQE (Dick Kennedy) [2114053] - scsi: lpfc: Rework MIB Rx Monitor debug info logic (Dick Kennedy) [2114053] - scsi: lpfc: Fix null ndlp ptr dereference in abnormal exit path for GFT_ID (Dick Kennedy) [2114053] - scsi: lpfc: Fix unsolicited FLOGI receive handling during PT2PT discovery (Dick Kennedy) [2114053] - scsi: lpfc: Check the return value of alloc_workqueue() (Dick Kennedy) [2114053] Resolves: rhbz#2122365, rhbz#2139498, rhbz#2114053 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-14 15:33:31 +00:00
# CONFIG_QCOM_ICC_BWMON is not set
# CONFIG_QCOM_IOMMU is not set
# CONFIG_QCOM_IPCC is not set
# CONFIG_QCOM_OCMEM is not set
# CONFIG_QCOM_PDC is not set
CONFIG_QCOM_QDF2400_ERRATUM_0065=y
# CONFIG_QCOM_QFPROM is not set
# CONFIG_QCOM_RMTFS_MEM is not set
# CONFIG_QCOM_SMEM is not set
kernel-5.14.0-196.el9 * Mon Nov 14 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-196.el9] - redhat/configs: add configs for new Qualcomm options (Adrien Thierry) [2122365] - soc: qcom: Make QCOM_RPMPD depend on OF (Adrien Thierry) [2122365] - soc: qcom: spm: Add CPU data for MSM8909 (Adrien Thierry) [2122365] - soc: qcom: rpmpd: Add compatible for MSM8909 (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add compatible for MSM8909 (Adrien Thierry) [2122365] - soc: qcom: icc-bwmon: Remove unnecessary print function dev_err() (Adrien Thierry) [2122365] - soc: qcom: socinfo: Fix the id of SA8540P SoC (Adrien Thierry) [2122365] - soc: qcom: Make QCOM_RPMPD depend on PM (Adrien Thierry) [2122365] - soc: qcom: icc-bwmon: Add bandwidth monitoring driver (Adrien Thierry) [2122365] - soc/qcom: Make QCOM_RPMPD select PM_GENERIC_DOMAINS/_OF (Adrien Thierry) [2122365] - soc: qcom: aoss: Fix refcount leak in qmp_cooling_devices_register (Adrien Thierry) [2122365] - soc: qcom: llcc: Fix syntax errors in comments (Adrien Thierry) [2122365] - soc: qcom: ocmem: Fix refcount leak in of_get_ocmem (Adrien Thierry) [2122365] - soc: qcom: cmd-db: replace strscpy_pad() with strncpy() (Adrien Thierry) [2122365] - soc: qcom: rpmhpd: fix typos in comment (Adrien Thierry) [2122365] - soc: qcom: correct kerneldoc (Adrien Thierry) [2122365] - soc: qcom: cmd-db: replace strncpy() with strscpy_pad() (Adrien Thierry) [2122365] - soc: qcom: apr: Drop redundant check in .remove() (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add an ID for sc7180P (Adrien Thierry) [2122365] - soc: qcom: geni: Disable MMIO tracing for GENI SE (Adrien Thierry) [2122365] - soc: qcom: pdr: use static for servreg_* variables (Adrien Thierry) [2122365] - soc: qcom: socinfo: Sort out 8974PRO names (Adrien Thierry) [2122365] - soc: qcom: pdr: replace usage of found with dedicated list iterator variable (Adrien Thierry) [2122365] - soc: qcom: smsm: Fix missing of_node_put() in smsm_parse_ipc (Adrien Thierry) [2122365] - soc: qcom: smp2p: Fix missing of_node_put() in smp2p_parse_ipc (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add another ID for SM8450 (Adrien Thierry) [2122365] - soc: qcom: socinfo: add SC7280 entry to soc_id array (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Fix split-firmware condition (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add some more PMICs and SoCs (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Extract PAS operations (Adrien Thierry) [2122365] - cpuidle: qcom-spm: Check if any CPU is managed by SPM (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Always invoke PAS mem_setup (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Reorder parts of __qcom_mdt_load() (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Extend check for split firmware (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Allow hash to reside in any segment (Adrien Thierry) [2122365] - firmware: qcom: scm: Introduce pas_metadata context (Adrien Thierry) [2122365] - soc: qcom: aoss: remove spurious IRQF_ONESHOT flags (Adrien Thierry) [2122365] - soc: qcom: apr: Remove redundant 'flush_workqueue()' calls (Adrien Thierry) [2122365] - soc: qcom: ocmem: Fix missing put_device() call in of_get_ocmem (Adrien Thierry) [2122365] - soc: qcom: rpmh-rsc: Fix typo in a comment (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add SM6350 and SM7225 (Adrien Thierry) [2122365] - soc: qcom: socinfo: add SM8450 ID (Adrien Thierry) [2122365] - soc: qcom: aoss: constify static struct thermal_cooling_device_ops (Adrien Thierry) [2122365] - PM: AVS: qcom-cpr: Use div64_ul instead of do_div (Adrien Thierry) [2122365] - soc: qcom: stats: Add fixed sleep stats offset for older RPM firmwares (Adrien Thierry) [2122365] - soc: qcom: qmi: Fix a typo in a comment (Adrien Thierry) [2122365] - qcom: spm: allow compile-testing (Adrien Thierry) [2122365] - soc: qcom: smp2p: Add of_node_put() before goto (Adrien Thierry) [2122365] - soc: qcom: apr: Add of_node_put() before return (Adrien Thierry) [2122365] - soc: qcom: qcom_stats: Fix client votes offset (Adrien Thierry) [2122365] - soc: qcom: spm: Add 8916 SPM register data (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add PM8150C and SMB2351 models (Adrien Thierry) [2122365] - soc: qcom: smp2p: add feature negotiation and ssr ack feature support (Adrien Thierry) [2122365] - soc: qcom: Add Sleep stats driver (Adrien Thierry) [2122365] - soc: qcom: socinfo: add two missing PMIC IDs (Adrien Thierry) [2122365] - soc: qcom: apr: Add GPR support (Adrien Thierry) [2122365] - soc: qcom: apr: make code more reuseable (Adrien Thierry) [2122365] - soc: qcom: aoss: Drop power domain support (Adrien Thierry) [2122365] - soc: qcom: smp2p: Add wakeup capability to SMP2P IRQ (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add QCM2290 compatible (Adrien Thierry) [2122365] - soc: qcom: pdr: Prefer strscpy over strcpy (Adrien Thierry) [2122365] - soc: qcom: rpmh-rsc: Make use of the helper function devm_platform_ioremap_resource_byname() (Adrien Thierry) [2122365] - soc: qcom: gsbi: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365] - soc: qcom: aoss: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365] - soc: qcom: ocmem: Make use of the helper function devm_platform_ioremap_resource_byname() (Adrien Thierry) [2122365] - PM: AVS: qcom-cpr: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365] - soc: qcom: socinfo: Add IPQ8074 family ID-s (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add compatible for MSM8953 SoC (Adrien Thierry) [2122365] - soc: qcom: spm: Add compatible for MSM8998 SAWv4.1 L2 (Adrien Thierry) [2122365] - soc: qcom: spm: Implement support for SAWv4.1, SDM630/660 L2 AVS (Adrien Thierry) [2122365] - cpuidle: qcom_spm: Detach state machine from main SPM handling (Adrien Thierry) [2122365] - soc: qcom: mdt_loader: Drop PT_LOAD check on hash segment (Adrien Thierry) [2122365] - nvmem: core: Add stubs for nvmem_cell_read_variable_le_u32/64 if !CONFIG_NVMEM (Adrien Thierry) [2122365] - soc: qcom: socinfo: Fixed argument passed to platform_set_data() (Adrien Thierry) [2122365] - soc: qcom: smsm: Fix missed interrupts if state changes while masked (Adrien Thierry) [2122365] - soc: qcom: smsm: Implement support for get_irqchip_state (Adrien Thierry) [2122365] - soc: qcom: smd-rpm: Add SM6115 compatible (Adrien Thierry) [2122365] - PM: AVS: qcom-cpr: Use nvmem_cell_read_variable_le_u32() (Adrien Thierry) [2122365] - soc: qcom: aoss: Fix the out of bound usage of cooling_devs (Adrien Thierry) [2122365] - soc: qcom: socinfo: Don't print anything if nothing found (Adrien Thierry) [2122365] - soc: qcom: aoss: Add generic compatible (Adrien Thierry) [2122365] - net: drop the weight argument from netif_napi_add (Íñigo Huguet) [2139498] - eth: octeon: fix build after netif_napi_add() changes (Íñigo Huguet) [2139498] - net: remove netif_tx_napi_add() (Íñigo Huguet) [2139498] - atl1c: use netif_napi_add_tx() for Tx NAPI (Íñigo Huguet) [2139498] - ipoib: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - IB/hfi1: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - IB/hfi1: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498] - crypto: caam/qi2 - switch to netif_napi_add_tx_weight() (Íñigo Huguet) [2139498] - can: can-dev: move to netif_napi_add_weight() (Íñigo Huguet) [2139498] - net: wan: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - net: virtio: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - r8152: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - eth: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498] - mt76: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498] - net: move snowflake callers to netif_napi_add_tx_weight() (Íñigo Huguet) [2139498] - net: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498] - netdev: reshuffle netif_napi_add() APIs to allow dropping weight (Íñigo Huguet) [2139498] - ath10k: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - rtw88: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: smsc: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: benet: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: remove remaining copies of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: remove copies of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - eth: atlantic: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - can: m_can: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498] - scsi: lpfc: Update lpfc version to 14.2.0.8 (Dick Kennedy) [2114053] - scsi: lpfc: Create a sysfs entry called lpfc_xcvr_data for transceiver info (Dick Kennedy) [2114053] - scsi: lpfc: Log when congestion management limits are in effect (Dick Kennedy) [2114053] - scsi: lpfc: Fix hard lockup when reading the rx_monitor from debugfs (Dick Kennedy) [2114053] - scsi: lpfc: Set sli4_param's cmf option to zero when CMF is turned off (Dick Kennedy) [2114053] - scsi: lpfc: Update lpfc version to 14.2.0.7 (Dick Kennedy) [2114053] - scsi: lpfc: Fix various issues reported by tools (Dick Kennedy) [2114053] - scsi: lpfc: Add reporting capability for Link Degrade Signaling (Dick Kennedy) [2114053] - scsi: lpfc: Rework FDMI attribute registration for unintential padding (Dick Kennedy) [2114053] - scsi: lpfc: Rework lpfc_fdmi_cmd() routine for cleanup and consistency (Dick Kennedy) [2114053] - scsi: lpfc: Rename mp/bmp dma buffers to rq/rsp in lpfc_fdmi_cmd (Dick Kennedy) [2114053] - scsi: lpfc: Update congestion mode logging for Emulex SAN Manager application (Dick Kennedy) [2114053] - scsi: lpfc: Move scsi_host_template outside dynamically allocated/freed phba (Dick Kennedy) [2114053] - scsi: lpfc: Fix multiple NVMe remoteport registration calls for the same NPort ID (Dick Kennedy) [2114053] - scsi: lpfc: Add missing free iocb and nlp kref put for early return VMID cases (Dick Kennedy) [2114053] - scsi: lpfc: Fix mbuf pool resource detected as busy at driver unload (Dick Kennedy) [2114053] - scsi: lpfc: Fix FLOGI ACC with wrong SID in PT2PT topology (Dick Kennedy) [2114053] - scsi: lpfc: Fix prli_fc4_req checks in PRLI handling (Dick Kennedy) [2114053] - scsi: lpfc: Remove unneeded result variable (Dick Kennedy) [2114053] - scsi: lpfc: Remove the unneeded result variable (Dick Kennedy) [2114053] - scsi: lpfc: Copyright updates for 14.2.0.6 patches (Dick Kennedy) [2114053] - scsi: lpfc: Update lpfc version to 14.2.0.6 (Dick Kennedy) [2114053] - scsi: lpfc: Remove SANDiags related code (Dick Kennedy) [2114053] - scsi: lpfc: Add warning notification period to CMF_SYNC_WQE (Dick Kennedy) [2114053] - scsi: lpfc: Rework MIB Rx Monitor debug info logic (Dick Kennedy) [2114053] - scsi: lpfc: Fix null ndlp ptr dereference in abnormal exit path for GFT_ID (Dick Kennedy) [2114053] - scsi: lpfc: Fix unsolicited FLOGI receive handling during PT2PT discovery (Dick Kennedy) [2114053] - scsi: lpfc: Check the return value of alloc_workqueue() (Dick Kennedy) [2114053] Resolves: rhbz#2122365, rhbz#2139498, rhbz#2114053 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-14 15:33:31 +00:00
# CONFIG_QCOM_SPM is not set
CONFIG_QEDE=m
CONFIG_QED_FCOE=y
CONFIG_QEDF=m
CONFIG_QEDI=m
CONFIG_QED_ISCSI=y
CONFIG_QED_LL2=y
CONFIG_QED=m
CONFIG_QED_SRIOV=y
# CONFIG_QFMT_V1 is not set
CONFIG_QFMT_V2=y
# CONFIG_QLA3XXX is not set
# CONFIG_QLCNIC is not set
# CONFIG_QNX4FS_FS is not set
# CONFIG_QNX6FS_FS is not set
# CONFIG_QRTR is not set
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_QRTR_MHI=m
# CONFIG_QRTR_TUN is not set
CONFIG_QSEMI_PHY=m
# CONFIG_QTNFMAC_PCIE is not set
# CONFIG_QUICC_ENGINE is not set
CONFIG_QUOTACTL=y
CONFIG_QUOTA_DEBUG=y
CONFIG_QUOTA_NETLINK_INTERFACE=y
CONFIG_QUOTA=y
CONFIG_R8169=m
CONFIG_RADIO_ADAPTERS=y
# CONFIG_RADIO_MAXIRADIO is not set
# CONFIG_RADIO_SAA7706H is not set
# CONFIG_RADIO_SHARK2 is not set
# CONFIG_RADIO_SHARK is not set
# CONFIG_RADIO_SI470X is not set
# CONFIG_RADIO_SI4713 is not set
CONFIG_RADIO_TEA575X=m
# CONFIG_RADIO_TEA5764 is not set
# CONFIG_RADIO_TEF6862 is not set
# CONFIG_RADIO_WL1273 is not set
# CONFIG_RAID6_PQ_BENCHMARK is not set
CONFIG_RAID_ATTRS=m
CONFIG_RANDOM32_SELFTEST=y
CONFIG_RANDOMIZE_BASE=y
kernel-5.14.0-85.el9 * Wed May 04 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-85.el9] - net: mana: Remove unnecessary check of cqe_type in mana_process_rx_cqe() (Mohammed Gamal) [2064198] - net: mana: Add handling of CQE_RX_TRUNCATED (Mohammed Gamal) [2064198] - ipv6: make ip6_rt_gc_expire an atomic_t (Hangbin Liu) [2077372] - ipv6: fix panic when forwarding a pkt with no in6 dev (Hangbin Liu) [2077372] - ipv6: Fix stats accounting in ip6_pkt_drop (Hangbin Liu) [2077372] - net: ipv6: ensure we call ipv6_mc_down() at most once (Hangbin Liu) [2077372] - ipv6: fix data-race in fib6_info_hw_flags_set / fib6_purge_rt (Hangbin Liu) [2077372] - ipv6: per-netns exclusive flowlabel checks (Hangbin Liu) [2077372] - ipv6: mcast: use rcu-safe version of ipv6_get_lladdr() (Hangbin Liu) [2077372] - ipmr,ip6mr: acquire RTNL before calling ip[6]mr_free_table() on failure path (Hangbin Liu) [2077372] - ipv6: annotate accesses to fn->fn_sernum (Hangbin Liu) [2077372] - redhat/configs: enable CONFIG_RANDOMIZE_KSTACK_OFFSET_DEFAULT (Joel Savitz) [2026319] - net: annotate accesses to dev->gso_max_segs (Ivan Vecera) [2073465] - net: annotate accesses to dev->gso_max_size (Ivan Vecera) [2073465] - PCI/VGA: Move vgaarb to drivers/pci (Karol Herbst) [2072020] - vgaarb: don't pass a cookie to vga_client_register (Karol Herbst) [2072020] - vgaarb: remove the unused irq_set_state argument to vga_client_register (Karol Herbst) [2072020] - vgaarb: provide a vga_client_unregister wrapper (Karol Herbst) [2072020] - vgaarb: cleanup vgaarb.h (Karol Herbst) [2072020] - vgaarb: move the kerneldoc for vga_set_legacy_decoding to vgaarb.c (Karol Herbst) [2072020] - vgaarb: remove vga_conflicts (Karol Herbst) [2072020] - vgaarb: remove VGA_DEFAULT_DEVICE (Karol Herbst) [2072020] - agp/intel-gtt: reduce intel-gtt dependencies more (Karol Herbst) [2072020] - agp/intel-gtt: Replace kernel.h with the necessary inclusions (Karol Herbst) [2072020] - component: Add common helper for compare/release functions (Karol Herbst) [2072020] - lib/string_helpers: Consolidate string helpers implementation (Karol Herbst) [2072020] - include/linux/string_helpers.h: add linux/string.h for strlen() (Karol Herbst) [2072020] - linux/container_of.h: switch to static_assert (Karol Herbst) [2072020] - kernel.h: split out container_of() and typeof_member() macros (Karol Herbst) [2072020] - x86/kvmclock: Fix Hyper-V Isolated VM's boot issue when vCPUs > 64 (Karol Herbst) [2072020] - x86/kvm: Don't waste memory if kvmclock is disabled (Karol Herbst) [2072020] - treewide: Replace the use of mem_encrypt_active() with cc_platform_has() (Karol Herbst) [2072020] - x86/sev: Replace occurrences of sev_es_active() with cc_platform_has() (Karol Herbst) [2072020] - x86/sev: Replace occurrences of sev_active() with cc_platform_has() (Karol Herbst) [2072020] - x86/sme: Replace occurrences of sme_active() with cc_platform_has() (Karol Herbst) [2072020] - powerpc/pseries/svm: Add a powerpc version of cc_platform_has() (Karol Herbst) [2072020] - x86/sev: Add an x86 version of cc_platform_has() (Karol Herbst) [2072020] - arch/cc: Introduce a function to check for confidential computing features (Karol Herbst) [2072020] - x86/ioremap: Selectively build arch override encryption functions (Karol Herbst) [2072020] - lib: devres: Add managed arch_io_reserve_memtype_wc() (Karol Herbst) [2072020] - lib: devres: Add managed arch_phys_wc_add() (Karol Herbst) [2072020] - mm/vmscan: add sync_shrinkers function v3 (Karol Herbst) [2072020] - kernel/locking: Use a pointer in ww_mutex_trylock(). (Karol Herbst) [2072020] - locking/ww-mutex: Fix uninitialized use of ret in test_aa() (Karol Herbst) [2072020] - kernel/locking: Add context to ww_mutex_trylock() (Karol Herbst) [2072020] - samples/bpf: Fix build error due to -isystem removal (Karol Herbst) [2072020] - isystem: delete global -isystem compile option (Karol Herbst) [2072020] - uapi/linux/stddef.h: Add include guards (Karol Herbst) [2072020] - stddef: Introduce struct_group() helper macro (Karol Herbst) [2072020] - isystem: trim/fixup stdarg.h and other headers (Karol Herbst) [2072020] - ACPI: tools: fix compilation error (Karol Herbst) [2072020] - isystem: ship and use stdarg.h (Karol Herbst) [2072020] - locking/lockdep: Provide lockdep_assert{,_once}() helpers (Karol Herbst) [2072020] Resolves: rhbz#2064198, rhbz#2077372, rhbz#2026319, rhbz#2073465, rhbz#2072020 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-04 09:45:06 +00:00
CONFIG_RANDOMIZE_KSTACK_OFFSET_DEFAULT=y
CONFIG_RANDOMIZE_MEMORY=y
# CONFIG_RANDOM_TRUST_BOOTLOADER is not set
CONFIG_RANDOM_TRUST_CPU=y
# CONFIG_RAPIDIO is not set
# CONFIG_RAS_CEC is not set
CONFIG_RAS=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_RATIONAL_KUNIT_TEST=m
# CONFIG_RBTREE_TEST is not set
# CONFIG_RC_CORE is not set
CONFIG_RCU_CPU_STALL_TIMEOUT=60
# CONFIG_RCU_EQS_DEBUG is not set
kernel-5.14.0-167.el9 * Thu Sep 22 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-167.el9] - powerpc/perf: Optimize clearing the pending PMI and remove WARN_ON for PMI check in power_pmu_disable (Steve Best) [2116680] - assoc_array: Fix BUG_ON during garbage collect (Dave Wysochanski) [2117318] - cpufreq: intel_pstate: Handle no_turbo in frequency invariance (Phil Auld) [2100595] - sched/fair: Introduce SIS_UTIL to search idle CPU based on sum of util_avg (Phil Auld) [2100595] - sched/fair: Consider CPU affinity when allowing NUMA imbalance in find_idlest_group() (Phil Auld) [2110021] - sched/numa: Adjust imb_numa_nr to a better approximation of memory channels (Phil Auld) [2110021] - sched/numa: Apply imbalance limitations consistently (Phil Auld) [2110021] - sched/numa: Do not swap tasks between nodes when spare capacity is available (Phil Auld) [2110021] - sched/numa: Initialise numa_migrate_retry (Phil Auld) [2110021] - powerpc/pseries/mobility: set NMI watchdog factor during an LPM (Steve Best) [2122830] - powerpc/watchdog: introduce a NMI watchdog's factor (Steve Best) [2122830] - watchdog: export lockup_detector_reconfigure (Steve Best) [2122830] - powerpc/mobility: wait for memory transfer to complete (Steve Best) [2122830] - srcu: Make expedited RCU grace periods block even less frequently (Waiman Long) [2117491] - srcu: Block less aggressively for expedited grace periods (Waiman Long) [2117491] - rcu: Move expedited grace period (GP) work to RT kthread_worker (Waiman Long) [2117491] - redhat/configs: Introduce CONFIG_RCU_EXP_CPU_STALL_TIMEOUT (Waiman Long) [2117491] - rcu: Introduce CONFIG_RCU_EXP_CPU_STALL_TIMEOUT (Waiman Long) [2117491] - srcu: Drop needless initialization of sdp in srcu_gp_start() (Waiman Long) [2117491] - srcu: Prevent expedited GPs and blocking readers from consuming CPU (Waiman Long) [2117491] - srcu: Add contention check to call_srcu() srcu_data ->lock acquisition (Waiman Long) [2117491] - srcu: Automatically determine size-transition strategy at boot (Waiman Long) [2117491] - rcutorture: Make torture.sh allow for --kasan (Waiman Long) [2117491] - rcutorture: Make torture.sh refscale and rcuscale specify Tasks Trace RCU (Waiman Long) [2117491] - rcutorture: Make kvm.sh allow more memory for --kasan runs (Waiman Long) [2117491] - torture: Save "make allmodconfig" .config file (Waiman Long) [2117491] - scftorture: Remove extraneous "scf" from per_version_boot_params (Waiman Long) [2117491] - rcutorture: Adjust scenarios' Kconfig options for CONFIG_PREEMPT_DYNAMIC (Waiman Long) [2117491] - torture: Enable CSD-lock stall reports for scftorture (Waiman Long) [2117491] - torture: Skip vmlinux check for kvm-again.sh runs (Waiman Long) [2117491] - scftorture: Adjust for TASKS_RCU Kconfig option being selected (Waiman Long) [2117491] - rcuscale: Allow rcuscale without RCU Tasks Rude/Trace (Waiman Long) [2117491] - rcuscale: Allow rcuscale without RCU Tasks (Waiman Long) [2117491] - refscale: Allow refscale without RCU Tasks Rude/Trace (Waiman Long) [2117491] - refscale: Allow refscale without RCU Tasks (Waiman Long) [2117491] - rcutorture: Allow specifying per-scenario stat_interval (Waiman Long) [2117491] - rcutorture: Add CONFIG_PREEMPT_DYNAMIC=n to TASKS02 scenario (Waiman Long) [2117491] - rcutorture: Allow rcutorture without RCU Tasks Rude (Waiman Long) [2117491] - rcutorture: Allow rcutorture without RCU Tasks (Waiman Long) [2117491] - rcutorture: Allow rcutorture without RCU Tasks Trace (Waiman Long) [2117491] - rcu: Make the TASKS_RCU Kconfig option be selected (Waiman Long) [2117491] - rcu: Use IRQ_WORK_INIT_HARD() to avoid rcu_read_unlock() hangs (Waiman Long) [2117491] - rcu_sync: Fix comment to properly reflect rcu_sync_exit() behavior (Waiman Long) [2117491] - rcu: Check for successful spawn of ->boost_kthread_task (Waiman Long) [2117491] - rcu: Fix preemption mode check on synchronize_rcu[_expedited]() (Waiman Long) [2117491] - rcu: Print number of online CPUs in RCU CPU stall-warning messages (Waiman Long) [2117491] - rcu: Add comments to final rcu_gp_cleanup() "if" statement (Waiman Long) [2117491] - kernel/smp: Provide boot-time timeout for CSD lock diagnostics (Waiman Long) [2117491] - docs: Update RCU cross-references as suggested in doc-guide (Waiman Long) [2117491] - docs: Add documentation for rude and trace RCU flavors (Waiman Long) [2117491] - rcu: Check for jiffies going backwards (Waiman Long) [2117491] - rcu: Fix rcu_preempt_deferred_qs_irqrestore() strict QS reporting (Waiman Long) [2117491] - rcu: Clarify fill-the-gap comment in rcu_segcblist_advance() (Waiman Long) [2117491] - torture: Make thread detection more robust by using lspcu (Waiman Long) [2117491] - torture: Permit running of experimental torture types (Waiman Long) [2117491] - torture: Use "-o Batchmode=yes" to disable ssh password requests (Waiman Long) [2117491] - torture: Reposition so that $? collects ssh code in torture.sh (Waiman Long) [2117491] - rcu: Make TASKS_RUDE_RCU select IRQ_WORK (Waiman Long) [2117491] - rcutorture: Call preempt_schedule() through static call/key (Waiman Long) [2117491] - rcutorture: Add missing return and use __func__ in warning (Waiman Long) [2117491] - rcutorture: Avoid corner-case #DE with nsynctypes check (Waiman Long) [2117491] - scftorture: Fix distribution of short handler delays (Waiman Long) [2117491] - rcutorture: Suppress debugging grace period delays during flooding (Waiman Long) [2117491] - torture: Add rcu_normal and rcu_expedited runs to torture.sh (Waiman Long) [2117491] - rcu-tasks: Handle sparse cpu_possible_mask in rcu_tasks_invoke_cbs() (Waiman Long) [2117491] - rcu-tasks: Handle sparse cpu_possible_mask (Waiman Long) [2117491] - rcu-tasks: Make show_rcu_tasks_generic_gp_kthread() check all CPUs (Waiman Long) [2117491] - rcu-tasks: Restore use of timers for non-RT kernels (Waiman Long) [2117491] - rcu-tasks: Use schedule_hrtimeout_range() to wait for grace periods (Waiman Long) [2117491] - rcu-tasks: Make Tasks RCU account for userspace execution (Waiman Long) [2117491] - rcu-tasks: Use rcuwait for the rcu_tasks_kthread() (Waiman Long) [2117491] - rcu-tasks: Print pre-stall-warning informational messages (Waiman Long) [2117491] - rcu-tasks: Fix race in schedule and flush work (Waiman Long) [2117491] - rcu/nocb: Initialize nocb kthreads only for boot CPU prior SMP initialization (Waiman Long) [2117491] - rcu: Initialize boost kthread only for boot node prior SMP initialization (Waiman Long) [2117491] - rcu: Assume rcu_init() is called before smp (Waiman Long) [2117491] - rcu/nocb: Move rcu_nocb_is_setup to rcu_state (Waiman Long) [2117491] - rcu: Remove rcu_is_nocb_cpu() (Waiman Long) [2117491] - srcu: Add contention-triggered addition of srcu_node tree (Waiman Long) [2117491] - srcu: Create concurrency-safe helper for initiating size transition (Waiman Long) [2117491] - srcu: Explain srcu_funnel_gp_start() call to list_add() is safe (Waiman Long) [2117491] - srcu: Prevent cleanup_srcu_struct() from freeing non-dynamic ->sda (Waiman Long) [2117491] - srcu: Avoid NULL dereference in srcu_torture_stats_print() (Waiman Long) [2117491] - srcu: Use export for srcu_struct defined by DEFINE_STATIC_SRCU() (Waiman Long) [2117491] - srcu: Add boot-time control over srcu_node array allocation (Waiman Long) [2117491] - srcu: Ensure snp nodes tree is fully initialized before traversal (Waiman Long) [2117491] - srcu: Use invalid initial value for srcu_node GP sequence numbers (Waiman Long) [2117491] - srcu: Compute snp_seq earlier in srcu_funnel_gp_start() (Waiman Long) [2117491] - srcu: Make rcutorture dump the SRCU size state (Waiman Long) [2117491] - srcu: Add size-state transitioning code (Waiman Long) [2117491] - srcu: Dynamically allocate srcu_node array (Waiman Long) [2117491] - srcu: Make Tree SRCU able to operate without snp_node array (Waiman Long) [2117491] - srcu: Make srcu_funnel_gp_start() cache ->mynode in snp_leaf (Waiman Long) [2117491] - srcu: Fix s/is/if/ typo in srcu_node comment (Waiman Long) [2117491] - srcu: Tighten cleanup_srcu_struct() GP checks (Waiman Long) [2117491] - preempt/dynamic: Introduce preemption model accessors (Waiman Long) [2117491] - treewide: Add missing includes masked by cgroup -> bpf dependency (Waiman Long) [2117491] Resolves: rhbz#2116680, rhbz#2117318, rhbz#2100595, rhbz#2110021, rhbz#2122830, rhbz#2117491 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-22 06:23:07 +00:00
CONFIG_RCU_EXP_CPU_STALL_TIMEOUT=0
# CONFIG_RCU_EXPERT is not set
kernel-5.14.0-295.el9 * Sun Apr 02 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-295.el9] - PCI/sysfs: Constify struct kobj_type pci_slot_ktype (Myron Stowe) [2179137] - PCI: endpoint: pci-epf-vntb: Add epf_ntb_mw_bar_clear() num_mws kernel-doc (Myron Stowe) [2179137] - misc: pci_endpoint_test: Drop initial kernel-doc marker (Myron Stowe) [2179137] - PCI: vmd: Add quirk to configure PCIe ASPM and LTR (Myron Stowe) [2179137] - PCI: vmd: Create feature grouping for client products (Myron Stowe) [2179137] - PCI: vmd: Use PCI_VDEVICE in device list (Myron Stowe) [2179137] - PCI/ASPM: Add pci_enable_link_state() (Myron Stowe) [2179137] - dt-bindings: PCI: uniphier-ep: Clean up reg, clocks, resets, and their names (Myron Stowe) [2179137] - PCI: switchtec: Return -EFAULT for copy_to_user() errors (Myron Stowe) [2179137] - PCI: switchtec: Simplify switchtec_dma_mrpc_isr() (Myron Stowe) [2179137] - PCI: qcom: Add IPQ8074 Gen3 port support (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add IPQ8074 Gen3 port (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Sort compatibles alphabetically (Myron Stowe) [2179137] - PCI: qcom: Fix host-init error handling (Myron Stowe) [2179137] - PCI: qcom: Add SM8350 support (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add SM8350 (Myron Stowe) [2179137] - dt-bindings: PCI: qcom-ep: Correct qcom,perst-regs (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Unify MSM8996 and MSM8998 clock order (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add MSM8998 specific compatible (Myron Stowe) [2179137] - dt-bindings: PCI: qcom: Add oneOf to compatible match (Myron Stowe) [2179137] - PCI: mvebu: Mark driver as BROKEN (Myron Stowe) [2179137] - PCI: mt7621: Delay phy ports initialization (Myron Stowe) [2179137] - misc: pci_endpoint_test: Add i.MX8 PCIe EP device support (Myron Stowe) [2179137] - dt-bindings: imx6q-pcie: Add i.MX8MP PCIe EP mode compatible string (Myron Stowe) [2179137] - dt-bindings: imx6q-pcie: Add i.MX8MQ PCIe EP mode compatible string (Myron Stowe) [2179137] - dt-bindings: imx6q-pcie: Add i.MX8MM PCIe EP mode compatible string (Myron Stowe) [2179137] - PCI: dwc: Add Root Port and Endpoint controller eDMA engine support (Myron Stowe) [2179137] - PCI: bt1: Set 64-bit DMA mask (Myron Stowe) [2179137] - PCI: dwc: Restrict only coherent DMA mask for MSI address allocation (Myron Stowe) [2179137] - dmaengine: dw-edma: Prepare dw_edma_probe() for builtin callers (Myron Stowe) [2179137] - dmaengine: dw-edma: Depend on DW_EDMA instead of selecting it (Myron Stowe) [2179137] - dmaengine: dw-edma: Add mem-mapped LL-entries support (Myron Stowe) [2179137] - dmaengine: dw-edma: Skip cleanup procedure if no private data found (Myron Stowe) [2179137] - dmaengine: dw-edma: Replace chip ID number with device name (Myron Stowe) [2179137] - dmaengine: dw-edma: Drop DT-region allocation (Myron Stowe) [2179137] - dmaengine: dw-edma: Use non-atomic io-64 methods (Myron Stowe) [2179137] - dmaengine: dw-edma: Fix readq_ch() return value truncation (Myron Stowe) [2179137] - dmaengine: dw-edma: Use DMA engine device debugfs subdirectory (Myron Stowe) [2179137] - dmaengine: dw-edma: Join read/write channels into a single device (Myron Stowe) [2179137] - dmaengine: dw-edma: Move eDMA data pointer to debugfs node descriptor (Myron Stowe) [2179137] - dmaengine: dw-edma: Simplify debugfs context CSRs init procedure (Myron Stowe) [2179137] - dmaengine: dw-edma: Rename debugfs dentry variables to 'dent' (Myron Stowe) [2179137] - dmaengine: dw-edma: Convert debugfs descs to being heap-allocated (Myron Stowe) [2179137] - dmaengine: dw-edma: Add dw_edma prefix to debugfs nodes descriptor (Myron Stowe) [2179137] - dmaengine: dw-edma: Stop checking debugfs_create_*() return value (Myron Stowe) [2179137] - dmaengine: dw-edma: Drop unnecessary debugfs reg casts (Myron Stowe) [2179137] - dmaengine: dw-edma: Drop chancnt initialization (Myron Stowe) [2179137] - dmaengine: dw-edma: Add PCI bus address getter to the remote EP glue driver (Myron Stowe) [2179137] - dmaengine: dw-edma: Add CPU to PCI bus address translation (Myron Stowe) [2179137] - dmaengine: dw-edma: Fix invalid interleaved xfers semantics (Myron Stowe) [2179137] - dmaengine: dw-edma: Don't permit non-inc interleaved xfers (Myron Stowe) [2179137] - dmaengine: dw-edma: Fix missing src/dst address of interleaved xfers (Myron Stowe) [2179137] - dmaengine: dw-edma: Convert ll/dt phys address to PCI bus/DMA address (Myron Stowe) [2179137] - dmaengine: dw-edma: Release requested IRQs on failure (Myron Stowe) [2179137] - dmaengine: Fix dma_slave_config.dst_addr description (Myron Stowe) [2179137] - PCI: endpoint: Use link_up() callback in place of LINK_UP notifier (Myron Stowe) [2179137] - PCI: endpoint: Use callback mechanism for passing events from EPC to EPF (Myron Stowe) [2179137] - PCI: endpoint: Use a separate lock for protecting epc->pci_epf list (Myron Stowe) [2179137] - PCI: tegra194: Move dw_pcie_ep_linkup() to threaded IRQ handler (Myron Stowe) [2179137] - PCI: dra7xx: Use threaded IRQ handler for "dra7xx-pcie-main" IRQ (Myron Stowe) [2179137] - PCI: Add ACS quirk for Wangxun NICs (Myron Stowe) [2179137] - PCI: Avoid FLR for AMD FCH AHCI adapters (Myron Stowe) [2179137] - PCI: Fix dropping valid root bus resources with .end = zero (Myron Stowe) [2179137] - PCI: Distribute available resources for root buses, too (Myron Stowe) [2179137] - PCI: Take other bus devices into account when distributing resources (Myron Stowe) [2179137] - PCI: Align extra resources for hotplug bridges properly (Myron Stowe) [2179137] - PCI/DPC: Await readiness of secondary bus after reset (Myron Stowe) [2179137] - PCI: Unify delay handling for reset and resume (Myron Stowe) [2179137] - PCI/PM: Observe reset delay irrespective of bridge_d3 (Myron Stowe) [2179137] - PCI/ACPI: Account for _S0W of the target bridge in acpi_pci_bridge_d3() (Myron Stowe) [2179137] - PCI/P2PDMA: Annotate RCU dereference (Myron Stowe) [2179137] - PCI/P2PDMA: Allow userspace VMA allocations through sysfs (Myron Stowe) [2179137] - PCI: Remove MODULE_LICENSE so boolean drivers don't look like modules (Myron Stowe) [2179137] - PCI/IOV: Enlarge virtfn sysfs name buffer (Myron Stowe) [2179137] - PCI: hotplug: Allow marking devices as disconnected during bind/unbind (Myron Stowe) [2179137] - PCI: pciehp: Add Qualcomm quirk for Command Completed erratum (Myron Stowe) [2179137] - PCI: Honor firmware's device disabled status (Myron Stowe) [2179137] - PCI: loongson: Add more devices that need MRRS quirk (Myron Stowe) [2179137] - PCI: loongson: Prevent LS7A MRRS increases (Myron Stowe) [2179137] - PCI/portdrv: Prevent LS7A Bus Master clearing on shutdown (Myron Stowe) [2179137] - PCI/AER: Remove redundant Device Control Error Reporting Enable (Myron Stowe) [2179137] - PCI/AER: Configure ECRC only if AER is native (Myron Stowe) [2179137] - PCI: Replace magic constant for PCI Sig Vendor ID (Myron Stowe) [2179137] - PCI: Add vendor ID for the PCI SIG (Myron Stowe) [2179137] - ntb: intel: add GNR support for Intel PCIe gen5 NTB (Myron Stowe) [2179137] - NTB: ntb_tool: uninitialized heap data in tool_fn_write() (Myron Stowe) [2179137] - ntb: idt: fix clang -Wformat warnings (Myron Stowe) [2179137] - arm64: dts: imx93-11x11-evk: enable fec function (Steve Best) [2179863] - arm64: dts: imx93-11x11-evk: enable eqos (Steve Best) [2179863] - arm64: dts: imx93-11x11-evk: correct clock and strobe pad setting (Steve Best) [2179863] - arm64: dts: imx93: add ADC support (Steve Best) [2179863] - arm64: dts: imx93: add FEC support (Steve Best) [2179863] - arm64: dts: imx93: add eqos support (Steve Best) [2179863] - arm64: dts: imx93: add flexcan nodes (Steve Best) [2179863] - mm/debug: use valid physical memory for pmd/pud tests (Waiman Long) [2095767] - kernel-rt: config: disable saa6588, saa6752hs and snd-soc-sdw-mockup to match stock kernel (Luis Claudio R. Goncalves) [2182012] - genetlink: correctly begin the iteration over policies (Ivan Vecera) [2175250] - genetlink: fix single op policy dump when do is present (Ivan Vecera) [2175250] - genetlink: convert control family to split ops (Ivan Vecera) [2175250] - genetlink: allow families to use split ops directly (Ivan Vecera) [2175250] - genetlink: inline old iteration helpers (Ivan Vecera) [2175250] - genetlink: use iterator in the op to policy map dumping (Ivan Vecera) [2175250] - genetlink: add iterator for walking family ops (Ivan Vecera) [2175250] - genetlink: inline genl_get_cmd() (Ivan Vecera) [2175250] - genetlink: support split policies in ctrl_dumppolicy_put_op() (Ivan Vecera) [2175250] - genetlink: add policies for both doit and dumpit in ctrl_dumppolicy_start() (Ivan Vecera) [2175250] - genetlink: check for callback type at op load time (Ivan Vecera) [2175250] - genetlink: load policy based on validation flags (Ivan Vecera) [2175250] - genetlink: introduce split op representation (Ivan Vecera) [2175250] - genetlink: move the private fields in struct genl_family (Ivan Vecera) [2175250] - genetlink: refactor the cmd <> policy mapping dump (Ivan Vecera) [2175250] - genetlink: fix kdoc warnings (Ivan Vecera) [2175250] - net: genl: fix error path memory leak in policy dumping (Ivan Vecera) [2175250] - dax: Remove usage of the deprecated ida_simple_xxx API (Jeff Moyer) [2162211] - libnvdimm/region: Allow setting align attribute on regions without mappings (Jeff Moyer) [2162211] - nvdimm/namespace: Fix comment typo (Jeff Moyer) [2162211] - nvdimm: make __nvdimm_security_overwrite_query static (Jeff Moyer) [2162211] - nvdimm/region: Fix kernel-doc (Jeff Moyer) [2162211] - nvdimm/namespace: drop nested variable in create_namespace_pmem() (Jeff Moyer) [2162211] - nvdimm/namespace: drop unneeded temporary variable in size_store() (Jeff Moyer) [2162211] - nvdimm/namespace: return uuid_null only once in nd_dev_to_uuid() (Jeff Moyer) [2162211] - ndtest: Cleanup all of blk namespace specific code (Jeff Moyer) [2162211] - pmem: fix a name collision (Jeff Moyer) [2162211] - dax: set did_zero to true when zeroing successfully (Jeff Moyer) [2162211] - nvdimm: Fix badblocks clear off-by-one error (Jeff Moyer) [2162211] - pmem: implement pmem_recovery_write() (Jeff Moyer) [2162211] - pmem: refactor pmem_clear_poison() (Jeff Moyer) [2162211] - dax: add .recovery_write dax_operation (Jeff Moyer) [2162211] - dax: introduce DAX_RECOVERY_WRITE dax access mode (Jeff Moyer) [2162211] - x86/mce: Retrieve poison range from hardware (Jeff Moyer) [1874190 2162211] - mce: fix set_mce_nospec to always unmap the whole page (Jeff Moyer) [1874190 2162211] - x86/mce: Change to not send SIGBUS error during copy from user (Jeff Moyer) [2162211] - x86/mce: relocate set{clear}_mce_nospec() functions (Jeff Moyer) [1874190 2162211] - testing: nvdimm: asm/mce.h is not needed in nfit.c (Jeff Moyer) [2162211] - testing: nvdimm: iomap: make __nfit_test_ioremap a macro (Jeff Moyer) [2162211] - nvdimm: Allow overwrite in the presence of disabled dimms (Jeff Moyer) [2162211] - nvdimm: Fix firmware activation deadlock scenarios (Jeff Moyer) [2162211] - device-core: Kill the lockdep_mutex (Jeff Moyer) [2162211] - nvdimm: Drop nd_device_lock() (Jeff Moyer) [2162211] - ACPI: NFIT: Drop nfit_device_lock() (Jeff Moyer) [2162211] - nvdimm: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211] - cxl: Drop cxl_device_lock() (Jeff Moyer) [2162211] - cxl/acpi: Add root device lockdep validation (Jeff Moyer) [2162211] - cxl: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211] - tools/testing/nvdimm: remove unneeded flush_workqueue (Jeff Moyer) [2162211] - nvdimm/blk: Fix title level (Jeff Moyer) [2162211] - MAINTAINERS: remove section LIBNVDIMM BLK: MMIO-APERTURE DRIVER (Jeff Moyer) [2162211] - dax: Fix missing kdoc for dax_device (Jeff Moyer) [2162211] - nvdimm/region: Delete nd_blk_region infrastructure (Jeff Moyer) [2162211] - nvdimm/namespace: Delete nd_namespace_blk (Jeff Moyer) [2162211] - nvdimm/namespace: Delete blk namespace consideration in shared paths (Jeff Moyer) [2162211] - nvdimm/blk: Delete the block-aperture window driver (Jeff Moyer) [2162211] - nvdimm/region: Fix default alignment for small regions (Jeff Moyer) [2162211] - dax: make sure inodes are flushed before destroy cache (Jeff Moyer) [2162211] - fsdax: fix function description (Jeff Moyer) [2162211] - device-dax: compound devmap support (Jeff Moyer) [2162211] - device-dax: remove pfn from __dev_dax_{pte,pmd,pud}_fault() (Jeff Moyer) [2162211] - device-dax: set mapping prior to vmf_insert_pfn{,_pmd,pud}() (Jeff Moyer) [2162211] - device-dax: factor out page mapping initialization (Jeff Moyer) [2162211] - device-dax: ensure dev_dax->pgmap is valid for dynamic devices (Jeff Moyer) [2162211] - device-dax: use struct_size() (Jeff Moyer) [2162211] - device-dax: use ALIGN() for determining pgoff (Jeff Moyer) [2162211] - iomap: Fix error handling in iomap_zero_iter() (Jeff Moyer) [2162211] - dax: remove the copy_from_iter and copy_to_iter methods (Jeff Moyer) [2162211] - dax: remove the DAXDEV_F_SYNC flag (Jeff Moyer) [2162211] - dax: simplify dax_synchronous and set_dax_synchronous (Jeff Moyer) [2162211] - uio: remove copy_from_iter_flushcache() and copy_mc_to_iter() (Jeff Moyer) [2162211] - iomap: turn the byte variable in iomap_zero_iter into a ssize_t (Jeff Moyer) [2162211] - fsdax: don't require CONFIG_BLOCK (Jeff Moyer) [2162211] - iomap: build the block based code conditionally (Jeff Moyer) [2162211] - dax: fix up some of the block device related ifdefs (Jeff Moyer) [2162211] - fsdax: shift partition offset handling into the file systems (Jeff Moyer) [2162211] - dax: return the partition offset from fs_dax_get_by_bdev (Jeff Moyer) [2162211] - iomap: add a IOMAP_DAX flag (Jeff Moyer) [2162211] - xfs: pass the mapping flags to xfs_bmbt_to_iomap (Jeff Moyer) [2162211] - xfs: use xfs_direct_write_iomap_ops for DAX zeroing (Jeff Moyer) [2162211] - xfs: move dax device handling into xfs_{alloc,free}_buftarg (Jeff Moyer) [2162211] - ext4: cleanup the dax handling in ext4_fill_super (Jeff Moyer) [2162211] - fsdax: decouple zeroing from the iomap buffered I/O code (Jeff Moyer) [2162211] - fsdax: factor out a dax_memzero helper (Jeff Moyer) [2162211] - fsdax: simplify the offset check in dax_iomap_zero (Jeff Moyer) [2162211] - xfs: add xfs_zero_range and xfs_truncate_page helpers (Jeff Moyer) [2162211] - fsdax: simplify the pgoff calculation (Jeff Moyer) [2162211] - fsdax: use a saner calling convention for copy_cow_page_dax (Jeff Moyer) [2162211] - fsdax: remove a pointless __force cast in copy_cow_page_dax (Jeff Moyer) [2162211] - dm-stripe: add a stripe_dax_pgoff helper (Jeff Moyer) [2162211] - dm-log-writes: add a log_writes_dax_pgoff helper (Jeff Moyer) [2162211] - dm-linear: add a linear_dax_pgoff helper (Jeff Moyer) [2162211] - dax: remove dax_capable (Jeff Moyer) [2162211] - xfs: factor out a xfs_setup_dax_always helper (Jeff Moyer) [2162211] - dax: move the partition alignment check into fs_dax_get_by_bdev (Jeff Moyer) [2162211] - dax: simplify the dax_device <-> gendisk association (Jeff Moyer) [2162211] - dax: remove CONFIG_DAX_DRIVER (Jeff Moyer) [2162211] - dm: make the DAX support depend on CONFIG_FS_DAX (Jeff Moyer) [2162211] - dm: fix alloc_dax error handling in alloc_dev (Jeff Moyer) [2162211] - nvdimm/pmem: move dax_attribute_group from dax to pmem (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce CXL labels (Jeff Moyer) [2162211] - libnvdimm/label: Define CXL region labels (Jeff Moyer) [2162211] - libnvdimm/labels: Fix kernel-doc for label.h (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce the concept of multi-range namespace labels (Jeff Moyer) [2162211] - libnvdimm/label: Add a helper for nlabel validation (Jeff Moyer) [2162211] - libnvdimm/labels: Add uuid helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add claim class helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add type-guid helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add blk special cases for nlabel and position helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add blk isetcookie set / validation helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add a checksum calculation helper (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce label setter helpers (Jeff Moyer) [2162211] - libnvdimm/labels: Add isetcookie validation helper (Jeff Moyer) [2162211] - libnvdimm/labels: Introduce getters for namespace label fields (Jeff Moyer) [2162211] - cpuidle: lib/bug: Disable rcu_is_watching() during WARN/BUG (Waiman Long) [2169516] - rcu: Fix __this_cpu_read() lockdep warning in rcu_force_quiescent_state() (Waiman Long) [2169516] - rcu: Keep synchronize_rcu() from enabling irqs in early boot (Waiman Long) [2169516] - powerpc/64/interrupt: Fix false warning in context tracking due to idle state (Waiman Long) [2169516] - rcutorture: Use the barrier operation specified by cur_ops (Waiman Long) [2169516] - rcu-tasks: Make RCU Tasks Trace check for userspace execution (Waiman Long) [2169516] - rcu-tasks: Ensure RCU Tasks Trace loops have quiescent states (Waiman Long) [2169516] - rcu-tasks: Convert RCU_LOCKDEP_WARN() to WARN_ONCE() (Waiman Long) [2169516] - srcu: Make Tiny SRCU use full-sized grace-period counters (Waiman Long) [2169516] - srcu: Make Tiny SRCU poll_state_synchronize_srcu() more precise (Waiman Long) [2169516] - srcu: Add GP and maximum requested GP to Tiny SRCU rcutorture output (Waiman Long) [2169516] - rcutorture: Make "srcud" option also test polled grace-period API (Waiman Long) [2169516] - rcutorture: Limit read-side polling-API testing (Waiman Long) [2169516] - rcu: Add functions to compare grace-period state values (Waiman Long) [2169516] - rcutorture: Expand rcu_torture_write_types() first "if" statement (Waiman Long) [2169516] - rcutorture: Use 1-suffixed variable in rcu_torture_write_types() check (Waiman Long) [2169516] - rcu: Make synchronize_rcu() fastpath update only boot-CPU counters (Waiman Long) [2169516] - rcutorture: Adjust rcu_poll_need_2gp() for rcu_gp_oldstate field removal (Waiman Long) [2169516] - rcu: Remove ->rgos_polled field from rcu_gp_oldstate structure (Waiman Long) [2169516] - rcu: Make synchronize_rcu_expedited() fast path update .expedited_sequence (Waiman Long) [2169516] - rcu: Remove expedited grace-period fast-path forward-progress helper (Waiman Long) [2169516] - rcu: Make synchronize_rcu() fast path update ->gp_seq counters (Waiman Long) [2169516] - rcu-tasks: Remove grace-period fast-path rcu-tasks helper (Waiman Long) [2169516] - rcu: Set rcu_data structures' initial ->gpwrap value to true (Waiman Long) [2169516] - rcu: Disable run-time single-CPU grace-period optimization (Waiman Long) [2169516] - rcu: Add full-sized polling for cond_sync_exp_full() (Waiman Long) [2169516] - rcu: Add full-sized polling for cond_sync_full() (Waiman Long) [2169516] - rcu: Remove blank line from poll_state_synchronize_rcu() docbook header (Waiman Long) [2169516] - rcu: Add full-sized polling for start_poll_expedited() (Waiman Long) [2169516] - rcu: Add full-sized polling for start_poll() (Waiman Long) [2169516] - rcutorture: Verify long-running reader prevents full polling from completing (Waiman Long) [2169516] - rcutorture: Remove redundant RTWS_DEF_FREE check (Waiman Long) [2169516] - rcutorture: Verify RCU reader prevents full polling from completing (Waiman Long) [2169516] - rcutorture: Allow per-RCU-flavor polled double-GP check (Waiman Long) [2169516] - rcutorture: Abstract synchronous and polled API testing (Waiman Long) [2169516] - rcu: Add full-sized polling for get_state() (Waiman Long) [2169516] - rcu: Add full-sized polling for get_completed*() and poll_state*() (Waiman Long) [2169516] - rcu/nocb: Choose the right rcuog/rcuop kthreads to output (Waiman Long) [2169516] - rcu/kvfree: Update KFREE_DRAIN_JIFFIES interval (Waiman Long) [2169516] - rcu/kfree: Fix kfree_rcu_shrink_count() return value (Waiman Long) [2169516] - rcu: Back off upon fill_page_cache_func() allocation failure (Waiman Long) [2169516] - rcu: Exclude outgoing CPU when it is the last to leave (Waiman Long) [2169516] - rcu: Avoid triggering strict-GP irq-work when RCU is idle (Waiman Long) [2169516] - sched/debug: Show the registers of 'current' in dump_cpu_task() (Waiman Long) [2169516] - sched/debug: Try trigger_single_cpu_backtrace(cpu) in dump_cpu_task() (Waiman Long) [2169516] - rcu: Update rcu_access_pointer() header for rcu_dereference_protected() (Waiman Long) [2169516] - rcu: Document reason for rcu_all_qs() call to preempt_disable() (Waiman Long) [2169516] - rcu: Make tiny RCU support leak callbacks for debug-object errors (Waiman Long) [2169516] - rcu: Add QS check in rcu_exp_handler() for non-preemptible kernels (Waiman Long) [2169516] - rcu: Update rcu_preempt_deferred_qs() comments for !PREEMPT kernels (Waiman Long) [2169516] - rcu: Fix rcu_read_unlock_strict() strict QS reporting (Waiman Long) [2169516] - rcu: Add irqs-disabled indicator to expedited RCU CPU stall warnings (Waiman Long) [2169516] - rcu: Diagnose extended sync_rcu_do_polled_gp() loops (Waiman Long) [2169516] - rcu: Put panic_on_rcu_stall() after expedited RCU CPU stall warnings (Waiman Long) [2169516] - rcutorture: Test polled expedited grace-period primitives (Waiman Long) [2169516] - rcu: Add polled expedited grace-period primitives (Waiman Long) [2169516] - rcutorture: Verify that polled GP API sees synchronous grace periods (Waiman Long) [2169516] - rcu: Make Tiny RCU grace periods visible to polled APIs (Waiman Long) [2169516] - rcu: Make polled grace-period API account for expedited grace periods (Waiman Long) [2169516] - rcu: Switch polled grace-period APIs to ->gp_seq_polled (Waiman Long) [2169516] - rcu/nocb: Avoid polling when my_rdp->nocb_head_rdp list is empty (Waiman Long) [2169516] - redhat/configs: Add CONFIG_RCU_NOCB_CPU_CB_BOOST (Waiman Long) [2169516] - rcu/nocb: Add option to opt rcuo kthreads out of RT priority (Waiman Long) [2169516] - rcu: Add nocb_cb_kthread check to rcu_is_callbacks_kthread() (Waiman Long) [2169516] - redhat/configs: Add CONFIG_RCU_NOCB_CPU_DEFAULT_ALL (Waiman Long) [2169516] - rcu/nocb: Add an option to offload all CPUs on boot (Waiman Long) [2169516] - rcu/nocb: Fix NOCB kthreads spawn failure with rcu_nocb_rdp_deoffload() direct call (Waiman Long) [2169516] - rcu/nocb: Invert rcu_state.barrier_mutex VS hotplug lock locking order (Waiman Long) [2169516] - rcu/nocb: Add/del rdp to iterate from rcuog itself (Waiman Long) [2169516] - rcu/tree: Add comment to describe GP-done condition in fqs loop (Waiman Long) [2169516] - rcu: Initialize first_gp_fqs at declaration in rcu_gp_fqs() (Waiman Long) [2169516] - rcu/kvfree: Remove useless monitor_todo flag (Waiman Long) [2169516] - rcu: Cleanup RCU urgency state for offline CPU (Waiman Long) [2169516] - rcu: tiny: Record kvfree_call_rcu() call stack for KASAN (Waiman Long) [2169516] - locking/csd_lock: Change csdlock_debug from early_param to __setup (Waiman Long) [2169516] - rcu: Forbid RCU_STRICT_GRACE_PERIOD in TINY_RCU kernels (Waiman Long) [2169516] - rcu: Immediately boost preempted readers for strict grace periods (Waiman Long) [2169516] - rcu: Add rnp->cbovldmask check in rcutree_migrate_callbacks() (Waiman Long) [2169516] - rcu: Avoid tracing a few functions executed in stop machine (Waiman Long) [2169516] - rcu: Decrease FQS scan wait time in case of callback overloading (Waiman Long) [2169516] - context_tracking: Use arch_atomic_read() in __ct_state for KASAN (Waiman Long) [2169516] - MAINTAINERS: Add Paul as context tracking maintainer (Waiman Long) [2169516] - context_tracking: Convert state to atomic_t (Waiman Long) [2169516] - rcu/context-tracking: Remove unused and/or unecessary middle functions (Waiman Long) [2169516] - rcu/context-tracking: Move RCU-dynticks internal functions to context_tracking (Waiman Long) [2169516] - rcu/context-tracking: Move deferred nocb resched to context tracking (Waiman Long) [2169516] - rcu/context_tracking: Move dynticks_nmi_nesting to context tracking (Waiman Long) [2169516] - rcu/context_tracking: Move dynticks_nesting to context tracking (Waiman Long) [2169516] - rcu/context_tracking: Move dynticks counter to context tracking (Waiman Long) [2169516] - rcu/context-tracking: Remove rcu_irq_enter/exit() (Waiman Long) [2169516] - context_tracking: Take NMI eqs entrypoints over RCU (Waiman Long) [2169516] - context_tracking: Take IRQ eqs entrypoints over RCU (Waiman Long) [2169516] - context_tracking: Take idle eqs entrypoints over RCU (Waiman Long) [2169516] - redhat/configs: Rename CONFIG_CONTEXT_TRACKING_FORCE to CONFIG_CONTEXT_TRACKING_USER_FORCE (Waiman Long) [2169516] - context_tracking: Split user tracking Kconfig (Waiman Long) [2169516] - context_tracking: Rename context_tracking_cpu_set() to ct_cpu_track_user() (Waiman Long) [2169516] - context_tracking: Rename context_tracking_enter/exit() to ct_user_enter/exit() (Waiman Long) [2169516] - context_tracking: Rename context_tracking_user_enter/exit() to user_enter/exit_callable() (Waiman Long) [2169516] - context_tracking: Rename __context_tracking_enter/exit() to __ct_user_enter/exit() (Waiman Long) [2169516] - refscale: Convert test_lock spinlock to raw_spinlock (Waiman Long) [2169516] - rcutorture: Handle failure of memory allocation functions (Waiman Long) [2169516] - torture: Create kvm-check-branches.sh output in proper location (Waiman Long) [2169516] - rcuscale: Fix smp_processor_id()-in-preemptible warnings (Waiman Long) [2169516] - rcutorture: Make failure indication note reader-batch overflow (Waiman Long) [2169516] - torture: Adjust to again produce debugging information (Waiman Long) [2169516] - rcutorture: Fix memory leak in rcu_test_debug_objects() (Waiman Long) [2169516] - rcutorture: Simplify rcu_torture_read_exit_child() loop (Waiman Long) [2169516] - rcu/torture: Change order of warning and trace dump (Waiman Long) [2169516] - rcu-tasks: Update comments (Waiman Long) [2169516] - rcu-tasks: Disable and enable CPU hotplug in same function (Waiman Long) [2169516] - rcu-tasks: Eliminate RCU Tasks Trace IPIs to online CPUs (Waiman Long) [2169516] - rcu-tasks: Maintain a count of tasks blocking RCU Tasks Trace grace period (Waiman Long) [2169516] - rcu-tasks: Stop RCU Tasks Trace from scanning full tasks list (Waiman Long) [2169516] - rcutorture: Update rcutorture.fwd_progress help text (Waiman Long) [2169516] - context_tracking: Add a note about noinstr VS unsafe context tracking functions (Waiman Long) [2169516] - context_tracking: Remove unused context_tracking_in_user() (Waiman Long) [2169516] - rcu: Apply noinstr to rcu_idle_enter() and rcu_idle_exit() (Waiman Long) [2169516] - rcu: Dump rcuc kthread status for CPUs not reporting quiescent state (Waiman Long) [2169516] - torture: Make kvm-remote.sh announce which system is being waited on (Waiman Long) [2169516] - rcu-tasks: Stop RCU Tasks Trace from scanning idle tasks (Waiman Long) [2169516] - rcu-tasks: Pull in tasks blocked within RCU Tasks Trace readers (Waiman Long) [2169516] - rcu-tasks: Scan running tasks for RCU Tasks Trace readers (Waiman Long) [2169516] - rcu-tasks: Avoid rcu_tasks_trace_pertask() duplicate list additions (Waiman Long) [2169516] - rcu-tasks: Move rcu_tasks_trace_pertask() before rcu_tasks_trace_pregp_step() (Waiman Long) [2169516] - rcu-tasks: Add blocked-task indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516] - rcu-tasks: Untrack blocked RCU Tasks Trace at reader end (Waiman Long) [2169516] - rcu-tasks: Track blocked RCU Tasks Trace readers (Waiman Long) [2169516] - rcu-tasks: Add data structures for lightweight grace periods (Waiman Long) [2169516] - rcu-tasks: Make RCU Tasks Trace stall warning handle idle offline tasks (Waiman Long) [2169516] - rcu-tasks: Make RCU Tasks Trace stall warnings print full .b.need_qs field (Waiman Long) [2169516] - rcu-tasks: Flag offline CPUs in RCU Tasks Trace stall warnings (Waiman Long) [2169516] - rcu-tasks: Add slow-IPI indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516] - rcu-tasks: Simplify trc_inspect_reader() QS logic (Waiman Long) [2169516] - rcu-tasks: Make rcu_note_context_switch() unconditionally call rcu_tasks_qs() (Waiman Long) [2169516] - rcu-tasks: RCU Tasks Trace grace-period kthread has implicit QS (Waiman Long) [2169516] - rcu-tasks: Handle idle tasks for recently offlined CPUs (Waiman Long) [2169516] - rcu-tasks: Idle tasks on offline CPUs are in quiescent states (Waiman Long) [2169516] - rcu-tasks: Make trc_read_check_handler() fetch ->trc_reader_nesting only once (Waiman Long) [2169516] - rcu-tasks: Remove rcu_tasks_trace_postgp() wait for counter (Waiman Long) [2169516] - rcu-tasks: Merge state into .b.need_qs and atomically update (Waiman Long) [2169516] - rcu-tasks: Drive synchronous grace periods from calling task (Waiman Long) [2169516] - rcu-tasks: Move synchronize_rcu_tasks_generic() down (Waiman Long) [2169516] - rcu-tasks: Split rcu_tasks_one_gp() from rcu_tasks_kthread() (Waiman Long) [2169516] - rcu-tasks: Check for abandoned callbacks (Waiman Long) [2169516] - rcutorture: Validate get_completed_synchronize_rcu() (Waiman Long) [2169516] - rcu: Provide a get_completed_synchronize_rcu() function (Waiman Long) [2169516] - rcu: Make normal polling GP be more precise about sequence numbers (Waiman Long) [2169516] - cfi: Fix __cfi_slowpath_diag RCU usage with cpuidle (Waiman Long) [2169516] - context_tracking: Always inline empty stubs (Waiman Long) [2169516] - powerpc/64/interrupt: reduce expensive debug tests (Waiman Long) [2169516] - sched/deadline: Add more reschedule cases to prio_changed_dl() (Valentin Schneider) [2123590] Resolves: rhbz#1874190, rhbz#2095767, rhbz#2123590, rhbz#2162211, rhbz#2169516, rhbz#2175250, rhbz#2179137, rhbz#2179863, rhbz#2182012 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-02 13:25:16 +00:00
# CONFIG_RCU_NOCB_CPU_DEFAULT_ALL is not set
CONFIG_RCU_NOCB_CPU=y
kernel-5.14.0-80.el9 * Thu Apr 21 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-80.el9] - redhat: disable uncommon media device infrastructure (Jarod Wilson) [2074598] - netfilter: nf_tables: unregister flowtable hooks on netns exit (Florian Westphal) [2056869] - netfilter: nf_tables_offload: incorrect flow offload action array size (Florian Westphal) [2056869] {CVE-2022-25636} - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065350] {CVE-2022-1015} - scsi: qedi: Fix failed disconnect handling (Chris Leech) [2071524] - scsi: iscsi: Fix unbound endpoint error handling (Chris Leech) [2071524] - scsi: iscsi: Fix conn cleanup and stop race during iscsid restart (Chris Leech) [2071524] - scsi: iscsi: Fix endpoint reuse regression (Chris Leech) [2071524] - scsi: iscsi: Release endpoint ID when its freed (Chris Leech) [2071524] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2071524] - Revert "scsi: iscsi: Fix offload conn cleanup when iscsid restarts" (Chris Leech) [2071524] - scsi: iscsi: Speed up session unblocking and removal (Chris Leech) [2071524] - scsi: iscsi: Fix recovery and unblocking race (Chris Leech) [2071524] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Chris Leech) [2071524] - scsi: iscsi: Unblock session then wake up error handler (Chris Leech) [2071524] - scsi: iscsi: Fix set_param() handling (Chris Leech) [2071524] - scsi: iscsi: Fix iscsi_task use after free (Chris Leech) [2071524] - scsi: iscsi: Adjust iface sysfs attr detection (Chris Leech) [2071524] - scsi: qedi: Add support for fastpath doorbell recovery (Chris Leech) [2071524] - redhat: Enable KASAN on all ELN debug kernels (Nico Pache) [1995251] - sched/topology: Remove redundant variable and fix incorrect type in build_sched_domains (Phil Auld) [2065198] - sched/fair: Adjust the allowed NUMA imbalance when SD_NUMA spans multiple LLCs (Phil Auld) [2065198] - sched/fair: Improve consistency of allowed NUMA balance calculations (Phil Auld) [2065198] - redhat/configs: Enable CONFIG_RCU_SCALE_TEST & CONFIG_RCU_REF_SCALE_TEST (Waiman Long) [2070740] - thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL (David Arcari) [2039995] - thermal: int340x: Use struct_group() for memcpy() region (David Arcari) [2039995] - thermal: int340x: Limit Kconfig to 64-bit (David Arcari) [2039995] - thermal: int340x: fix build on 32-bit targets (David Arcari) [2039995 2040066] - thermal/drivers/int340x: processor_thermal: Suppot 64 bit RFIM responses (David Arcari) [2039995 2040066] - thermal/drivers/int340x: Improve the tcc offset saving for suspend/resume (David Arcari) [2039995] - thermal: int340x: delete bogus length check (David Arcari) [2039995] - thermal/drivers/int340x: Do not set a wrong tcc offset on resume (David Arcari) [2039995] - thermal/drivers/int340x: Use IMOK independently (David Arcari) [2039995] - stddef: Introduce struct_group() helper macro (David Arcari) [2039995] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2031240] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2031240] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2031240] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2031240] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2031240] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2031240] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2031240] Resolves: rhbz#2040066, rhbz#1995251, rhbz#2070740, rhbz#2031240, rhbz#2039995, rhbz#2065198 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-21 08:30:49 +00:00
CONFIG_RCU_REF_SCALE_TEST=m
CONFIG_RCU_SCALE_TEST=m
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_RCU_TORTURE_TEST=m
# CONFIG_RCU_TRACE is not set
CONFIG_RD_BZIP2=y
CONFIG_RD_GZIP=y
CONFIG_RD_LZ4=y
CONFIG_RD_LZMA=y
CONFIG_RD_LZO=y
kernel-5.14.0-314.el9 * Tue May 16 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-314.el9] - arm64: fix rodata=full again (Rafael Aquini) [2186559] - arm64: fix rodata=full (Rafael Aquini) [2186559] - arm64/mm: fold check for KFENCE into can_set_direct_map() (Rafael Aquini) [2186559] - lib/kstrtox.c: add "false"/"true" support to kstrtobool() (Rafael Aquini) [2186559] - lib/nodemask: optimize node_random for nodemask with single NUMA node (Rafael Aquini) [2186559] - memory tier: release the new_memtier in find_create_memory_tier() (Rafael Aquini) [2186559] - memory tier, sysfs: rename attribute "nodes" to "nodelist" (Rafael Aquini) [2186559] - mm/demotion: fix NULL vs IS_ERR checking in memory_tier_init (Rafael Aquini) [2186559] - mm/demotion: expose memory tier details via sysfs (Rafael Aquini) [2186559] - mm/demotion: update node_is_toptier to work with memory tiers (Rafael Aquini) [2186559] - mm/demotion: demote pages according to allocation fallback order (Rafael Aquini) [2186559] - mm/demotion: drop memtier from memtype (Rafael Aquini) [2186559] - mm/demotion: add pg_data_t member to track node memory tier details (Rafael Aquini) [2186559] - mm/demotion: build demotion targets based on explicit memory tiers (Rafael Aquini) [2186559] - mm/demotion/dax/kmem: set node's abstract distance to MEMTIER_DEFAULT_DAX_ADISTANCE (Rafael Aquini) [2186559] - mm/demotion: add hotplug callbacks to handle new numa node onlined (Rafael Aquini) [2186559] - mm/demotion: move memory demotion related code (Rafael Aquini) [2186559] - mm/demotion: add support for explicit memory tiers (Rafael Aquini) [2186559] - PCI/PM: Extend D3hot delay for NVIDIA HDA controllers (Alex Williamson) [2178956] - powerpc/vdso: Fix incorrect CFI in gettimeofday.S (Mamatha Inamdar) [2203363] - powerpc/vdso: Move cvdso_call macro into gettimeofday.S (Mamatha Inamdar) [2203363] - powerpc/vdso: Remove cvdso_call_time macro (Mamatha Inamdar) [2203363] - powerpc/vdso: Merge vdso64 and vdso32 into a single directory (Mamatha Inamdar) [2203363] - powerpc/vdso: Rework VDSO32 makefile to add a prefix to object files (Mamatha Inamdar) [2203363] - powerpc/vdso: augment VDSO32 functions to support 64 bits build (Mamatha Inamdar) [2203363] - sched/fair: Sanitize vruntime of entity being migrated (Phil Auld) [RHEL-282] - sched/core: Merge cpumask_andnot()+for_each_cpu() into for_each_cpu_andnot() (Phil Auld) [RHEL-282] - cpumask: Introduce for_each_cpu_andnot() (Phil Auld) [RHEL-282] - lib/find_bit: Introduce find_next_andnot_bit() (Phil Auld) [RHEL-282] - sched/core: Fix a missed update of user_cpus_ptr (Phil Auld) [RHEL-282] - sched/fair: sanitize vruntime of entity being placed (Phil Auld) [RHEL-282] - sched/core: Fix NULL pointer access fault in sched_setaffinity() with non-SMP configs (Phil Auld) [RHEL-282] - sched/fair: Limit sched slice duration (Phil Auld) [RHEL-282] - cpufreq, sched/util: Optimize operations with single CPU capacity lookup (Phil Auld) [RHEL-282] - sched/core: Reorganize ttwu_do_wakeup() and ttwu_do_activate() (Phil Auld) [RHEL-282] - sched/core: Micro-optimize ttwu_runnable() (Phil Auld) [RHEL-282] - sched/topology: Add __init for sched_init_domains() (Phil Auld) [RHEL-282] - sched/numa: Stop an exhastive search if an idle core is found (Phil Auld) [RHEL-282] - sched: Make const-safe (Phil Auld) [RHEL-282] - sched: Async unthrottling for cfs bandwidth (Phil Auld) [RHEL-282] - sched/topology: Add __init for init_defrootdomain (Phil Auld) [RHEL-282] - sched/fair: use try_cmpxchg in task_numa_work (Phil Auld) [RHEL-282] - sched: Clear ttwu_pending after enqueue_task() (Phil Auld) [RHEL-282] - sched/fair: Move call to list_last_entry() in detach_tasks (Phil Auld) [RHEL-282] - sched/fair: Cleanup loop_max and loop_break (Phil Auld) [RHEL-282] - sched/fair: Make sure to try to detach at least one movable task (Phil Auld) [RHEL-282] - sched: Add TASK_ANY for wait_task_inactive() (Phil Auld) [RHEL-282] - sched: Rename task_running() to task_on_cpu() (Phil Auld) [RHEL-282] - sched/fair: Cleanup for SIS_PROP (Phil Auld) [RHEL-282] - sched/fair: Default to false in test_idle_cores() (Phil Auld) [RHEL-282] - sched/fair: Remove useless check in select_idle_core() (Phil Auld) [RHEL-282] - sched/fair: Avoid double search on same cpu (Phil Auld) [RHEL-282] - sched/fair: Remove redundant check in select_idle_smt() (Phil Auld) [RHEL-282] - sched: Add update_current_exec_runtime helper (Phil Auld) [RHEL-282] - sched/fair: Don't init util/runnable_avg for !fair task (Phil Auld) [RHEL-282] - sched/fair: Move task sched_avg attach to enqueue_task_fair() (Phil Auld) [RHEL-282] - sched/fair: Allow changing cgroup of new forked task (Phil Auld) [RHEL-282] - sched/fair: Fix another detach on unattached task corner case (Phil Auld) [RHEL-282] - sched/fair: Combine detach into dequeue when migrating task (Phil Auld) [RHEL-282] - sched/fair: Update comments in enqueue/dequeue_entity() (Phil Auld) [RHEL-282] - sched/fair: Reset sched_avg last_update_time before set_task_rq() (Phil Auld) [RHEL-282] - sched/fair: Remove redundant cpu_cgrp_subsys->fork() (Phil Auld) [RHEL-282] - sched/fair: Maintain task se depth in set_task_rq() (Phil Auld) [RHEL-282] - sched/all: Change all BUG_ON() instances in the scheduler to WARN_ON_ONCE() (Phil Auld) [RHEL-282] - sched/core: Remove superfluous semicolon (Phil Auld) [RHEL-282] - sched/fair: Make per-cpu cpumasks static (Phil Auld) [RHEL-282] - sched/fair: Remove unused parameter idle of _nohz_idle_balance() (Phil Auld) [RHEL-282] - sched/debug: Print each field value left-aligned in sched_show_task() (Phil Auld) [RHEL-282] - sched: Snapshot thread flags (Phil Auld) [RHEL-282] - scsi: zfcp: Trace when request remove fails after qdio send fails (Tobias Huschle) [2160098] - scsi: zfcp: Change the type of all fsf request id fields and variables to u64 (Tobias Huschle) [2160098] - scsi: zfcp: Make the type for accessing request hashtable buckets size_t (Tobias Huschle) [2160098] - scsi: zfcp: Drop redundant "the" in the comments (Tobias Huschle) [2160098] - perf c2c: Add report option to show false sharing in adjacent cachelines (Michael Petlan) [2193189] - RDMA: Mark Soft-RoCE driver as tech-preview (Kamal Heib) [2022578] - redhat/configs: enable RDMA_RXE for RHEL (Kamal Heib) [2022578] - RDMA/rxe: Prevent faulty rkey generation (Kamal Heib) [2022578] - RDMA/rxe: Fix inaccurate constants in rxe_type_info (Kamal Heib) [2022578] - RDMA/rxe: Fix compile warnings on 32-bit (Kamal Heib) [2022578] - RDMA/rxe: Enable RDMA FLUSH capability for rxe device (Kamal Heib) [2022578 2114774] - RDMA/rxe: Implement flush completion (Kamal Heib) [2022578 2114774] - RDMA/rxe: Implement flush execution in responder side (Kamal Heib) [2022578 2114774] - RDMA/rxe: Implement RC RDMA FLUSH service in requester side (Kamal Heib) [2022578 2114774] - RDMA/rxe: Extend rxe packet format to support flush (Kamal Heib) [2022578 2114774] - RDMA/rxe: Allow registering persistent flag for pmem MR only (Kamal Heib) [2022578 2114774] - RDMA/rxe: Extend rxe user ABI to support flush (Kamal Heib) [2022578 2114774] - RDMA/rxe: Fix incorrect responder length checking (Kamal Heib) [2022578] - RDMA/rxe: Fix oops with zero length reads (Kamal Heib) [2022578] - RDMA/rxe: Enable atomic write capability for rxe device (Kamal Heib) [2022578 2114774] - RDMA/rxe: Implement atomic write completion (Kamal Heib) [2022578 2114774] - RDMA/rxe: Make responder support atomic write on RC service (Kamal Heib) [2022578 2114774] - RDMA/rxe: Make requester support atomic write on RC service (Kamal Heib) [2022578 2114774] - RDMA/rxe: Extend rxe packet format to support atomic write (Kamal Heib) [2022578 2114774] - RDMA/rxe: Extend rxe user ABI to support atomic write (Kamal Heib) [2022578 2114774] - RDMA/rxe: Fix NULL-ptr-deref in rxe_qp_do_cleanup() when socket create failed (Kamal Heib) [2022578] - RDMA/rxe: Do not NULL deref on debugging failure path (Kamal Heib) [2022578] - RDMA/rxe: Fix mr->map double free (Kamal Heib) [2022578] - RDMA/rxe: Remove reliable datagram support (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mmap.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_icrc.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_task.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_av.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_verbs.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_srq.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_resp.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_req.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_qp.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_net.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mw.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mr.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_cq.c (Kamal Heib) [2022578] - RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_comp.c (Kamal Heib) [2022578] - RDMA/rxe: Add ibdev_dbg macros for rxe (Kamal Heib) [2022578] - RDMA/rxe: Implement packet length validation on responder (Kamal Heib) [2022578] - RDMA/rxe: cleanup some error handling in rxe_verbs.c (Kamal Heib) [2022578] - RDMA/rxe: Remove the duplicate assignment of mr->map_shift (Kamal Heib) [2022578] - RDMA/rxe: Make sure requested access is a subset of {mr,mw}->access (Kamal Heib) [2022578] - RDMA/rxe: Rename task->state_lock to task->lock (Kamal Heib) [2022578] - RDMA/rxe: Make rxe_do_task static (Kamal Heib) [2022578] - RDMA/rxe: Split rxe_run_task() into two subroutines (Kamal Heib) [2022578] - RDMA/rxe: Removed unused name from rxe_task struct (Kamal Heib) [2022578] - RDMA/rxe: Remove init of task locks from rxe_qp.c (Kamal Heib) [2022578] - RDMA/rxe: Remove redundant header files (Kamal Heib) [2022578] - RDMA/rxe: Fix mr leak in RESPST_ERR_RNR (Kamal Heib) [2022578] - RDMA/rxe: Remove unnecessary mr testing (Kamal Heib) [2022578] - RDMA/rxe: Handle remote errors in the midst of a Read reply sequence (Kamal Heib) [2022578] - RDMA/rxe: Make responder handle RDMA Read failures (Kamal Heib) [2022578] - RDMA/rxe: Remove the member 'type' of struct rxe_mr (Kamal Heib) [2022578] - RDMA/rxe: Remove error/warning messages from packet receiver path (Kamal Heib) [2022578] - RDMA/rxe: Remove redundant num_sge fields (Kamal Heib) [2022578] - RDMA/rxe: Fix resize_finish() in rxe_queue.c (Kamal Heib) [2022578] - RDMA/rxe: Set pd early in mr alloc routines (Kamal Heib) [2022578] - RDMA/rxe: Add send_common_ack() helper (Kamal Heib) [2022578] - RDMA/rxe: Use members of generic struct in rxe_mr (Kamal Heib) [2022578] - RDMA/rxe: convert pr_warn to pr_debug (Kamal Heib) [2022578] - RDMA/rxe: use %%u to print u32 variables (Kamal Heib) [2022578] - RDMA/rxe: Delete error messages triggered by incoming Read requests (Kamal Heib) [2022578] - RDMA/rxe: Remove the unused variable obj (Kamal Heib) [2022578] - RDMA/rxe: Fix the error caused by qp->sk (Kamal Heib) [2022578] - RDMA/rxe: Fix "kernel NULL pointer dereference" error (Kamal Heib) [2022578] - RDMA/rxe: Remove an unused member from struct rxe_mr (Kamal Heib) [2022578] - RDMA/rxe: Fix error unwind in rxe_create_qp() (Kamal Heib) [2022578] - RDMA/rxe: Split qp state for requester and completer (Kamal Heib) [2022578] - RDMA/rxe: Generate error completion for error requester QP state (Kamal Heib) [2022578] - RDMA/rxe: Update wqe_index for each wqe error completion (Kamal Heib) [2022578] - Revert "RDMA/rxe: Create duplicate mapping tables for FMRs" (Kamal Heib) [2022578] - RDMA/rxe: Replace __rxe_do_task by rxe_run_task (Kamal Heib) [2022578] - RDMA/rxe: Limit the number of calls to each tasklet (Kamal Heib) [2022578] - RDMA/rxe: Make the tasklet exits the same (Kamal Heib) [2022578] - RDMA/rxe: Fix rnr retry behavior (Kamal Heib) [2022578] - RDMA/rxe: Add rxe_is_fenced() subroutine (Kamal Heib) [2022578] - RDMA/rxe: For invalidate compare according to set keys in mr (Kamal Heib) [2022578] - RDMA/rxe: Fix mw bind to allow any consumer key portion (Kamal Heib) [2022578] - RDMA/rxe: Fix spelling mistake in error print (Kamal Heib) [2022578] - RDMA/rxe: Remove unused qp parameter (Kamal Heib) [2022578] - RDMA/rxe: Remove unused mask parameter (Kamal Heib) [2022578] - RDMA/rxe: Rename rxe_atomic_reply to atomic_reply (Kamal Heib) [2022578] - RDMA/rxe: Add common rxe_prepare_res() (Kamal Heib) [2022578] - RDMA/rxe: Fix BUG: KASAN: null-ptr-deref in rxe_qp_do_cleanup (Kamal Heib) [2022578] - IB: Fix spelling of 'writable' (Kamal Heib) [2022578] - RDMA/rxe: Remove unnecessary include statement (Kamal Heib) [2022578] - RDMA/rxe: Replace include statement (Kamal Heib) [2022578] - RDMA/rxe: Convert pr_warn/err to pr_debug in pyverbs (Kamal Heib) [2022578] - RDMA/rxe: Fix deadlock in rxe_do_local_ops() (Kamal Heib) [2022578] - RDMA/rxe: Merge normal and retry atomic flows (Kamal Heib) [2022578] - RDMA/rxe: Move atomic original value to res (Kamal Heib) [2022578] - RDMA/rxe: Move atomic responder res to atomic_reply (Kamal Heib) [2022578] - RDMA/rxe: Add a responder state for atomic reply (Kamal Heib) [2022578] - RDMA/rxe: Move code to rxe_prepare_atomic_res() (Kamal Heib) [2022578] - RDMA/rxe: Convert read side locking to rcu (Kamal Heib) [2022578] - RDMA/rxe: Stop lookup of partially built objects (Kamal Heib) [2022578] - RDMA/rxe: Remove useless pkt parameters (Kamal Heib) [2022578] - RDMA/rxe: fix xa_alloc_cycle() error return value check again (Kamal Heib) [2022578] - RDMA/rxe: Fix an error handling path in rxe_get_mcg() (Kamal Heib) [2022578] - RDMA/rxe: Enforce IBA C11-17 (Kamal Heib) [2022578] - RDMA/rxe: Move mw cleanup code to rxe_mw_cleanup() (Kamal Heib) [2022578] - RDMA/rxe: Move mr cleanup code to rxe_mr_cleanup() (Kamal Heib) [2022578] - RDMA/rxe: Move qp cleanup code to rxe_qp_do_cleanup() (Kamal Heib) [2022578] - RDMA/rxe: Check rxe_get() return value (Kamal Heib) [2022578] - RDMA/rxe: Add rxe_srq_cleanup() (Kamal Heib) [2022578] - RDMA/rxe: Remove IB_SRQ_INIT_MASK (Kamal Heib) [2022578] - RDMA/rxe: Skip adjusting remote addr for write in retry operation (Kamal Heib) [2022578] - RDMA/rxe: Optimize the mr pool struct (Kamal Heib) [2022578] - RDMA/rxe: Change mcg_lock to a _bh lock (Kamal Heib) [2022578] - RDMA/rxe: Do not call dev_mc_add/del() under a spinlock (Kamal Heib) [2022578] - RDMA/rxe: Replace paylen by payload (Kamal Heib) [2022578] - RDMA/rxe: Remove useless parameters for update_state() (Kamal Heib) [2022578] - RDMA/rxe: Recheck the MR in when generating a READ reply (Kamal Heib) [2022578] - RDMA/rxe: Fix "Replace mr by rkey in responder resources" (Kamal Heib) [2022578] - RDMA/rxe: Generate a completion for unsupported/invalid opcode (Kamal Heib) [2022578] - RDMA/rxe: Remove reliable datagram support (Kamal Heib) [2022578] - RDMA/rxe: Remove support for SMI QPs from rdma_rxe (Kamal Heib) [2022578] - RDMA/rxe: Remove mc_grp_pool from struct rxe_dev (Kamal Heib) [2022578] - RDMA/rxe: Remove type 2A memory window capability (Kamal Heib) [2022578] - RDMA/rxe: Use standard names for ref counting (Kamal Heib) [2022578] - RDMA/rxe: Replace red-black trees by xarrays (Kamal Heib) [2022578] - RDMA/rxe: Shorten pool names in rxe_pool.c (Kamal Heib) [2022578] - RDMA/rxe: Move max_elem into rxe_type_info (Kamal Heib) [2022578] - RDMA/rxe: Replace obj by elem in declaration (Kamal Heib) [2022578] - RDMA/rxe: Delete _locked() APIs for pool objects (Kamal Heib) [2022578] - RDMA/rxe: Reverse the sense of RXE_POOL_NO_ALLOC (Kamal Heib) [2022578] - RDMA/rxe: Replace mr by rkey in responder resources (Kamal Heib) [2022578] - RDMA/rxe: Fix ref error in rxe_av.c (Kamal Heib) [2022578] - RDMA/rxe: Remove useless argument for update_state() (Kamal Heib) [2022578] - RDMA/rxe: Change variable and function argument to proper type (Kamal Heib) [2022578] - RDMA/rxe: Cleanup rxe_mcast.c (Kamal Heib) [2022578] - RDMA/rxe: Collect cleanup mca code in a subroutine (Kamal Heib) [2022578] - RDMA/rxe: Collect mca init code in a subroutine (Kamal Heib) [2022578] - RDMA/rxe: Warn if mcast memory is not freed (Kamal Heib) [2022578] - RDMA/rxe: Remove mcg from rxe pools (Kamal Heib) [2022578] - RDMA/rxe: Remove key'ed object support (Kamal Heib) [2022578] - RDMA/rxe: Replace pool key by rxe->mcg_tree (Kamal Heib) [2022578] - RDMA/rxe: Replace int num_qp by atomic_t qp_num (Kamal Heib) [2022578] - RDMA/rxe: Replace grp by mcg, mce by mca (Kamal Heib) [2022578] - RDMA/rxe: Use kzmalloc/kfree for mca (Kamal Heib) [2022578] - RDMA/rxe: Move mcg_lock to rxe (Kamal Heib) [2022578] - RDMA/rxe: Revert changes from irqsave to bh locks (Kamal Heib) [2022578] - RDMA/rxe: Check the last packet by RXE_END_MASK (Kamal Heib) [2022578] - RDMA/rxe: Remove qp->grp_lock and qp->grp_list (Kamal Heib) [2022578] - RDMA/rxe: Remove rxe_drop_all_macst_groups (Kamal Heib) [2022578] - RDMA/rxe: Enforce IBA o10-2.2.3 (Kamal Heib) [2022578] - RDMA/rxe: Rename rxe_mc_grp and rxe_mc_elem (Kamal Heib) [2022578] - RDMA/rxe: Move rxe_mcast_attach/detach to rxe_mcast.c (Kamal Heib) [2022578] - RDMA/rxe: Move rxe_mcast_add/delete to rxe_mcast.c (Kamal Heib) [2022578] - RDMA/rxe: Delete useless module.h include (Kamal Heib) [2022578] - RDMA/rxe: Use the standard method to produce udp source port (Kamal Heib) [2022578] - RDMA/rxe: Delete deprecated module parameters interface (Kamal Heib) [2022578] - RDMA/rxe: Fix indentations and operators sytle (Kamal Heib) [2022578] - RDMA/rxe: Fix a typo in opcode name (Kamal Heib) [2022578] - RDMA/rxe: Remove the unused xmit_errors member (Kamal Heib) [2022578] - RDMA/rxe: Remove redundant err variable (Kamal Heib) [2022578] - RDMA/rxe: Prevent double freeing rxe_map_set() (Kamal Heib) [2022578] - RDMA/rxe: Remove the unnecessary variable (Kamal Heib) [2022578] - RDMA: Fix use-after-free in rxe_queue_cleanup (Kamal Heib) [2022578] - RDMA/rxe: Remove some #defines from rxe_pool.h (Kamal Heib) [2022578] - RDMA/rxe: Remove #include "rxe_loc.h" from rxe_pool.c (Kamal Heib) [2022578] - RDMA/rxe: Save object pointer in pool element (Kamal Heib) [2022578] - RDMA/rxe: Copy setup parameters into rxe_pool (Kamal Heib) [2022578] - RDMA/rxe: Cleanup rxe_pool_entry (Kamal Heib) [2022578] - RDMA/rxe: Replace irqsave locks with bh locks (Kamal Heib) [2022578] - RDMA/rxe: Make rxe_type_info static const (Kamal Heib) [2022578] - RDMA/rxe: Use 'bitmap_zalloc()' when applicable (Kamal Heib) [2022578] - RDMA/rxe: Save a few bytes from struct rxe_pool (Kamal Heib) [2022578] - treewide: Replace open-coded flex arrays in unions (Kamal Heib) [2022578] - RDMA/rxe: Convert kernel UD post send to use ah_num (Kamal Heib) [2022578] - RDMA/rxe: Lookup kernel AH from ah index in UD WQEs (Kamal Heib) [2022578] - RDMA/rxe: Replace ah->pd by ah->ibah.pd (Kamal Heib) [2022578] - RDMA/rxe: Create AH index and return to user space (Kamal Heib) [2022578] - RDMA/rxe: Change AH objects to indexed (Kamal Heib) [2022578] - RDMA/rxe: Move AV from rxe_send_wqe to rxe_send_wr (Kamal Heib) [2022578] - RDMA/rxe: Remove duplicate settings (Kamal Heib) [2022578] - RDMA/rxe: Set partial attributes when completion status != IBV_WC_SUCCESS (Kamal Heib) [2022578] - RDMA/rxe: Change the is_user member of struct rxe_cq to bool (Kamal Heib) [2022578] - RDMA/rxe: Remove the is_user members of struct rxe_sq/rxe_rq/rxe_srq (Kamal Heib) [2022578] - RDMA/rxe: Bump up default maximum values used via uverbs (Kamal Heib) [2022578] - RDMA/rxe: Remove unused WR_READ_WRITE_OR_SEND_MASK (Kamal Heib) [2022578] - RDMA/rxe: Add MASK suffix for RXE_READ_OR_ATOMIC and RXE_WRITE_OR_SEND (Kamal Heib) [2022578] - RDMA/rxe: Add new RXE_READ_OR_WRITE_MASK (Kamal Heib) [2022578] - RDMA/rxe: Only allow invalidate for appropriate MRs (Kamal Heib) [2022578] - RDMA/rxe: Create duplicate mapping tables for FMRs (Kamal Heib) [2022578] - RDMA/rxe: Separate HW and SW l/rkeys (Kamal Heib) [2022578] - RDMA/rxe: Cleanup MR status and type enums (Kamal Heib) [2022578] - RDMA/rxe: Add memory barriers to kernel queues (Kamal Heib) [2022578] - RDMA/rxe: remove the unnecessary variable (Kamal Heib) [2022578] - RDMA/rxe: remove the redundant variable (Kamal Heib) [2022578] - RDMA/rxe: Fix wrong port_cap_flags (Kamal Heib) [2022578] - RDMA/rxe: Fix types in rxe_icrc.c (Kamal Heib) [2022578] - RDMA/rxe: Add kernel-doc comments to rxe_icrc.c (Kamal Heib) [2022578] - RDMA/rxe: Move crc32 init code to rxe_icrc.c (Kamal Heib) [2022578] - RDMA/rxe: Fixup rxe_icrc_hdr (Kamal Heib) [2022578] - RDMA/rxe: Move rxe_crc32 to a subroutine (Kamal Heib) [2022578] - RDMA/rxe: Move ICRC generation to a subroutine (Kamal Heib) [2022578] - RDMA/rxe: Fixup rxe_send and rxe_loopback (Kamal Heib) [2022578] - RDMA/rxe: Move rxe_xmit_packet to a subroutine (Kamal Heib) [2022578] - RDMA/rxe: Move ICRC checking to a subroutine (Kamal Heib) [2022578] - RDMA/rxe: Remove the repeated 'mr->umem = umem' (Kamal Heib) [2022578] - redhat/configs: Enable Marvell Cn10k DDR PMU driver (Bharat Bhushan) [2042241] - perf: MARVELL_CN10K_DDR_PMU should depend on ARCH_THUNDER (Bharat Bhushan) [2042241] - perf/marvell: Add ACPI support to DDR uncore driver (Bharat Bhushan) [2042241] - perf/marvell: Fix !CONFIG_OF build for CN10K DDR PMU driver (Bharat Bhushan) [2042241] - perf/marvell: cn10k DDR perf event core ownership (Bharat Bhushan) [2042241] - perf/marvell: cn10k DDR perfmon event overflow handling (Bharat Bhushan) [2042241] - perf/marvell: CN10k DDR performance monitor support (Bharat Bhushan) [2042241] - dt-bindings: perf: marvell: cn10k ddr performance monitor (Bharat Bhushan) [2042241] - xfrm: add extack to xfrm_set_spdinfo (Sabrina Dubroca) [2130609] - xfrm: add extack to xfrm_alloc_userspi (Sabrina Dubroca) [2130609] - xfrm: add extack to xfrm_do_migrate (Sabrina Dubroca) [2130609] - xfrm: add extack to xfrm_new_ae and xfrm_replay_verify_len (Sabrina Dubroca) [2130609] - xfrm: add extack to xfrm_del_sa (Sabrina Dubroca) [2130609] - xfrm: add extack to xfrm_add_sa_expire (Sabrina Dubroca) [2130609] - xfrm: a few coding style clean ups (Sabrina Dubroca) [2130609] - xfrm: mip6: add extack to mip6_destopt_init_state, mip6_rthdr_init_state (Sabrina Dubroca) [2130609] - xfrm: ipcomp: add extack to ipcomp{4,6}_init_state (Sabrina Dubroca) [2130609] - xfrm: tunnel: add extack to ipip_init_state, xfrm6_tunnel_init_state (Sabrina Dubroca) [2130609] - xfrm: esp: add extack to esp_init_state, esp6_init_state (Sabrina Dubroca) [2130609] - xfrm: ah: add extack to ah_init_state, ah6_init_state (Sabrina Dubroca) [2130609] - xfrm: pass extack down to xfrm_type ->init_state (Sabrina Dubroca) [2130609] - xfrm: add extack support to xfrm_init_replay (Sabrina Dubroca) [2130609] - xfrm: add extack to __xfrm_init_state (Sabrina Dubroca) [2130609] - xfrm: add extack to attach_* (Sabrina Dubroca) [2130609] - xfrm: add extack support to xfrm_dev_state_add (Sabrina Dubroca) [2130609] - xfrm: add extack to verify_one_alg, verify_auth_trunc, verify_aead (Sabrina Dubroca) [2130609] - xfrm: add extack to verify_replay (Sabrina Dubroca) [2130609] - xfrm: add extack support to verify_newsa_info (Sabrina Dubroca) [2130609] - xfrm: add extack to verify_sec_ctx_len (Sabrina Dubroca) [2130609] - xfrm: add extack to validate_tmpl (Sabrina Dubroca) [2130609] - xfrm: add extack to verify_policy_type (Sabrina Dubroca) [2130609] - xfrm: add extack to verify_policy_dir (Sabrina Dubroca) [2130609] - xfrm: add extack support to verify_newpolicy_info (Sabrina Dubroca) [2130609] - xfrm: propagate extack to all netlink doit handlers (Sabrina Dubroca) [2130609] - net: ipv4: fix clang -Wformat warnings (Sabrina Dubroca) [2130609] - xfrm: rate limit SA mapping change message to user space (Sabrina Dubroca) [2130609] - xfrm: Check if_id in xfrm_migrate (Sabrina Dubroca) [2130609] - xfrm: fix rcu lock in xfrm_notify_userpolicy() (Sabrina Dubroca) [2130609] - xfrm: policy: fix metadata dst->dev xmit null pointer dereference (Sabrina Dubroca) [2130609] - xfrm: rework default policy structure (Sabrina Dubroca) [2130609] - xfrm: fix dflt policy check when there is no policy configured (Sabrina Dubroca) [2130609] - xfrm: notify default policy on update (Sabrina Dubroca) [2130609] - xfrm: make user policy API complete (Sabrina Dubroca) [2130609] - include/uapi/linux/xfrm.h: Fix XFRM_MSG_MAPPING ABI breakage (Sabrina Dubroca) [2130609] - net: xfrm: fix shift-out-of-bounds in xfrm_get_default (Sabrina Dubroca) [2130609] - net: xfrm: fix shift-out-of-bounce (Sabrina Dubroca) [2130609] - xfrm: Add possibility to set the default to block if we have no policy (Sabrina Dubroca) [2130609] - remoteproc: imx_rproc: Enable attach recovery for i.MX8QM/QXP (Steve Best) [2184610] - remoteproc: imx_rproc: Request mbox channel later (Steve Best) [2184610] - remoteproc: imx_rproc: Support i.MX8QM (Steve Best) [2184610] - remoteproc: imx_rproc: Support kicking Mcore from Linux for i.MX8QXP (Steve Best) [2184610] - remoteproc: imx_rproc: Support attaching to i.MX8QXP M4 (Steve Best) [2184610] - remoteproc: imx_dsp_rproc: Add mutex protection for workqueue (Steve Best) [2184615] - remoteproc: imx_dsp_rproc: fix argument 2 of rproc_mem_entry_init (Steve Best) [2184615] - remoteproc: imx_dsp_rproc: Make rsc_table optional (Steve Best) [2184615] - remoteproc: imx_dsp_rproc: use common rproc_elf_load_segments (Steve Best) [2184615] - tap: tap_open(): correctly initialize socket uid (Paolo Abeni) [2188560] - tun: tun_chr_open(): correctly initialize socket uid (Paolo Abeni) [2188560] - net: fix __dev_kfree_skb_any() vs drop monitor (Paolo Abeni) [2188560] - net: add sock_init_data_uid() (Paolo Abeni) [2188560] - dccp/tcp: Avoid negative sk_forward_alloc by ipv6_pinfo.pktoptions. (Paolo Abeni) [2188560] - txhash: fix sk->sk_txrehash default (Paolo Abeni) [2188560] - net: fix NULL pointer in skb_segment_list (Paolo Abeni) [2188560] - skb: Do mix page pool and page referenced frags in GRO (Paolo Abeni) [2188560] - soreuseport: Fix socket selection for SO_INCOMING_CPU. (Paolo Abeni) [2188560] - net-memcg: avoid stalls when under memory pressure (Paolo Abeni) [2188560] - tcp: tcp_check_req() can be called from process context (Paolo Abeni) [2188561] - tcp: fix rate_app_limited to default to 1 (Paolo Abeni) [2188561] - dccp/tcp: Reset saddr on failure after inet6?_hash_connect(). (Paolo Abeni) [2188561] - tcp: prohibit TCP_REPAIR_OPTIONS if data was already sent (Paolo Abeni) [2188561] - tcp: fix indefinite deferral of RTO with SACK reneging (Paolo Abeni) [2188561] - tcp: fix a signed-integer-overflow bug in tcp_add_backlog() (Paolo Abeni) [2188561] - tcp: minor optimization in tcp_add_backlog() (Paolo Abeni) [2188561] - tcp: annotate data-race around challenge_timestamp (Paolo Abeni) [2188561] - sfc: ef10: don't overwrite offload features at NIC reset (Íñigo Huguet) [2166253] - sfc: correctly advertise tunneled IPv6 segmentation (Íñigo Huguet) [2166253] - drivers/perf: fsl_imx8_ddr_perf: Remove set-but-not-used variable (Steve Best) [2184607] - drivers/perf: Directly use ida_alloc()/free() (Steve Best) [2184607] - perf/imx_ddr: Fix undefined behavior due to shift overflowing the constant (Steve Best) [2184607] Resolves: rhbz#2022578, rhbz#2042241, rhbz#2114774, rhbz#2130609, rhbz#2160098, rhbz#2166253, rhbz#2178956, rhbz#2184607, rhbz#2184610, rhbz#2184615, rhbz#2186559, rhbz#2188560, rhbz#2188561, rhbz#2193189, rhbz#2203363, RHEL-282 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-16 13:52:30 +00:00
CONFIG_RDMA_RXE=m
CONFIG_RDMA_SIW=m
# CONFIG_RDS is not set
CONFIG_RD_XZ=y
kernel-5.14.0-33.el9 * Thu Dec 16 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-33.el9] - s390/kexec: handle R_390_PLT32DBL rela in arch_kexec_apply_relocations_add() (Philipp Rudo) [2023155] - s390/kexec_file: fix error handling when applying relocations (Philipp Rudo) [2023155] - s390/kexec_file: print some more error messages (Philipp Rudo) [2023155] - udp: Validate checksum in udp_read_sock() (Xin Long) [2026952] - net: udp: correct the document for udp_mem (Xin Long) [2026952] - net: udp6: replace __UDP_INC_STATS() with __UDP6_INC_STATS() (Xin Long) [2026952] - net: prefer socket bound to interface when not in VRF (Xin Long) [2026952] - udp6: allow SO_MARK ctrl msg to affect routing (Xin Long) [2026952] - net: udp: annotate data race around udp_sk(sk)->corkflag (Xin Long) [2026952] - net/ipv4/udp_tunnel_core.c: remove superfluous header files from udp_tunnel_core.c (Xin Long) [2026952] - udp_tunnel: Fix udp_tunnel_nic work-queue type (Xin Long) [2026952] - selftests: add a test case for mirred egress to ingress (Hangbin Liu) [2025461] - selftests/net: udpgso_bench_rx: fix port argument (Hangbin Liu) [2025461] - selftests: net: test_vxlan_under_vrf: fix HV connectivity test (Hangbin Liu) [2025461] - selftests: net: tls: remove unused variable and code (Hangbin Liu) [2025461] - selftests/net: Fix reuseport_bpf_numa by skipping unavailable nodes (Hangbin Liu) [2025461] - selftests: net: switch to socat in the GSO GRE test (Hangbin Liu) [2025461] - selftests: net: properly support IPv6 in GSO GRE test (Hangbin Liu) [2025461] - kselftests/net: add missed vrf_strict_mode_test.sh test to Makefile (Hangbin Liu) [2025461] - kselftests/net: add missed setup_loopback.sh/setup_veth.sh to Makefile (Hangbin Liu) [2025461] - kselftests/net: add missed icmp.sh test to Makefile (Hangbin Liu) [2025461] - selftests: udp: test for passing SO_MARK as cmsg (Hangbin Liu) [2025461] - selftests/net: update .gitignore with newly added tests (Hangbin Liu) [2025461] - selftests: net: bridge: update IGMP/MLD membership interval value (Hangbin Liu) [2025461] - selftests: lib: forwarding: allow tests to not require mz and jq (Hangbin Liu) [2025461] - fcnal-test: kill hanging ping/nettest binaries on cleanup (Hangbin Liu) [2025461] - selftests: net/fcnal: Test --{force,no}-bind-key-ifindex (Hangbin Liu) [2025461] - selftests: nettest: Add --{force,no}-bind-key-ifindex (Hangbin Liu) [2025461] - selftests: forwarding: Add IPv6 GRE hierarchical tests (Hangbin Liu) [2025461] - selftests: forwarding: Add IPv6 GRE flat tests (Hangbin Liu) [2025461] - testing: selftests: tc_common: Add tc_check_at_least_x_packets() (Hangbin Liu) [2025461] - testing: selftests: forwarding.config.sample: Add tc flag (Hangbin Liu) [2025461] - selftests: net: fib_nexthops: Wait before checking reported idle time (Hangbin Liu) [2025461] - selftest: net: fix typo in altname test (Hangbin Liu) [2025461] - selftests: add simple GSO GRE test (Hangbin Liu) [2025461] - selftests/net: allow GRO coalesce test on veth (Hangbin Liu) [2025461] - selftests/net: Use kselftest skip code for skipped tests (Hangbin Liu) [2025461] - tools/net: Use bitwise instead of arithmetic operator for flags (Hangbin Liu) [2025461] - selftests: vrf: Add test for SNAT over VRF (Hangbin Liu) [2025461] - selftests/net: GRO coalesce test (Hangbin Liu) [2025461] - selftests/net: remove min gso test in packet_snd (Hangbin Liu) [2025461] - tipc: fix size validations for the MSG_CRYPTO type (Xin Long) [2020513] {CVE-2021-43267} - redhat/configs: enable CONFIG_RD_ZSTD for rhel (Tao Liu) [2020132] - powerpc/security: Use a mutex for interrupt exit code patching (Steve Best) [2019202] - EDAC/mce_amd: Do not load edac_mce_amd module on guests (Aristeu Rozanski) [2000778] Resolves: rhbz#2000778, rhbz#2019202, rhbz#2020132, rhbz#2020513, rhbz#2023155, rhbz#2025461, rhbz#2026952 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-16 22:19:23 +00:00
CONFIG_RD_ZSTD=y
# CONFIG_READABLE_ASM is not set
# CONFIG_READ_ONLY_THP_FOR_FS is not set
CONFIG_REALTEK_AUTOPM=y
CONFIG_REALTEK_PHY=m
# CONFIG_REED_SOLOMON_TEST is not set
# CONFIG_REGULATOR is not set
# CONFIG_REISERFS_FS is not set
CONFIG_RELAY=y
# CONFIG_RELOCATABLE_TEST is not set
CONFIG_RELOCATABLE=y
# CONFIG_REMOTEPROC is not set
CONFIG_RENESAS_PHY=m
# CONFIG_RESET_ATTACK_MITIGATION is not set
# CONFIG_RESET_CONTROLLER is not set
# CONFIG_RESET_QCOM_PDC is not set
# CONFIG_RESET_TI_SYSCON is not set
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_RESOURCE_KUNIT_TEST=m
CONFIG_RETPOLINE=y
# CONFIG_RFD77402 is not set
# CONFIG_RFD_FTL is not set
# CONFIG_RFKILL_GPIO is not set
CONFIG_RFKILL_INPUT=y
CONFIG_RFKILL=m
CONFIG_RHEL_DIFFERENCES=y
CONFIG_RING_BUFFER_BENCHMARK=m
# CONFIG_RING_BUFFER_STARTUP_TEST is not set
# CONFIG_RING_BUFFER_VALIDATE_TIME_DELTAS is not set
CONFIG_RMI4_2D_SENSOR=y
CONFIG_RMI4_CORE=m
CONFIG_RMI4_F03_SERIO=m
CONFIG_RMI4_F03=y
CONFIG_RMI4_F11=y
CONFIG_RMI4_F12=y
CONFIG_RMI4_F30=y
CONFIG_RMI4_F34=y
kernel-5.14.0-78.el9 * Mon Apr 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-78.el9] - CI: Remove deprecated option (Veronika Kabatova) - scsi: iscsi: Fix nop handling during conn recovery (Chris Leech) [2069973] - scsi: iscsi: Merge suspend fields (Chris Leech) [2069973] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2069973] - scsi: iscsi: Move iscsi_ep_disconnect (Chris Leech) [2069973] - NFS: Don't loop forever in nfs_do_recoalesce() (Steve Dickson) [2069274] - perf/x86/intel/uncore: Make uncore_discovery clean for 64 bit addresses (Michael Petlan) [2069686] - KVM: SVM: Allow AVIC support on system w/ physical APIC ID > 255 (Bandan Das) [2033070] - iommu/amd: Fix I/O page table memory leak (Jerry Snitselaar) [2053219] - iommu/amd: Recover from event log overflow (Jerry Snitselaar) [2053219] - redhat/configs: drop some config options for rhel 9. (David Airlie) [2067027] - arm64: proton-pack: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Use the clearbhb instruction in mitigations (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered and migrated (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Mitigate spectre style branch history side channels (Waiman Long) [2062288] {CVE-2022-23960} - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part of Spectre-v2 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add percpu vectors for EL1 (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add macro for reading symbol addresses from the trampoline (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add vectors that have the bhb mitigation sequences (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Add non-kpti __bp_harden_el1_vectors for mitigations (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow the trampoline text to occupy multiple pages (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the kpti trampoline's kpti sequence optional (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move trampoline macros out of ifdef'd section (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Don't assume tramp_vectors is the start of the vectors (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Allow tramp_alias to access symbols after the 4K boundary (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Move the trampoline data page before the text page (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Free up another register on kpti's tramp_exit path (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry: Make the trampoline cleanup optional (Waiman Long) [2062288] {CVE-2022-23960} - KVM: arm64: Allow indirect vectors to be used without SPECTRE_V3A (Waiman Long) [2062288] {CVE-2022-23960} - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit (Waiman Long) [2062288] {CVE-2022-23960} - arm64: entry.S: Add ventry overflow sanity checks (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-A510 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Cortex-X2 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_RPRES (Waiman Long) [2062288] {CVE-2022-23960} - arm64: add ID_AA64ISAR2_EL1 sys register (Waiman Long) [2062288] {CVE-2022-23960} - arm64: cpufeature: add HWCAP for FEAT_AFP (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add Neoverse-N2, Cortex-A710 CPU part definition (Waiman Long) [2062288] {CVE-2022-23960} - arm64: Add HWCAP for self-synchronising virtual counter (Waiman Long) [2062288] {CVE-2022-23960} - iommu/vt-d: Fix double list_add when enabling VMD in scalable mode (Jerry Snitselaar) [2061621] - redhat/configs: remove unnecessary GPIO options for aarch64 (Brian Masney) [2060951] - redhat/configs: remove viperboard related Kconfig options (Brian Masney) [2060951] - configs: enable CONFIG_RMI4_F3A (Benjamin Tissoires) [2067243] - block: release rq qos structures for queue without disk (Ming Lei) [2065610] - fuse: fix pipe buffer lifetime for direct_io (Miklos Szeredi) [2064862] {CVE-2022-1011} - crypto: hmac - disallow keys < 112 bits in FIPS mode (Herbert Xu) [2033512] - crypto: hmac - add fips_skip support (Herbert Xu) [2033512] - crypto: des - disallow des3 in FIPS mode (Herbert Xu) [2033512] - crypto: dh - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - crypto: rsa - limit key size to 2048 in FIPS mode (Herbert Xu) [2033512] - watch_queue: Make comment about setting ->defunct more accurate (David Howells) [2063758] - watch_queue: Fix lack of barrier/sync/lock between post and read (David Howells) [2063758] - watch_queue: Free the alloc bitmap when the watch_queue is torn down (David Howells) [2063758] - watch_queue: Fix the alloc bitmap size to reflect notes allocated (David Howells) [2063758] - watch_queue: Use the bitmap API when applicable (David Howells) [2063758] - watch_queue: Fix to always request a pow-of-2 pipe ring size (David Howells) [2063758] - watch_queue: Fix to release page in ->release() (David Howells) [2063758] - watch_queue, pipe: Free watchqueue state after clearing pipe ring (David Howells) [2063758] - watch_queue: Fix filter limit check (David Howells) [2063758] {CVE-2022-0995} - s390/mm: check 2KB-fragment page on release (Rafael Aquini) [2069978] - s390/mm: better annotate 2KB pagetable fragments handling (Rafael Aquini) [2069978] - s390/mm: fix 2KB pgtable release race (Rafael Aquini) [2069978] - ima: fix deadlock when traversing "ima_default_rules". (Bruno Meneguele) [2063913] - IMA: prevent SETXATTR_CHECK policy rules with unavailable algorithms (Bruno Meneguele) [2063913] - IMA: introduce a new policy option func=SETXATTR_CHECK (Bruno Meneguele) [2063913] - IMA: add a policy option to restrict xattr hash algorithms on appraisal (Bruno Meneguele) [2063913] - IMA: add support to restrict the hash algorithms used for file appraisal (Bruno Meneguele) [2063913] - IMA: block writes of the security.ima xattr with unsupported algorithms (Bruno Meneguele) [2063913] - IMA: remove the dependency on CRYPTO_MD5 (Bruno Meneguele) [2063913] - perf symbols: Fix symbol size calculation condition (Michael Petlan) [2049222] - redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava) - redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava) - redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava) - redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava) - Print arch with process_configs errors (Prarit Bhargava) - Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava) - redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava) - redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava) - redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava) - redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava) - redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava) - redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava) - cifs: modefromsids must add an ACE for authenticated users (Ronnie Sahlberg) [1988278] Resolves: rhbz#1988278, rhbz#2049222, rhbz#2063913, rhbz#2069978 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-11 09:28:43 +00:00
CONFIG_RMI4_F3A=y
# CONFIG_RMI4_F54 is not set
CONFIG_RMI4_F55=y
CONFIG_RMI4_I2C=m
CONFIG_RMI4_SMB=m
CONFIG_RMI4_SPI=m
# CONFIG_RMNET is not set
CONFIG_ROCKCHIP_PHY=m
CONFIG_ROCKER=m
CONFIG_RODATA_FULL_DEFAULT_ENABLED=y
# CONFIG_ROMFS_FS is not set
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_RPCSEC_GSS_KRB5_ENCTYPES_AES_SHA1=y
CONFIG_RPCSEC_GSS_KRB5_ENCTYPES_AES_SHA2=y
CONFIG_RPCSEC_GSS_KRB5_ENCTYPES_CAMELLIA=y
# CONFIG_RPCSEC_GSS_KRB5_ENCTYPES_DES is not set
kernel-5.14.0-313.el9 * Mon May 15 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-313.el9] - livepatch: Move the result-invariant calculation out of the loop (Julia Denham) [RHEL-257] - livepatch: add sysfs entry "patched" for each klp_object (Julia Denham) [RHEL-257] - selftests/livepatch: add sysfs test (Julia Denham) [RHEL-257] - selftests/livepatch: normalize sysctl error message (Julia Denham) [RHEL-257] - livepatch: Add a missing newline character in klp_module_coming() (Julia Denham) [RHEL-257] - livepatch: fix race between fork and KLP transition (Julia Denham) [RHEL-257] - entry/kvm: Exit to user mode when TIF_NOTIFY_SIGNAL is set (Julia Denham) [RHEL-257] - net/iucv: Fix size of interrupt data (Tobias Huschle) [2192506] - SUNRPC: Fix server-side fault injection documentation (Scott Mayhew) [2183621] - SUNRPC: Avoid relying on crypto API to derive CBC-CTS output IV (Scott Mayhew) [2183621] - SUNRPC: Fix failures of checksum Kunit tests (Scott Mayhew) [2183621] - sunrpc: Fix RFC6803 encryption test (Scott Mayhew) [2183621] - SUNRPC: Properly terminate test case arrays (Scott Mayhew) [2183621] - SUNRPC: Let Kunit tests run with some enctypes compiled out (Scott Mayhew) [2183621] - SUNRPC: Add encryption self-tests (Scott Mayhew) [2183621] - SUNRPC: Add RFC 8009 encryption KUnit tests (Scott Mayhew) [2183621] - SUNRPC: Add RFC 8009 checksum KUnit tests (Scott Mayhew) [2183621] - SUNRPC: Add KDF-HMAC-SHA2 Kunit tests (Scott Mayhew) [2183621] - SUNRPC: Add encryption KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621] - SUNRPC: Add checksum KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621] - SUNRPC: Add KDF KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621] - SUNRPC: Add Kunit tests for RFC 3962-defined encryption/decryption (Scott Mayhew) [2183621] - SUNRPC: Add KUnit tests RFC 3961 Key Derivation (Scott Mayhew) [2183621] - SUNRPC: Export get_gss_krb5_enctype() (Scott Mayhew) [2183621] - SUNRPC: Add KUnit tests for rpcsec_krb5.ko (Scott Mayhew) [2183621] - kunit: add macro to allow conditionally exposing static symbols to tests (Scott Mayhew) [2183621] - redhat: add gss_krb5_test to mod-internal.list (Scott Mayhew) [2183621] - redhat/configs: add CONFIG_RPCSEC_GSS_KRB5_KUNIT_TEST (Scott Mayhew) [2183621] - NFSD: callback request does not use correct credential for AUTH_SYS (Scott Mayhew) [2183621] - sunrpc: only free unix grouplist after RCU settles (Scott Mayhew) [2183621] - nfsd: call op_release, even when op_func returns an error (Scott Mayhew) [2183621] - NFSD: Avoid calling OPDESC() with ops->opnum == OP_ILLEGAL (Scott Mayhew) [2183621] - SUNRPC: fix shutdown of NFS TCP client socket (Scott Mayhew) [2183621] - lockd: set file_lock start and end when decoding nlm4 testargs (Scott Mayhew) [2183621] - NFS: Fix /proc/PID/io read_bytes for buffered reads (Scott Mayhew) [2183621] - NFSD: Protect against filesystem freezing (Scott Mayhew) [2183621] - NFSD: Clean up nfsd_symlink() (Scott Mayhew) [2183621] - SUNRPC: Fix occasional warning when destroying gss_krb5_enctypes (Scott Mayhew) [2183621] - SUNRPC: Remove ->xpo_secure_port() (Scott Mayhew) [2183621] - SUNRPC: Clean up the svc_xprt_flags() macro (Scott Mayhew) [2183621] - SUNRPC: Fix whitespace damage in svcauth_unix.c (Scott Mayhew) [2183621] - SUNRPC: Replace pool stats with per-CPU variables (Scott Mayhew) [2183621] - SUNRPC: Use per-CPU counters to tally server RPC counts (Scott Mayhew) [2183621] - SUNRPC: Go back to using gsd->body_start (Scott Mayhew) [2183621] - SUNRPC: Set rq_accept_statp inside ->accept methods (Scott Mayhew) [2183621] - SUNRPC: Refactor RPC server dispatch method (Scott Mayhew) [2183621] - SUNRPC: Remove no-longer-used helper functions (Scott Mayhew) [2183621] - SUNRPC: Final clean-up of svc_process_common() (Scott Mayhew) [2183621] - SUNRPC: Convert RPC Reply header encoding to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Hoist init_encode out of svc_authenticate() (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream for encoding GSS reply verifiers (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode replies in server-side GSS upcall helpers (Scott Mayhew) [2183621] - SUNRPC: Convert unwrap data paths to use xdr_stream for replies (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_tls_accept() (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_unix_accept() (Scott Mayhew) [2183621] - SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_null_accept() (Scott Mayhew) [2183621] - SUNRPC: Move svcxdr_init_encode() into ->accept methods (Scott Mayhew) [2183621] - SUNRPC: Push svcxdr_init_encode() into svc_process_common() (Scott Mayhew) [2183621] - SUNRPC: Add XDR encoding helper for opaque_auth (Scott Mayhew) [2183621] - SUNRPC: Remove the rpc_stat variable in svc_process_common() (Scott Mayhew) [2183621] - SUNRPC: Check rq_auth_stat when preparing to wrap a response (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_gss_wrap_priv() to use xdr_stream() (Scott Mayhew) [2183621] - SUNRPC: Add @head and @tail variables in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621] - SUNRPC: Record gss_wrap() errors in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_priv() (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_gss_wrap_integ() to use xdr_stream() (Scott Mayhew) [2183621] - SUNRPC: Replace checksum construction in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621] - SUNRPC: Record gss_get_mic() errors in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_integ() (Scott Mayhew) [2183621] - SUNRPC: Clean up svcauth_gss_release() (Scott Mayhew) [2183621] - SUNRPC: Hoist svcxdr_init_decode() into svc_process() (Scott Mayhew) [2183621] - SUNRPC: Remove svc_process_common's argv parameter (Scott Mayhew) [2183621] - SUNRPC: Decode most of RPC header with xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Eliminate unneeded variable (Scott Mayhew) [2183621] - SUNRPC: Re-order construction of the first reply fields (Scott Mayhew) [2183621] - SUNRPC: Hoist init_decode out of svc_authenticate() (Scott Mayhew) [2183621] - SUNRPC: Convert the svcauth_gss_accept() pre-amble to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Clean up svcauth_gss_accept's NULL procedure check (Scott Mayhew) [2183621] - SUNRPC: Convert gss_verify_header() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Convert unwrap_priv_data() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in unwrap_priv_data() (Scott Mayhew) [2183621] - SUNRPC: Convert unwrap_integ_data() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Rename automatic variables in unwrap_integ_data() (Scott Mayhew) [2183621] - SUNRPC: Replace read_u32_from_xdr_buf() with existing XDR helper (Scott Mayhew) [2183621] - SUNRPC: Convert server-side GSS upcall helpers to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Remove gss_read_verf() (Scott Mayhew) [2183621] - SUNRPC: Remove gss_read_common_verf() (Scott Mayhew) [2183621] - SUNRPC: Hoist common verifier decoding code into svcauth_gss_proc_init() (Scott Mayhew) [2183621] - SUNRPC: Move the server-side GSS upcall to a noinline function (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_tls_accept() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_unix_accept() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Convert svcauth_null_accept() to use xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Add an XDR decoding helper for struct opaque_auth (Scott Mayhew) [2183621] - SUNRPC: Move svcxdr_init_decode() into ->accept methods (Scott Mayhew) [2183621] - SUNRPC: Push svcxdr_init_decode() into svc_process_common() (Scott Mayhew) [2183621] - NFS: nfs_encode_fh: Remove S_AUTOMOUNT check (Scott Mayhew) [2183621] - fs: namei: Allow follow_down() to uncover auto mounts (Scott Mayhew) [2183621] - NFSD: Teach nfsd_mountpoint() auto mounts (Scott Mayhew) [2183621] - fs/nfs: Replace kmap_atomic() with kmap_local_page() in dir.c (Scott Mayhew) [2183621] - pNFS/filelayout: treat GETDEVICEINFO errors as layout failure (Scott Mayhew) [2183621] - NFS: fix disabling of swap (Scott Mayhew) [2183621] - SUNRPC: make kobj_type structures constant (Scott Mayhew) [2183621] - nfs4trace: fix state manager flag printing (Scott Mayhew) [2183621] - NFS: Remove unnecessary check in nfs_read_folio() (Scott Mayhew) [2183621] - NFS: Improve tracing of nfs_wb_folio() (Scott Mayhew) [2183621] - NFS: Enable tracing of nfs_invalidate_folio() and nfs_launder_folio() (Scott Mayhew) [2183621] - NFS: fix up nfs_release_folio() to try to release the page (Scott Mayhew) [2183621] - NFS: Clean up O_DIRECT request allocation (Scott Mayhew) [2183621] - NFS: Fix up nfs_vm_page_mkwrite() for folios (Scott Mayhew) [2183621] - NFS: Convert nfs_write_begin/end to use folios (Scott Mayhew) [2183621] - NFS: Remove unused function nfs_wb_page() (Scott Mayhew) [2183621] - NFS: Convert buffered writes to use folios (Scott Mayhew) [2183621] - NFS: Convert the function nfs_wb_page() to use folios (Scott Mayhew) [2183621] - NFS: Convert buffered reads to use folios (Scott Mayhew) [2183621] - NFS: Add a helper nfs_wb_folio() (Scott Mayhew) [2183621] - NFS: Convert the remaining pagelist helper functions to support folios (Scott Mayhew) [2183621] - NFS: Add a helper to convert a struct nfs_page into an inode (Scott Mayhew) [2183621] - NFS: Fix nfs_coalesce_size() to work with folios (Scott Mayhew) [2183621] - NFS: Support folios in nfs_generic_pgio() (Scott Mayhew) [2183621] - NFS: Add basic functionality for tracking folios in struct nfs_page (Scott Mayhew) [2183621] - NFS: Fix for xfstests generic/208 (Scott Mayhew) [2183621] - XArray: Add calls to might_alloc() (Scott Mayhew) [2183621] - nfsd: remove fetch_iversion export operation (Scott Mayhew) [2183621] - nfsd: use the getattr operation to fetch i_version (Scott Mayhew) [2183621] - nfsd: move nfsd4_change_attribute to nfsfh.c (Scott Mayhew) [2183621] - ceph: report the inode version in getattr if requested (Scott Mayhew) [2183621] - nfs: report the inode version in getattr if requested (Scott Mayhew) [2183621] - vfs: plumb i_version handling into struct kstat (Scott Mayhew) [2183621] - fs: clarify when the i_version counter must be updated (Scott Mayhew) [2183621] - fs: uninline inode_query_iversion (Scott Mayhew) [2183621] - fs: uninline inode_maybe_inc_iversion() (Scott Mayhew) [2183621] - iversion: use atomic64_try_cmpxchg) (Scott Mayhew) [2183621] - NFSD: replace delayed_work with work_struct for nfsd_client_shrinker (Scott Mayhew) [2183621] - NFSD: Use set_bit(RQ_DROPME) (Scott Mayhew) [2183621] - NFS: Fix up a sparse warning (Scott Mayhew) [2183621] - NFSD: Avoid clashing function prototypes (Scott Mayhew) [2183621] - SUNRPC: Fix crasher in unwrap_integ_data() (Scott Mayhew) [2183621] - SUNRPC: Make the svc_authenticate tracepoint conditional (Scott Mayhew) [2183621] - NFSD: Use only RQ_DROPME to signal the need to drop a reply (Scott Mayhew) [2183621] - SUNRPC: Clean up xdr_write_pages() (Scott Mayhew) [2183621] - NFSD: add CB_RECALL_ANY tracepoints (Scott Mayhew) [2183621] - NFSD: add delegation reaper to react to low memory condition (Scott Mayhew) [2183621] - NFSD: add support for sending CB_RECALL_ANY (Scott Mayhew) [2183621] - trace: Relocate event helper files (Scott Mayhew) [2183621] - lockd: fix file selection in nlmsvc_cancel_blocked (Scott Mayhew) [2183621] - lockd: ensure we use the correct file descriptor when unlocking (Scott Mayhew) [2183621] - lockd: set missing fl_flags field when retrieving args (Scott Mayhew) [2183621] - NFSD: Use struct_size() helper in alloc_session() (Scott Mayhew) [2183621] - sunrpc: svc: Remove an unused static function svc_ungetu32() (Scott Mayhew) [2183621] - fs: nfs: sysfs: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621] - NFS: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621] - NFSv4.2: Fix up READ_PLUS alignment (Scott Mayhew) [2183621] - NFSv4.2: Set the correct size scratch buffer for decoding READ_PLUS (Scott Mayhew) [2183621] - NFS: avoid spurious warning of lost lock that is being unlocked. (Scott Mayhew) [2183621] - nfs: fix possible null-ptr-deref when parsing param (Scott Mayhew) [2183621] - NFSv4: check FMODE_EXEC from open context mode in nfs4_opendata_access() (Scott Mayhew) [2183621] - NFS: make sure open context mode have FMODE_EXEC when file open for exec (Scott Mayhew) [2183621] - NFS4.x/pnfs: Fix up logging of layout stateids (Scott Mayhew) [2183621] - NFSD: Trace stateids returned via DELEGRETURN (Scott Mayhew) [2183621] - nfsd: allow disabling NFSv2 at compile time (Scott Mayhew) [2183621] - redhat/configs: add CONFIG_NFSD_V2 (Scott Mayhew) [2183621] - nfsd: move nfserrno() to vfs.c (Scott Mayhew) [2183621] - nfsd: ignore requests to disable unsupported versions (Scott Mayhew) [2183621] - SUNRPC: Remove unused svc_rqst::rq_lock field (Scott Mayhew) [2183621] - NFSD: Remove redundant assignment to variable host_err (Scott Mayhew) [2183621] - NFSD: Simplify READ_PLUS (Scott Mayhew) [2183621] - NFS: Fix a race in nfs_call_unlink() (Scott Mayhew) [2183621] - NFSD: Fix trace_nfsd_fh_verify_err() crasher (Scott Mayhew) [2183621] - SUNRPC: Fix crasher in gss_unwrap_resp_integ() (Scott Mayhew) [2183621] - NFS: Avoid memcpy() run-time warning for struct sockaddr overflows (Scott Mayhew) [2183621] - nfs: Remove redundant null checks before kfree (Scott Mayhew) [2183621] - nfsd: ensure we always call fh_verify_error tracepoint (Scott Mayhew) [2183621] - NFSv4/flexfiles: Cancel I/O if the layout is recalled or revoked (Scott Mayhew) [2183621] - SUNRPC: Add API to force the client to disconnect (Scott Mayhew) [2183621] - SUNRPC: Add a helper to allow pNFS drivers to selectively cancel RPC calls (Scott Mayhew) [2183621] - SUNRPC: Fix races with rpc_killall_tasks() (Scott Mayhew) [2183621] - xprtrdma: Fix uninitialized variable (Scott Mayhew) [2183621] - xprtrdma: Prevent memory allocations from driving a reclaim (Scott Mayhew) [2183621] - xprtrdma: Memory allocation should be allowed to fail during connect (Scott Mayhew) [2183621] - xprtrdma: MR-related memory allocation should be allowed to fail (Scott Mayhew) [2183621] - xprtrdma: Clean up synopsis of rpcrdma_regbuf_alloc() (Scott Mayhew) [2183621] - xprtrdma: Clean up synopsis of rpcrdma_req_create() (Scott Mayhew) [2183621] - svcrdma: Clean up RPCRDMA_DEF_GFP (Scott Mayhew) [2183621] - SUNRPC: Replace the use of the xprtiod WQ in rpcrdma (Scott Mayhew) [2183621] - NFSv4.2: Add a tracepoint for listxattr (Scott Mayhew) [2183621] - NFSv4.2: Add tracepoints for getxattr, setxattr, and removexattr (Scott Mayhew) [2183621] - NFSv4.2: Move TRACE_DEFINE_ENUM(NFS4_CONTENT_*) under CONFIG_NFS_V4_2 (Scott Mayhew) [2183621] - NFSv4.2: Add special handling for LISTXATTR receiving NFS4ERR_NOXATTR (Scott Mayhew) [2183621] - nfs: remove nfs_wait_atomic_killable() and nfs_write_prepare() declaration (Scott Mayhew) [2183621] - NFSv4: remove nfs4_renewd_prepare_shutdown() declaration (Scott Mayhew) [2183621] - fs/nfs/pnfs_nfs.c: fix spelling typo and syntax error in comment (Scott Mayhew) [2183621] - NFS: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - SUNRPC: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - NFS: clean up a needless assignment in nfs_file_write() (Scott Mayhew) [2183621] - nfs: remove unnecessary (void*) conversions. (Scott Mayhew) [2183621] - SUNRPC: use max_t() to simplify open code (Scott Mayhew) [2183621] - NFSv4: Directly use ida_alloc()/free() (Scott Mayhew) [2183621] - SUNRPC: Directly use ida_alloc()/free() (Scott Mayhew) [2183621] - nfsd: extra checks when freeing delegation stateids (Scott Mayhew) [2183621] - nfsd: make nfsd4_run_cb a bool return function (Scott Mayhew) [2183621] - nfsd: fix comments about spinlock handling with delegations (Scott Mayhew) [2183621] - nfsd: only fill out return pointer on success in nfsd4_lookup_stateid (Scott Mayhew) [2183621] - nfsd: use DEFINE_SHOW_ATTRIBUTE to define client_info_fops (Scott Mayhew) [2183621] - nfsd: use DEFINE_PROC_SHOW_ATTRIBUTE to define nfsd_proc_ops (Scott Mayhew) [2183621] - NFSD: Pack struct nfsd4_compoundres (Scott Mayhew) [2183621] - NFSD: Clean up nfs4svc_encode_compoundres() (Scott Mayhew) [2183621] - SUNRPC: Fix typo in xdr_buf_subsegment's kdoc comment (Scott Mayhew) [2183621] - NFSD: Clean up WRITE arg decoders (Scott Mayhew) [2183621] - NFSD: Use xdr_inline_decode() to decode NFSv3 symlinks (Scott Mayhew) [2183621] - NFSD: Refactor common code out of dirlist helpers (Scott Mayhew) [2183621] - SUNRPC: Clarify comment that documents svc_max_payload() (Scott Mayhew) [2183621] - NFSD: Reduce amount of struct nfsd4_compoundargs that needs clearing (Scott Mayhew) [2183621] - SUNRPC: Parametrize how much of argsize should be zeroed (Scott Mayhew) [2183621] - SUNRPC: Optimize svc_process() (Scott Mayhew) [2183621] - NFSD: Return nfserr_serverfault if splice_ok but buf->pages have data (Scott Mayhew) [2183621] - NFSD: Make nfsd4_remove() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621] - NFSD: Make nfsd4_rename() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621] - NFSD: Make nfsd4_setattr() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621] - NFSD: Refactor nfsd_setattr() (Scott Mayhew) [2183621] - NFSD: Add a mechanism to wait for a DELEGRETURN (Scott Mayhew) [2183621] - NFSD: Add tracepoints to report NFSv4 callback completions (Scott Mayhew) [2183621] - NFSD: Trace NFSv4 COMPOUND tags (Scott Mayhew) [2183621] - NFSD: Replace dprintk() call site in fh_verify() (Scott Mayhew) [2183621] - nfsd: remove nfsd4_prepare_cb_recall() declaration (Scott Mayhew) [2183621] - nfsd: clean up mounted_on_fileid handling (Scott Mayhew) [2183621] - NFSD: drop fname and flen args from nfsd_create_locked() (Scott Mayhew) [2183621] - nfsd: Propagate some error code returned by memdup_user() (Scott Mayhew) [2183621] - nfsd: Avoid some useless tests (Scott Mayhew) [2183621] - NFSD: remove redundant variable status (Scott Mayhew) [2183621] - lockd: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - NFSD: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621] - _nfs42_proc_copy(): use ->f_mapping instead of file_inode()->i_mapping (Scott Mayhew) [2183621] - nfs_finish_open(): don't open-code file_inode() (Scott Mayhew) [2183621] - NFS: Cleanup to remove unused flag NFS_CONTEXT_RESEND_WRITES (Scott Mayhew) [2183621] - NFS: Remove a bogus flag setting in pnfs_write_done_resend_to_mds (Scott Mayhew) [2183621] - NFS: Fix missing unlock in nfs_unlink() (Scott Mayhew) [2183621] - NFS: Improve readpage/writepage tracing (Scott Mayhew) [2183621] - NFS: Improve O_DIRECT tracing (Scott Mayhew) [2183621] - NFS: Improve write error tracing (Scott Mayhew) [2183621] - NFS: don't unhash dentry during unlink/rename (Scott Mayhew) [2183621] - lockd: detect and reject lock arguments that overflow (Scott Mayhew) [2183621] - NFSv4/pnfs: Fix a use-after-free bug in open (Scott Mayhew) [2183621] - NFS: nfs_async_write_reschedule_io must not recurse into the writeback code (Scott Mayhew) [2183621] - SUNRPC: Expand the svc_alloc_arg_err tracepoint (Scott Mayhew) [2183621] - SUNRPC: Remove xdr_align_data() and xdr_expand_hole() (Scott Mayhew) [2183621] - NFS: Replace the READ_PLUS decoding code (Scott Mayhew) [2183621] - SUNRPC: Add a function for zeroing out a portion of an xdr_stream (Scott Mayhew) [2183621] - SUNRPC: Add a function for directly setting the xdr page len (Scott Mayhew) [2183621] - SUNRPC: Introduce xdr_stream_move_subsegment() (Scott Mayhew) [2183621] - NFS: Replace fs_context-related dprintk() call sites with tracepoints (Scott Mayhew) [2183621] - SUNRPC: Replace dprintk() call site in xs_data_ready (Scott Mayhew) [2183621] - SUNRPC: Fail faster on bad verifier (Scott Mayhew) [2183621] - SUNRPC: Shrink size of struct rpc_task (Scott Mayhew) [2183621] - nfs: fix port value parsing (Scott Mayhew) [2183621] - nfs: Replace kmap() with kmap_local_page() (Scott Mayhew) [2183621] - NFS: remove redundant code in nfs_file_write() (Scott Mayhew) [2183621] - nfs/blocklayout: refactor block device opening (Scott Mayhew) [2183621] - NFS: Fix case insensitive renames (Scott Mayhew) [2183621] - pNFS/files: Handle RDMA connection errors correctly (Scott Mayhew) [2183621] - SUNRPC: Fix an RPC/RDMA performance regression (Scott Mayhew) [2183621] - nfs: Leave pages in the pagecache if readpage failed (Scott Mayhew) [2183621] - SUNRPC: Clean up xdr_commit_encode() (Scott Mayhew) [2183621] - SUNRPC: Optimize xdr_reserve_space() (Scott Mayhew) [2183621] - xprtrdma: treat all calls not a bcall when bc_serv is NULL (Scott Mayhew) [2183621] - m->mnt_root->d_inode->i_sb is a weird way to spell m->mnt_sb... (Scott Mayhew) [2183621] - SUNRPC: Remove svc_rqst::rq_xprt_hlen (Scott Mayhew) [2183621] - SUNRPC: Remove dead code in svc_tcp_release_rqst() (Scott Mayhew) [2183621] - SUNRPC: Make cache_req::thread_wait an unsigned long (Scott Mayhew) [2183621] - SUNRPC: Cache deferral injection (Scott Mayhew) [2183621] - SUNRPC: Clean up svc_deferred_class trace events (Scott Mayhew) [2183621] - NFS: Improve warning message when locks are lost. (Scott Mayhew) [2183621] - NFSv4.1: Enable access to the NFSv4.1 'dacl' and 'sacl' attributes (Scott Mayhew) [2183621] - NFSv4: Add encoders/decoders for the NFSv4.1 dacl and sacl attributes (Scott Mayhew) [2183621] - NFSv4: Specify the type of ACL to cache (Scott Mayhew) [2183621] - NFSv4: Don't hold the layoutget locks across multiple RPC calls (Scott Mayhew) [2183621] - pNFS/files: Fall back to I/O through the MDS on non-fatal layout errors (Scott Mayhew) [2183621] - nfs: rename nfs_direct_IO and use as ->swap_rw (Scott Mayhew) [2183621] - um: Remove duplicated include in syscalls_64.c (Prarit Bhargava) [2164042] - x86/traps: Mark do_int3() NOKPROBE_SYMBOL (Prarit Bhargava) [2164042] - x86/ptrace: Fix xfpregs_set()'s incorrect xmm clearing (Prarit Bhargava) [2164042] - xen/x2apic: Fix inconsistent indenting (Prarit Bhargava) [2164042] - xen/x86: detect support for extended destination ID (Prarit Bhargava) [2164042] - xen/x86: obtain full video frame buffer address for Dom0 also under EFI (Prarit Bhargava) [2164042] - x86/Xen: streamline (and fix) PV CPU enumeration (Prarit Bhargava) [2164042] - x86/MCE/AMD: Allow thresholding interface updates after init (Prarit Bhargava) [2164042] - kcov: fix generic Kconfig dependencies if ARCH_WANTS_NO_INSTR (Prarit Bhargava) [2164042] - Replace for_each_*_bit_from() with for_each_*_bit() where appropriate (Prarit Bhargava) [2164042] - mm/mempolicy: wire up syscall set_mempolicy_home_node (Prarit Bhargava) [2164042] - x86/kbuild: Enable CONFIG_KALLSYMS_ALL=y in the defconfigs (Prarit Bhargava) [2164042] - x86, sched: Fix undefined reference to init_freq_invariance_cppc() build error (Prarit Bhargava) [2164042] - xen/x86: obtain upper 32 bits of video frame buffer address for Dom0 (Prarit Bhargava) [2164042] - crypto: x86/aesni - don't require alignment of data (Prarit Bhargava) [2164042] - x86/purgatory: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042] - x86/vdso: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042] - x86/defconfig: Enable CONFIG_LOCALVERSION_AUTO=y in the defconfig (Prarit Bhargava) [2164042] - x86/mce/inject: Avoid out-of-bounds write when setting flags (Prarit Bhargava) [2164042] - crypto: x86/curve25519 - use in/out register constraints more precisely (Prarit Bhargava) [2164042] - x86/boot/compressed: Move CLANG_FLAGS to beginning of KBUILD_CFLAGS (Prarit Bhargava) [2164042] - um: remove set_fs (Prarit Bhargava) [2164042] - um, x86: bury crypto_tfm_ctx_offset (Prarit Bhargava) [2164042] - uml/i386: missing include in barrier.h (Prarit Bhargava) [2164042] - um: stop polluting the namespace with registers.h contents (Prarit Bhargava) [2164042] - um: move amd64 variant of mmap(2) to arch/x86/um/syscalls_64.c (Prarit Bhargava) [2164042] - uml: trim unused junk from arch/x86/um/sys_call_table_*.c (Prarit Bhargava) [2164042] - um: registers: Rename function names to avoid conflicts and build problems (Prarit Bhargava) [2164042] - uml: x86: add FORCE to user_constants.h (Prarit Bhargava) [2164042] - x86/mce: Mark mce_start() noinstr (Prarit Bhargava) [2164042] - x86/mce: Move the tainting outside of the noinstr region (Prarit Bhargava) [2164042] - x86/mce: Mark mce_read_aux() noinstr (Prarit Bhargava) [2164042] - x86/mce: Mark mce_end() noinstr (Prarit Bhargava) [2164042] - x86/mce: Mark mce_panic() noinstr (Prarit Bhargava) [2164042] - x86/mce: Allow instrumentation during task work queueing (Prarit Bhargava) [2164042] - x86/mce: Remove noinstr annotation from mce_setup() (Prarit Bhargava) [2164042] - x86/mce: Use mce_rdmsrl() in severity checking code (Prarit Bhargava) [2164042] - x86/mce: Remove function-local cpus variables (Prarit Bhargava) [2164042] - x86/mmx_32: Remove X86_USE_3DNOW (Prarit Bhargava) [2164042] - x86/boot/string: Add missing function prototypes (Prarit Bhargava) [2164042] - x86/fpu: Remove duplicate copy_fpstate_to_sigframe() prototype (Prarit Bhargava) [2164042] - x86/uaccess: Move variable into switch case statement (Prarit Bhargava) [2164042] - x86/barriers, kcsan: Use generic instrumentation for non-smp barriers (Prarit Bhargava) [2164042] - x86/mce/inject: Set the valid bit in MCA_STATUS before error injection (Prarit Bhargava) [2164042] - x86/mce/inject: Check if a bank is populated before injecting (Prarit Bhargava) [2164042] - x86/csum: Rewrite/optimize csum_partial() (Prarit Bhargava) [2164042] - x86/mm: Flush global TLB when switching to trampoline page-table (Prarit Bhargava) [2164042] - x86/mm: Add missing <asm/cpufeatures.h> dependency to <asm/page_64.h> (Prarit Bhargava) [2164042] - x86/ce4100: Replace "ti,pcf8575" by "nxp,pcf8575" (Prarit Bhargava) [2164042] - x86/cpu: Don't write CSTAR MSR on Intel CPUs (Prarit Bhargava) [2164042] - x86/fpu: Correct AVX512 state tracking (Prarit Bhargava) [2164042] - x86/amd_nb, EDAC/amd64: Move DF Indirect Read to AMD64 EDAC (Prarit Bhargava) [2164042] - x86/MCE/AMD, EDAC/amd64: Move address translation to AMD64 EDAC (Prarit Bhargava) [2164042] - powerpc/pseries: reset the RCU watchdogs after a LPM (Mamatha Inamdar) [2192569] - sched/debug: Put sched/domains files under the verbose flag (Phil Auld) [2053117] - soc: imx: imx8m-blk-ctrl: reordering the fields (Steve Best) [2182875] - soc: imx8m: Support building imx8m soc driver as module (Steve Best) [2182875] - soc: imx8m: Add MODULE_LICENSE (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: Fix typo of imx8m_blk_ctrl_of_match (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Scan subnodes and bind drivers to them (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: set HDMI LCDIF panic read hurry level (Steve Best) [2182875] - soc: imx8m: Fix incorrect check for of_clk_get_by_name() (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: set LCDIF panic read hurry level (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: don't set power device name (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: expose high performance PLL clock (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: add instance specific probe function (Steve Best) [2182875] - soc: imx: add Kconfig symbols for blk-ctrl drivers (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: enable global pixclk with HDMI_TX_PHY PD (Steve Best) [2182875] - soc: imx8m: Enable OCOTP clock before reading the register (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Defer probe if 'bus' genpd is not yet ready (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Use genpd_xlate_onecell (Steve Best) [2182875] - soc: imx: imx8mp-blk-ctrl: handle PCIe PHY resets (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add i.MX8MP VPU blk ctrl (Steve Best) [2182875] - dt-bindings: power: imx8mp-power: add HDMI HDCP/HRV (Steve Best) [2182875] - dt-bindings: soc: imx: add i.MX8MP vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: imx: drop minItems for i.MX8MM vpu blk ctrl (Steve Best) [2182875] - dt-bindings: soc: add binding for i.MX8MM VPU blk-ctrl (Steve Best) [2182875] - soc: imx: add i.MX8MP HDMI blk ctrl HDCP/HRV_MWR (Steve Best) [2182875] - soc: imx: add icc paths for i.MX8MP hsio/hdmi blk ctrl (Steve Best) [2182875] - soc: imx: add icc paths for i.MX8MP media blk ctrl (Steve Best) [2182875] - interconnect: add device managed bulk API (Steve Best) [2182875] - interconnect: Add stubs for the bulk API (Steve Best) [2182875] - Revert "soc: imx: imx8m-blk-ctrl: set power device name" (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Make error prints useful (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: fix display clock for LCDIF2 power domain (Steve Best) [2182875] - soc: imx: fix semicolon.cocci warnings (Steve Best) [2182875] - soc: imx: add i.MX8MP HDMI blk-ctrl (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Add i.MX8MP media blk-ctrl (Steve Best) [2182875] - soc: imx: add i.MX8MP HSIO blk-ctrl (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: set power device name (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Fix IMX8MN_DISPBLK_PD_ISI hang (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add i.MX8MQ VPU blk-ctrl (Steve Best) [2182875] - soc: imx: Remove Layerscape check (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add i.MX8MN DISP blk-ctrl (Steve Best) [2182875] - soc: imx: Register SoC device only on i.MX boards (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: Fix imx8mm mipi reset (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: off by one in imx8m_blk_ctrl_xlate() (Steve Best) [2182875] - soc: imx: imx8m-blk-ctrl: add DISP blk-ctrl (Steve Best) [2182875] - soc: imx: add i.MX8M blk-ctrl driver (Steve Best) [2182875] - imx: soc: Select REGMAP_MMIO (Steve Best) [2182875] - soc: qcom: socinfo: add support for SA8775P (Adrien Thierry) [2193174] - dt-bindings: arm: qcom: add the SoC ID for SA8775P (Adrien Thierry) [2193174] - soc: qcom: smem: update max processor count (Adrien Thierry) [2193174] - pwm: imx27: Simplify using devm_pwmchip_add() (Steve Best) [2184318] - sched/rt: Fix bad task migration for rt tasks (Valentin Schneider) [2182900] - cgroup/cpuset: Make cpuset_attach_task() skip subpartitions CPUs for top_cpuset (Waiman Long) [2182238] - cgroup/cpuset: Add cpuset_can_fork() and cpuset_cancel_fork() methods (Waiman Long) [2182238] - cgroup/cpuset: Make cpuset_fork() handle CLONE_INTO_CGROUP properly (Waiman Long) [2182238] - cgroup/cpuset: Wake up cpuset_attach_wq tasks in cpuset_cancel_attach() (Waiman Long) [2182238] - cgroup/cpuset: Fix partition root's cpuset.cpus update bug (Waiman Long) [2182238] - cpuset: Call set_cpus_allowed_ptr() with appropriate mask for task (Waiman Long) [2182238] - cgroup/cpuset: Don't filter offline CPUs in cpuset_cpus_allowed() for top cpuset tasks (Waiman Long) [2182238] - cgroup/cpuset: Fix wrong check in update_parent_subparts_cpumask() (Waiman Long) [2182238] - cgroup/cpuset: fix a few kernel-doc warnings & coding style (Waiman Long) [2182238] - cgroup/cpuset: no need to explicitly init a global static variable (Waiman Long) [2182238] - cgroup/cpuset: Improve cpuset_css_alloc() description (Waiman Long) [2182238] - cgroup/cpuset: use hotplug_memory_notifier() directly (Waiman Long) [2182238] - cgroup: Export cgroup_mutex (Waiman Long) [2182238] - sched/core: Adjusting the order of scanning CPU (Phil Auld) [RHEL-310] - sched/fair: Remove capacity inversion detection (Phil Auld) [RHEL-310] - sched/fair: unlink misfit task from cpu overutilized (Phil Auld) [RHEL-310] - sched/fair: Fixes for capacity inversion detection (Phil Auld) [RHEL-310] - sched/uclamp: Fix a uninitialized variable warnings (Phil Auld) [RHEL-310] - sched: Introduce struct balance_callback to avoid CFI mismatches (Phil Auld) [RHEL-310] - sched/fair: Check if prev_cpu has highest spare cap in feec() (Phil Auld) [RHEL-310] - sched/fair: Consider capacity inversion in util_fits_cpu() (Phil Auld) [RHEL-310] - sched/fair: Detect capacity inversion (Phil Auld) [RHEL-310] - sched/uclamp: Cater for uclamp in find_energy_efficient_cpu()'s early exit condition (Phil Auld) [RHEL-310] - sched/uclamp: Make cpu_overutilized() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Make asym_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Make select_idle_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Fix fits_capacity() check in feec() (Phil Auld) [RHEL-310] - sched/uclamp: Make task_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310] - sched/uclamp: Fix relationship between uclamp and migration margin (Phil Auld) [RHEL-310] Resolves: rhbz#2053117, rhbz#2164042, rhbz#2182238, rhbz#2182875, rhbz#2182900, rhbz#2183621, rhbz#2184318, rhbz#2192506, rhbz#2192569, rhbz#2193174, RHEL-257, RHEL-310 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-15 07:58:48 +00:00
CONFIG_RPCSEC_GSS_KRB5_KUNIT_TEST=m
CONFIG_RPCSEC_GSS_KRB5=m
# CONFIG_RPMSG_QCOM_GLINK_RPM is not set
# CONFIG_RPMSG_VIRTIO is not set
# CONFIG_RPR0521 is not set
# CONFIG_RT2400PCI is not set
# CONFIG_RT2500PCI is not set
# CONFIG_RT2500USB is not set
CONFIG_RT2800PCI=m
CONFIG_RT2800PCI_RT3290=y
CONFIG_RT2800PCI_RT33XX=y
CONFIG_RT2800PCI_RT35XX=y
CONFIG_RT2800PCI_RT53XX=y
CONFIG_RT2800USB=m
CONFIG_RT2800USB_RT33XX=y
CONFIG_RT2800USB_RT3573=y
CONFIG_RT2800USB_RT35XX=y
CONFIG_RT2800USB_RT53XX=y
CONFIG_RT2800USB_RT55XX=y
CONFIG_RT2800USB_UNKNOWN=y
# CONFIG_RT2X00_DEBUG is not set
CONFIG_RT2X00_LIB_DEBUGFS=y
CONFIG_RT2X00=m
# CONFIG_RT61PCI is not set
# CONFIG_RT73USB is not set
CONFIG_RTAS_FLASH=y
CONFIG_RTAS_PROC=y
CONFIG_RTC_CLASS=y
# CONFIG_RTC_DEBUG is not set
# CONFIG_RTC_DRV_ABB5ZES3 is not set
# CONFIG_RTC_DRV_ABEOZ9 is not set
# CONFIG_RTC_DRV_ABX80X is not set
CONFIG_RTC_DRV_BQ32K=m
CONFIG_RTC_DRV_BQ4802=m
# CONFIG_RTC_DRV_CADENCE is not set
CONFIG_RTC_DRV_CMOS=y
CONFIG_RTC_DRV_DS1286=m
# CONFIG_RTC_DRV_DS1302 is not set
# CONFIG_RTC_DRV_DS1305 is not set
# CONFIG_RTC_DRV_DS1307_CENTURY is not set
CONFIG_RTC_DRV_DS1307=m
# CONFIG_RTC_DRV_DS1343 is not set
# CONFIG_RTC_DRV_DS1347 is not set
CONFIG_RTC_DRV_DS1374=m
# CONFIG_RTC_DRV_DS1374_WDT is not set
# CONFIG_RTC_DRV_DS1390 is not set
CONFIG_RTC_DRV_DS1511=m
CONFIG_RTC_DRV_DS1553=m
CONFIG_RTC_DRV_DS1672=m
# CONFIG_RTC_DRV_DS1685_FAMILY is not set
CONFIG_RTC_DRV_DS1685=y
# CONFIG_RTC_DRV_DS1689 is not set
# CONFIG_RTC_DRV_DS17285 is not set
CONFIG_RTC_DRV_DS1742=m
# CONFIG_RTC_DRV_DS17485 is not set
# CONFIG_RTC_DRV_DS17885 is not set
CONFIG_RTC_DRV_DS2404=m
CONFIG_RTC_DRV_DS3232_HWMON=y
CONFIG_RTC_DRV_DS3232=m
CONFIG_RTC_DRV_EM3027=m
CONFIG_RTC_DRV_FM3130=m
# CONFIG_RTC_DRV_FTRTC010 is not set
CONFIG_RTC_DRV_GENERIC=y
# CONFIG_RTC_DRV_GOLDFISH is not set
# CONFIG_RTC_DRV_HID_SENSOR_TIME is not set
# CONFIG_RTC_DRV_HYM8563 is not set
CONFIG_RTC_DRV_ISL12022=m
# CONFIG_RTC_DRV_ISL12026 is not set
CONFIG_RTC_DRV_ISL1208=m
CONFIG_RTC_DRV_M41T80=m
CONFIG_RTC_DRV_M41T80_WDT=y
# CONFIG_RTC_DRV_M41T93 is not set
# CONFIG_RTC_DRV_M41T94 is not set
CONFIG_RTC_DRV_M48T35=m
CONFIG_RTC_DRV_M48T59=m
# CONFIG_RTC_DRV_M48T86 is not set
CONFIG_RTC_DRV_MAX6900=m
# CONFIG_RTC_DRV_MAX6902 is not set
# CONFIG_RTC_DRV_MAX6916 is not set
# CONFIG_RTC_DRV_MCP795 is not set
CONFIG_RTC_DRV_MSM6242=m
kernel-5.14.0-327.el9 * Tue Jun 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-327.el9] - perf: Fix check before add_event_to_groups() in perf_group_detach() (Michael Petlan) [2192660] {CVE-2023-2235} - thermal: intel: int340x: processor_thermal: Fix additional deadlock (Eric Auger) [2183350] - thermal: intel: int340x: processor_thermal: Fix deadlock (Eric Auger) [2183350] - thermal: gov_step_wise: Adjust code logic to match comment (Eric Auger) [2183350] - thermal: gov_step_wise: Delete obsolete comment (Eric Auger) [2183350] - thermal/drivers/cpuidle_cooling: Delete unmatched comments (Eric Auger) [2183350] - thermal: cpuidle_cooling: Adjust includes to remove of_device.h (Eric Auger) [2183350] - thermal/core: Alloc-copy-free the thermal zone parameters structure (Eric Auger) [2183350] - thermal/of: Unexport unused OF functions (Eric Auger) [2183350] - thermal/core: Remove thermal_bind_params structure (Eric Auger) [2183350] - thermal/drivers/tegra-bpmp: Handle offline zones (Eric Auger) [2183350] - thermal: core: Clean up thermal_list_lock locking (Eric Auger) [2183350] - thermal/core: Relocate the traces definition in thermal directory (Eric Auger) [2183350] - thermal/drivers/imx: Use the thermal framework for the trip point (Eric Auger) [2183350] - thermal/drivers/imx: Remove get_trip_temp ops (Eric Auger) [2183350] - thermal: Use of_property_present() for testing DT property presence (Eric Auger) [2183350] - thermal: core: Restore behavior regarding invalid trip points (Eric Auger) [2183350] - thermal/drivers/tegra: Remove unneeded lock when setting a trip point (Eric Auger) [2183350] - thermal/hwmon: Do not set no_hwmon before calling thermal_add_hwmon_sysfs() (Eric Auger) [2183350] - thermal: Remove debug or error messages in get_temp() ops (Eric Auger) [2183350] - thermal/core: Show a debug message when get_temp() fails (Eric Auger) [2183350] - thermal/core: Add a thermal zone 'devdata' accessor (Eric Auger) [2183350] - thermal: core: Use sysfs_emit_at() instead of scnprintf() (Eric Auger) [2183350] - thermal/core: Potential buffer overflow in thermal_build_list_of_policies() (Eric Auger) [2183350] - thermal: Fail object registration if thermal class is not registered (Eric Auger) [2183350] - thermal/core: Move the thermal trip code to a dedicated file (Eric Auger) [2183350] - thermal/core: Remove unneeded ida_destroy() (Eric Auger) [2183350] - thermal/core: Fix unregistering netlink at thermal init time (Eric Auger) [2183350] - thermal: core: Use device_unregister() instead of device_del/put() (Eric Auger) [2183350] - thermal: core: Move cdev cleanup to thermal_release() (Eric Auger) [2183350] - thermal/drivers/imx_sc_thermal: Add iMX8QM sensors (Eric Auger) [2183350] - thermal/drivers/imx_sc_thermal: Fix the loop condition (Eric Auger) [2183350] - thermal/drivers/tegra: Fix set_trip_temp() deadlock (Eric Auger) [2183350] - thermal/drivers/tegra: Fix crash when getting critical temp (Eric Auger) [2183350] - thermal/of: Remove of_thermal_get_crit_temp() (Eric Auger) [2183350] - thermal/of: Remove of_thermal_set_trip_hyst() (Eric Auger) [2183350] - thermal/of: Remove of_thermal_is_trip_valid() (Eric Auger) [2183350] - thermal/drivers/qcom/temp-alarm: Fix inaccurate warning for gen2 (Eric Auger) [2183350] - thermal/of: Remove of_thermal_get_ntrips() (Eric Auger) [2183350] - thermal/of: Remove unused functions (Eric Auger) [2183350] - thermal/drivers/hisi: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/drivers/tegra: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/of: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/core/governors: Use thermal_zone_get_trip() instead of ops functions (Eric Auger) [2183350] - thermal/core: Add a generic thermal_zone_set_trip() function (Eric Auger) [2183350] - thermal/sysfs: Always expose hysteresis attributes (Eric Auger) [2183350] - thermal/core: Add a generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/drivers/imx_sc_thermal: Drop empty platform remove function (Eric Auger) [2183350] - thermal/core/power allocator: Remove a useless include (Eric Auger) [2183350] - thermal/of: Fix memory leak on thermal_of_zone_register() failure (Eric Auger) [2183350] - thermal/core: Protect thermal device operations against thermal device removal (Eric Auger) [2183350] - thermal/core: Remove thermal_zone_set_trips() (Eric Auger) [2183350] - thermal/core: Protect sysfs accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350] - thermal/core: Protect hwmon accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350] - thermal/core: Introduce locked version of thermal_zone_device_update (Eric Auger) [2183350] - thermal/core: Move parameter validation from __thermal_zone_get_temp to thermal_zone_get_temp (Eric Auger) [2183350] - thermal/core: Ensure that thermal device is registered in thermal_zone_get_temp (Eric Auger) [2183350] - thermal/core: Delete device under thermal device zone lock (Eric Auger) [2183350] - thermal/core: Destroy thermal zone device mutex in release function (Eric Auger) [2183350] - thermal/core: Add a check before calling set_trip_temp() (Eric Auger) [2183350] - thermal/core: Drop valid pointer check for type (Eric Auger) [2183350] - thermal/of: Remove the thermal_zone_of_get_sensor_id() function (Eric Auger) [2183350] - thermal/drivers/imx_sc: Rely on the platform data to get the resource id (Eric Auger) [2183350] - thermal: core: Increase maximum number of trip points (Eric Auger) [2183350] - thermal: cpufreq_cooling: Check the policy first in cpufreq_cooling_register() (Eric Auger) [2183350] - thermal: move from strlcpy() with unused retval to strscpy() (Eric Auger) [2183350] - thermal: gov_user_space: Do not lock thermal zone mutex (Eric Auger) [2183350] - thermal/core: Fix lockdep_assert() warning (Eric Auger) [2183350] - thermal/core: Move the mutex inside the thermal_zone_device_update() function (Eric Auger) [2183350] - thermal/core: Move the thermal zone lock out of the governors (Eric Auger) [2183350] - thermal/governors: Group the thermal zone lock inside the throttle function (Eric Auger) [2183350] - thermal/core: Rework the monitoring a bit (Eric Auger) [2183350] - thermal/core: Rearm the monitoring only one time (Eric Auger) [2183350] - thermal/of: Remove old OF code (Eric Auger) [2183350] - thermal/core: Move set_trip_temp ops to the sysfs code (Eric Auger) [2183350] - hwmon/drivers/core: Switch to new of thermal API (Eric Auger) [2183350] - ata/drivers/ahci_imx: Switch to new of thermal API (Eric Auger) [2183350] - thermal/drivers/broadcom: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/qoriq: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/maxim: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/hisilicon: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/imx: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/tegra: Switch to new of API (Eric Auger) [2183350] - thermal/of: Make new code and old code co-exist (Eric Auger) [2183350] - thermal/of: Fix free after use in thermal_of_unregister() (Eric Auger) [2183350] - thermal/of: Return -ENODEV instead of -EINVAL if registration fails (Eric Auger) [2183350] - thermal/of: Fix error code in of_thermal_zone_find() (Eric Auger) [2183350] - thermal/of: Rework the thermal device tree initialization (Eric Auger) [2183350] - dt-bindings: thermal: Fix missing required property (Eric Auger) [2183350] - dt-bindings: thermal: Fix definition of cooling-maps contribution property (Eric Auger) [2183350] - dt-bindings: thermal: Make trips node optional (Eric Auger) [2183350] - kernel.spec: Fix UKI naming to comply with BLS (Philipp Rudo) [2187671] - redhat/configs: Add CONFIG_RTC_DRV_NCT3018Y option (Gavin Shan) [2183349] - rtc: jz4740: Make sure clock provider gets removed (Gavin Shan) [2183349] - rtc: k3: handle errors while enabling wake irq (Gavin Shan) [2183349] - rtc: pm8xxx: add support for nvmem offset (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx: add nvmem-cell offset (Gavin Shan) [2183349] - rtc: abx80x: Add nvmem support (Gavin Shan) [2183349] - rtc: rx6110: Remove unused of_gpio,h (Gavin Shan) [2183349] - rtc: efi: Avoid spamming the log on RTC read failure (Gavin Shan) [2183349] - rtc: isl12022: sort header inclusion alphabetically (Gavin Shan) [2183349] - rtc: isl12022: Join string literals back (Gavin Shan) [2183349] - rtc: isl12022: Drop unneeded OF guards and of_match_ptr() (Gavin Shan) [2183349] - rtc: isl12022: Explicitly use __le16 type for ISL12022_REG_TEMP_L (Gavin Shan) [2183349] - rtc: isl12022: Get rid of unneeded private struct isl12022 (Gavin Shan) [2183349] - rtc: pcf85363: add support for the quartz-load-femtofarads property (Gavin Shan) [2183349] - dt-bindings: rtc: nxp,pcf8563: move pcf85263/pcf85363 to a dedicated binding (Gavin Shan) [2183349] - rtc: allow rtc_read_alarm without read_alarm callback (Gavin Shan) [2183349] - rtc: rv3032: add ACPI support (Gavin Shan) [2183349] - rtc: rv3028: add ACPI support (Gavin Shan) [2183349] - rtc: jz4740: Register clock provider for the CLK32K pin (Gavin Shan) [2183349] - rtc: jz4740: Use dev_err_probe() (Gavin Shan) [2183349] - rtc: jz4740: Use readl_poll_timeout (Gavin Shan) [2183349] - dt-bindings: rtc: Add #clock-cells property (Gavin Shan) [2183349] - dt-bindings: rtc: moxart: use proper names for gpio properties (Gavin Shan) [2183349] - rtc: moxart: switch to using gpiod API (Gavin Shan) [2183349] - rtc: pm8xxx: drop error messages (Gavin Shan) [2183349] - rtc: pm8xxx: clean up local declarations (Gavin Shan) [2183349] - rtc: pm8xxx: refactor read_time() (Gavin Shan) [2183349] - rtc: pm8xxx: use u32 for timestamps (Gavin Shan) [2183349] - rtc: pm8xxx: clean up comments (Gavin Shan) [2183349] - rtc: pm8xxx: rename alarm irq variable (Gavin Shan) [2183349] - rtc: pm8xxx: rename struct device pointer (Gavin Shan) [2183349] - rtc: pm8xxx: clean up time and alarm debugging (Gavin Shan) [2183349] - rtc: pm8xxx: use unaligned le32 helpers (Gavin Shan) [2183349] - rtc: pm8xxx: drop unused register defines (Gavin Shan) [2183349] - rtc: pm8xxx: return IRQ_NONE on errors (Gavin Shan) [2183349] - rtc: pm8xxx: drop bogus locking (Gavin Shan) [2183349] - rtc: pm8xxx: use regmap_update_bits() (Gavin Shan) [2183349] - rtc: pm8xxx: drop spmi error messages (Gavin Shan) [2183349] - rtc: pm8xxx: fix set-alarm race (Gavin Shan) [2183349] - dt-bindings: rtc: Convert Amlogic Meson vrtc controller binding (Gavin Shan) [2183349] - rtc: rv8803: invalidate date/time if alarm time is invalid (Gavin Shan) [2183349] - include/linux/bcd.h: provide bcd_is_valid() helper (Gavin Shan) [2183349] - rtc: remove v3020 driver (Gavin Shan) [2183349] - rtc: max8907: Drop unused i2c include (Gavin Shan) [2183349] - rtc: rx8010: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: rv8803: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: rv3032: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: rv3029c2: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf85363: use IRQ flags obtained fromfwnode (Gavin Shan) [2183349] - rtc: pcf8523: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf85063: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf2123: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: m41t80: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: hym8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: ab-eoz9: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - dt-bindings: rtc: pcf2127: remove pca/pcf2129 from trivial RTC devices list (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: allow use as non-wake alarm (Gavin Shan) [2183349] - dt-bindings: rtc: brcm,brcmstb-waketimer: add alarm interrupt (Gavin Shan) [2183349] - rtc: sun6i: Always export the internal oscillator (Gavin Shan) [2183349] - dt-bindings: rtc: Move rv3028 from trivial-rtc.yaml into own schema file (Gavin Shan) [2183349] - dt-bindings: rtc: Add Loongson LS2X RTC support (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: rename irq to wake_irq (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: compensate for lack of wktmr disable (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: non-functional code changes (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: introduce WKTMR_ALARM_EVENT flag (Gavin Shan) [2183349] - rtc: sunplus: fix format string for printing resource (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx: allow 'wakeup-source' property (Gavin Shan) [2183349] - rtc: ds1742: use devm_platform_get_and_ioremap_resource() (Gavin Shan) [2183349] - rtc: mxc_v2: Add missing clk_disable_unprepare() (Gavin Shan) [2183349] - rtc: rs5c313: correct some spelling mistakes (Gavin Shan) [2183349] - rtc: at91rm9200: Fix syntax errors in comments (Gavin Shan) [2183349] - rtc: remove duplicated words in comments (Gavin Shan) [2183349] - rtc: rv3028: Use IRQ flags obtained from device tree if available (Gavin Shan) [2183349] - rtc: ds1307: use sysfs_emit() to instead of scnprintf() (Gavin Shan) [2183349] - rtc: isl12026: drop obsolete dependency on COMPILE_TEST (Gavin Shan) [2183349] - dt-bindings: rtc: m41t80: Convert text schema to YAML one (Gavin Shan) [2183349] - rtc: pcf85063: fix pcf85063_clkout_control (Gavin Shan) [2183349] - rtc: rx6110: fix warning with !OF (Gavin Shan) [2183349] - rtc: rk808: reduce 'struct rk808' usage (Gavin Shan) [2183349] - rtc: msc313: Fix function prototype mismatch in msc313_rtc_probe() (Gavin Shan) [2183349] - dt-bindings: rtc: convert rtc-meson.txt to dt-schema (Gavin Shan) [2183349] - rtc: pic32: Move devm_rtc_allocate_device earlier in pic32_rtc_probe() (Gavin Shan) [2183349] - rtc: st-lpc: Add missing clk_disable_unprepare in st_rtc_probe() (Gavin Shan) [2183349] - rtc: pcf85063: Fix reading alarm (Gavin Shan) [2183349] - rtc: pcf8523: fix for stop bit (Gavin Shan) [2183349] - rtc: efi: Add wakeup support (Gavin Shan) [2183349] - rtc: pcf8563: clear RTC_FEATURE_ALARM if no irq (Gavin Shan) [2183349] - rtc: snvs: Allow a time difference on clock register read (Gavin Shan) [2183349] - rtc: cmos: Disable ACPI RTC event on removal (Gavin Shan) [2183349] - rtc: cmos: Rename ACPI-related functions (Gavin Shan) [2183349] - rtc: cmos: Eliminate forward declarations of some functions (Gavin Shan) [2183349] - rtc: cmos: Call rtc_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349] - rtc: cmos: Call cmos_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349] - rtc: class: Fix potential memleak in devm_rtc_allocate_device() (Gavin Shan) [2183349] - rtc: ds1347: fix value written to century register (Gavin Shan) [2183349] - rtc: Include <linux/kstrtox.h> when appropriate (Gavin Shan) [2183349] - rtc: isl12022: add support for temperature sensor (Gavin Shan) [2183349] - rtc: s35390a: Remove the unneeded result variable (Gavin Shan) [2183349] - dt-bindings: rtc: convert hym8563 bindings to json-schema (Gavin Shan) [2183349] - rtc: fsl-ftm-alarm: Use module_platform_driver replace device_initcall (Gavin Shan) [2183349] - rtc: remove davinci rtc driver (Gavin Shan) [2183349] - rtc: s3c: Switch to use dev_err_probe() helper (Gavin Shan) [2183349] - rtc: ds1302: remove unnecessary spi_set_drvdata() (Gavin Shan) [2183349] - rtc: cros-ec: Limit RTC alarm range if needed (Gavin Shan) [2183349] - rtc: pm8xxx: drop unused pm8018 compatible (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx: document qcom,pm8921-rtc as fallback of qcom,pm8018-rtc (Gavin Shan) [2183349] - rtc: rzn1: Check return value in rzn1_rtc_probe (Gavin Shan) [2183349] - rtc: rx8025: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: rv8803: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: rs5c372: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: pcf2127: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: nct3018y: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: m41t80: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: isl1208: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: abx80x: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: cmos: fix build on non-ACPI platforms (Gavin Shan) [2183349] - rtc: cmos: Fix wake alarm breakage (Gavin Shan) [2183349] - rtc: rv3028: Fix codestyle errors (Gavin Shan) [2183349] - rtc: cmos: Fix event handler registration ordering issue (Gavin Shan) [2183349] - rtc: k3: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: jz4740: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: mpfs: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: ds1685: Fix spelling of function name in comment block (Gavin Shan) [2183349] - rtc: isl12022: switch to using regmap API (Gavin Shan) [2183349] - rtc: isl12022: drop redundant write to HR register (Gavin Shan) [2183349] - rtc: isl12022: use dev_set_drvdata() instead of i2c_set_clientdata() (Gavin Shan) [2183349] - rtc: isl12022: use %%ptR (Gavin Shan) [2183349] - rtc: isl12022: simplify some expressions (Gavin Shan) [2183349] - rtc: isl12022: drop a dev_info() (Gavin Shan) [2183349] - rtc: isl12022: specify range_min and range_max (Gavin Shan) [2183349] - rtc: isl12022: stop using deprecated devm_rtc_device_register() (Gavin Shan) [2183349] - rtc: stmp3xxx: Add failure handling for stmp3xxx_wdt_register() (Gavin Shan) [2183349] - rtc: mxc: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: gamecube: Always reset HW_SRNPROT after read (Gavin Shan) [2183349] - rtc: k3: detect SoC to determine erratum fix (Gavin Shan) [2183349] - rtc: k3: wait until the unlock field is not zero (Gavin Shan) [2183349] - rtc: mpfs: Remove printing of stray CR (Gavin Shan) [2183349] - x86/rtc: Rename mach_set_rtc_mmss() to mach_set_cmos_time() (Gavin Shan) [2183349] - x86/rtc: Rewrite & simplify mach_get_cmos_time() by deleting duplicated functionality (Gavin Shan) [2183349] - rtc: spear: set range max (Gavin Shan) [2183349] - rtc: rtc-cmos: Do not check ACPI_FADT_LOW_POWER_S0 (Gavin Shan) [2183349] - rtc: zynqmp: initialize fract_tick (Gavin Shan) [2183349] - rtc: Add NCT3018Y real time clock driver (Gavin Shan) [2183349] - dt-bindings: rtc: nuvoton: add NCT3018Y Real Time Clock (Gavin Shan) [2183349] - dt-bindings: rtc: nxp,pcf85063: Convert to DT schema (Gavin Shan) [2183349] - dt-bindings: rtc: microcrystal,rv3032: Add missing type to 'trickle-voltage-millivolt' (Gavin Shan) [2183349] - rtc: rx8025: fix 12/24 hour mode detection on RX-8035 (Gavin Shan) [2183349] - rtc: cros-ec: Only warn once in .remove() about notifier_chain problems (Gavin Shan) [2183349] - rtc: vr41xx: remove driver (Gavin Shan) [2183349] - rtc: mpfs: remove 'pending' variable from mpfs_rtc_wakeup_irq_handler() (Gavin Shan) [2183349] - rtc: zynqmp: Add calibration set and get support (Gavin Shan) [2183349] - rtc: zynqmp: Updated calibration value (Gavin Shan) [2183349] - dt-bindings: rtc: zynqmp: Add clock information (Gavin Shan) [2183349] - rtc: sun6i: add support for R329 RTC (Gavin Shan) [2183349] - dt-bindings: rtc: Add EM Microelectronic EM3027 bindings (Gavin Shan) [2183349] - dt-bindings: rtc: ds1307: Convert to json-schema (Gavin Shan) [2183349] - dt-bindings: rtc: Add fsl,scu-rtc yaml file (Gavin Shan) [2183349] - rtc: Introduce ti-k3-rtc (Gavin Shan) [2183349] - dt-bindings: rtc: Add TI K3 RTC description (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx-rtc: Update the maintainers section (Gavin Shan) [2183349] - rtc: Add driver for Microchip PolarFire SoC (Gavin Shan) [2183349] - rtc: isl1208: do not advertise update interrupt feature if no interrupt specified (Gavin Shan) [2183349] - dt-bindings: rtc: mediatek: add mt6358 and mt6366 compatible (Gavin Shan) [2183349] - rtc: mc146818-lib: reduce RTC_UIP polling period (Gavin Shan) [2183349] - rtc: rzn1: Fix a variable type (Gavin Shan) [2183349] - rtc: rzn1: Fix error code in probe (Gavin Shan) [2183349] - rtc: rzn1: Avoid mixing variables (Gavin Shan) [2183349] - rtc: ftrtc010: Fix error handling in ftrtc010_rtc_probe (Gavin Shan) [2183349] - rtc: mt6397: check return value after calling platform_get_resource() (Gavin Shan) [2183349] - rtc: rzn1: fix platform_no_drv_owner.cocci warning (Gavin Shan) [2183349] - rtc: gamecube: Add missing iounmap in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349] - rtc: meson: Fix email address in MODULE_AUTHOR (Gavin Shan) [2183349] - rtc: simplify the return expression of rx8025_set_offset() (Gavin Shan) [2183349] - dt-binding: pcf85063: Add an entry for pca85073a (Gavin Shan) [2183349] - rtc: rzn1: Add oscillator offset support (Gavin Shan) [2183349] - rtc: rzn1: Add alarm support (Gavin Shan) [2183349] - rtc: rzn1: Add new RTC driver (Gavin Shan) [2183349] - dt-bindings: rtc: rzn1: Describe the RZN1 RTC (Gavin Shan) [2183349] - rtc: sun6i: Add NVMEM provider (Gavin Shan) [2183349] - Revert "clk: sunxi-ng: sun6i-rtc: Add support for H6" (Gavin Shan) [2183349] - dt-bindings: Drop empty and unreferenced binding .txt files (Gavin Shan) [2183349] - dt-bindings: rtc: add refclk to mpfs-rtc (Gavin Shan) [2183349] - clk: sunxi-ng: sun6i-rtc: Mark rtc-32k as critical (Gavin Shan) [2183349] - clocksource/drivers: Add a goldfish-timer clocksource (Gavin Shan) [2183349] - rtc: goldfish: Use gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349] - tty: goldfish: Introduce gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349] - clk: sunxi-ng: fix not NULL terminated coccicheck error (Gavin Shan) [2183349] - rtc: gamecube: Fix refcount leak in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349] - rtc: pm8xxx: Return -ENODEV if set_time disallowed (Gavin Shan) [2183349] - rtc: pm8xxx: Attach wake irq to device (Gavin Shan) [2183349] - rtc: hym8563: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: hym8563: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: hym8563: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - rtc: spear: fix spear_rtc_read_time (Gavin Shan) [2183349] - rtc: spear: drop uie_unsupported (Gavin Shan) [2183349] - rtc: spear: set range (Gavin Shan) [2183349] - rtc: spear: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - rtc: mpc5121: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: mpc5121: let the core handle the alarm resolution (Gavin Shan) [2183349] - clk: sunxi-ng: sun6i-rtc: Add support for H6 (Gavin Shan) [2183349] - clk: sunxi-ng: Add support for the sun6i RTC clocks (Gavin Shan) [2183349] - clk: sunxi-ng: mux: Allow muxes to have keys (Gavin Shan) [2183349] - rtc: wm8350: Handle error for wm8350_register_irq (Gavin Shan) [2183349] - rtc: sun6i: Add Allwinner H616 support (Gavin Shan) [2183349] - rtc: sun6i: Add support for broken-down alarm registers (Gavin Shan) [2183349] - rtc: sun6i: Add support for linear day storage (Gavin Shan) [2183349] - rtc: sun6i: Fix time overflow handling (Gavin Shan) [2183349] - rtc: pl031: fix rtc features null pointer dereference (Gavin Shan) [2183349] - rtc: mc146818-lib: fix locking in mc146818_set_time (Gavin Shan) [2183349] - dt-bindings: rtc: add bindings for microchip mpfs rtc (Gavin Shan) [2183349] - dt-bindings: rtc: at91: Add SAMA7G5 compatible strings list (Gavin Shan) [2183349] - dt-bindings: rtc: convert at91sam9 bindings to json-schema (Gavin Shan) [2183349] - rtc: max77686: Add MAX77714 support (Gavin Shan) [2183349] - rtc: max77686: Remove unused code to read in 12-hour mode (Gavin Shan) [2183349] - rtc: max77686: Rename day-of-month defines (Gavin Shan) [2183349] - rtc: max77686: Convert comments to kernel-doc format (Gavin Shan) [2183349] - mfd: max77686: Correct tab-based alignment of register addresses (Gavin Shan) [2183349] - rtc: sun6i: Enable the bus clock when provided (Gavin Shan) [2183349] - dt-bindings: rtc: sun6i: Add H616, R329, and D1 support (Gavin Shan) [2183349] - dt-bindings: rtc: sun6i: Clean up repetition (Gavin Shan) [2183349] - dt-bindings: rtc: st,stm32-rtc: Make each example a separate entry (Gavin Shan) [2183349] - rtc: sunplus: fix return value in sp_rtc_probe() (Gavin Shan) [2183349] - rtc: cmos: Evaluate century appropriate (Gavin Shan) [2183349] - rtc: gamecube: Fix an IS_ERR() vs NULL check (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx-rtc: update register numbers (Gavin Shan) [2183349] - rtc: pxa: fix null pointer dereference (Gavin Shan) [2183349] - rtc: ftrtc010: Use platform_get_irq() to get the interrupt (Gavin Shan) [2183349] - dt-bindings: rtc: Add Sunplus RTC json-schema (Gavin Shan) [2183349] - rtc: Add driver for RTC in Sunplus SP7021 (Gavin Shan) [2183349] - rtc: rs5c372: fix incorrect oscillation value on r2221tl (Gavin Shan) [2183349] - rtc: rs5c372: add offset correction support (Gavin Shan) [2183349] - rtc: cmos: avoid UIP when writing alarm time (Gavin Shan) [2183349] - rtc: cmos: avoid UIP when reading alarm time (Gavin Shan) [2183349] - rtc: mc146818-lib: refactor mc146818_does_rtc_work (Gavin Shan) [2183349] - rtc: mc146818-lib: refactor mc146818_get_time (Gavin Shan) [2183349] - rtc: gamecube: Report low battery as invalid data (Gavin Shan) [2183349] - rtc: gamecube: Add a RTC driver for the GameCube, Wii and Wii U (Gavin Shan) [2183349] - dt-bindings: rtc: Convert Broadcom STB waketimer to YAML (Gavin Shan) [2183349] - dt/bindings: rtc: rx8900: Add an entry for RX8804 (Gavin Shan) [2183349] - rtc: da9063: add as wakeup source (Gavin Shan) [2183349] - rtc: da9063: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: rs5c372: Add RTC_VL_READ, RTC_VL_CLR ioctls (Gavin Shan) [2183349] - rtc: rx8025: use .set_offset/.read_offset (Gavin Shan) [2183349] - rtc: rx8025: use rtc_add_group (Gavin Shan) [2183349] - rtc: rx8025: clear RTC_FEATURE_ALARM when alarm are not supported (Gavin Shan) [2183349] - rtc: rx8025: set range (Gavin Shan) [2183349] - rtc: rx8025: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - rtc: ab8500: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: ab-eoz9: support UIE when available (Gavin Shan) [2183349] - rtc: ab-eoz9: use RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: rv3032: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: s35390a: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: s3c: Add time range (Gavin Shan) [2183349] - rtc: s3c: Extract read/write IO into separate functions (Gavin Shan) [2183349] - rtc: s3c: Remove usage of devm_rtc_device_register() (Gavin Shan) [2183349] - rtc: tps80031: Remove driver (Gavin Shan) [2183349] - rtc: sun6i: Allow probing without an early clock provider (Gavin Shan) [2183349] - MAINTAINERS: update faraday,ftrtc010.yaml reference (Gavin Shan) [2183349] - rtc: rv3032: allow setting BSM (Gavin Shan) [2183349] - rtc: rv3028: add BSM support (Gavin Shan) [2183349] - rtc: s3c: remove HAVE_S3C_RTC in favor of direct dependencies (Gavin Shan) [2183349] - rtc: rv3032: fix error handling in rv3032_clkout_set_rate() (Gavin Shan) [2183349] - rtc: m41t80: return NULL rather than a plain 0 integer (Gavin Shan) [2183349] - rtc: msc313: Fix unintentional sign extension issues with left shift of a u16 (Gavin Shan) [2183349] - rtc: msc313: fix missing include (Gavin Shan) [2183349] - rtc: Add support for the MSTAR MSC313 RTC (Gavin Shan) [2183349] - dt-bindings: rtc: Add Mstar MSC313e RTC devicetree bindings documentation (Gavin Shan) [2183349] - rtc: rx6110: simplify getting the adapter of a client (Gavin Shan) [2183349] - rtc: s5m: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349] - rtc: omap: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349] - rtc: ds1302: Add SPI ID table (Gavin Shan) [2183349] - rtc: cmos: Disable irq around direct invocation of cmos_interrupt() (Gavin Shan) [2183349] - rtc: rx8010: select REGMAP_I2C (Gavin Shan) [2183349] - dt-bindings: rtc: add Epson RX-8025 and RX-8035 (Gavin Shan) [2183349] - rtc: rx8025: implement RX-8035 support (Gavin Shan) [2183349] - rtc: cmos: remove stale REVISIT comments (Gavin Shan) [2183349] - rtc: tps65910: Correct driver module alias (Gavin Shan) [2183349] - rtc: s5m: set range (Gavin Shan) [2183349] - rtc: s5m: enable wakeup only when available (Gavin Shan) [2183349] - rtc: s5m: signal the core when alarm are not available (Gavin Shan) [2183349] - rtc: s5m: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - netfilter: conntrack: fix possible bug_on with enable_hooks=1 (Florian Westphal) [2193079] - vsock: avoid to close connected socket after the timeout (Stefano Garzarella) [2209707] - vsock/loopback: don't disable irqs for queue access (Stefano Garzarella) [2209707] - vsock/test: update expected return values (Stefano Garzarella) [2209707] - vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707] - vsock/vmci: convert VMCI error code to -ENOMEM on receive (Stefano Garzarella) [2209707] - vsock/vmci: convert VMCI error code to -ENOMEM on send (Stefano Garzarella) [2209707] - virtio/vsock: fix leaks due to missing skb owner (Stefano Garzarella) [2209707] - test/vsock: new skbuff appending test (Stefano Garzarella) [2209707] - virtio/vsock: WARN_ONCE() for invalid state of socket (Stefano Garzarella) [2209707] - virtio/vsock: fix header length on skb merging (Stefano Garzarella) [2209707] - testing/vsock: add vsock_perf to gitignore (Stefano Garzarella) [2209707] - virtio/vsock: check argument to avoid no effect call (Stefano Garzarella) [2209707] - virtio/vsock: allocate multiple skbuffs on tx (Stefano Garzarella) [2209707] - vsock/loopback: use only sk_buff_head.lock to protect the packet queue (Stefano Garzarella) [2209707] - virtio/vsock: check transport before skb allocation (Stefano Garzarella) [2209707] - test/vsock: copy to user failure test (Stefano Garzarella) [2209707] - virtio/vsock: don't drop skbuff on copy failure (Stefano Garzarella) [2209707] - virtio/vsock: remove redundant 'skb_pull()' call (Stefano Garzarella) [2209707] - virtio/vsock: don't use skbuff state to account credit (Stefano Garzarella) [2209707] - vhost: remove unused paramete (Stefano Garzarella) [2209707] - virtio/vsock: replace virtio_vsock_pkt with sk_buff (Stefano Garzarella) [2209707] - test/vsock: vsock_perf utility (Stefano Garzarella) [2209707] - test/vsock: add big message test (Stefano Garzarella) [2209707] - test/vsock: rework message bounds test (Stefano Garzarella) [2209707] - vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707] - config: wifi: enable RTL8852 card (Íñigo Huguet) [2100568 2127040 2208968] - u64_stat: Remove the obsolete fetch_irq() variants. (Ivan Vecera) [2193170] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Ivan Vecera) [2193170] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Ivan Vecera) [2193170] - net: ifb: support ethtools stats (Ivan Vecera) [2193170] - spi: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170] - bpf: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170] - net: hns3: split function hns3_nic_get_stats64() (Ivan Vecera) [2193170] - team: adopt u64_stats_t (Ivan Vecera) [2193170] - drop_monitor: adopt u64_stats_t (Ivan Vecera) [2193170] - net: adopt u64_stats_t in struct pcpu_sw_netstats (Ivan Vecera) [2193170] - wireguard: receive: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170] - ip6_tunnel: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170] - sit: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170] - ipvlan: adopt u64_stats_t (Ivan Vecera) [2193170] - vlan: adopt u64_stats_t (Ivan Vecera) [2193170] - KVM: s390: pv: fix asynchronous teardown for small VMs (Thomas Huth) [2203390] - KVM: s390: fix race in gmap_make_secure() (Thomas Huth) [2203390] - KVM: selftests: Compile s390 tests with -march=z10 (Thomas Huth) [2183983] Resolves: rhbz#2100568, rhbz#2127040, rhbz#2183349, rhbz#2183350, rhbz#2183983, rhbz#2187671, rhbz#2192660, rhbz#2193079, rhbz#2193170, rhbz#2203390, rhbz#2208968, rhbz#2209707 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-13 12:21:47 +00:00
# CONFIG_RTC_DRV_NCT3018Y is not set
CONFIG_RTC_DRV_OPAL=y
# CONFIG_RTC_DRV_PCF2123 is not set
# CONFIG_RTC_DRV_PCF2127 is not set
# CONFIG_RTC_DRV_PCF85063 is not set
CONFIG_RTC_DRV_PCF8523=m
# CONFIG_RTC_DRV_PCF85363 is not set
CONFIG_RTC_DRV_PCF8563=m
CONFIG_RTC_DRV_PCF8583=m
# CONFIG_RTC_DRV_R7301 is not set
# CONFIG_RTC_DRV_R9701 is not set
CONFIG_RTC_DRV_RP5C01=m
# CONFIG_RTC_DRV_RS5C348 is not set
CONFIG_RTC_DRV_RS5C372=m
# CONFIG_RTC_DRV_RV3028 is not set
CONFIG_RTC_DRV_RV3029C2=m
# CONFIG_RTC_DRV_RV3029_HWMON is not set
# CONFIG_RTC_DRV_RV3032 is not set
# CONFIG_RTC_DRV_RV8803 is not set
# CONFIG_RTC_DRV_RX6110 is not set
# CONFIG_RTC_DRV_RX8010 is not set
CONFIG_RTC_DRV_RX8025=m
CONFIG_RTC_DRV_RX8581=m
# CONFIG_RTC_DRV_S35390A is not set
# CONFIG_RTC_DRV_SD3078 is not set
CONFIG_RTC_DRV_STK17TA8=m
# CONFIG_RTC_DRV_TEST is not set
CONFIG_RTC_DRV_V3020=m
CONFIG_RTC_DRV_X1205=m
# CONFIG_RTC_DRV_ZYNQMP is not set
CONFIG_RTC_HCTOSYS_DEVICE="rtc0"
CONFIG_RTC_HCTOSYS=y
# CONFIG_RTC_INTF_DEV_UIE_EMUL is not set
CONFIG_RTC_INTF_DEV=y
CONFIG_RTC_INTF_PROC=y
CONFIG_RTC_INTF_SYSFS=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_RTC_LIB_KUNIT_TEST=m
CONFIG_RTC_NVMEM=y
kernel-5.12.0-0.rc3.170.el9 * Mon Mar 15 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc3.170] - v5.12-rc3 rebase - Fedora: filters: update to move dfl-emif to modules (Peter Robinson) - [redhat] arm: unify EFI vars (Jeremy Linton) - [redhat] arm: Unify CPU_THERMAL (Jeremy Linton) - [redhat] arm: move SPE to generic (Jeremy Linton) - [redhat] aarch64: sync and enable some arm interconnect PMUs (Jeremy Linton) - [redhat] arm: move psci checker disable to common (Jeremy Linton) - [redhat] aarch64: SW_TTBR_PAN globally on (Jeremy Linton) - [redhat] aarch64: Move arm64_tlb_range to common (Jeremy Linton) - [redhat] aarch64: Enable hotplug memory (Jeremy Linton) - [redhat] aarch64: ACPI/CPPC can be a module (Jeremy Linton) - drop duplicate DEVFREQ_GOV_SIMPLE_ONDEMAND config (Peter Robinson) - efi: The EFI_VARS is legacy and now x86 only (Peter Robinson) - common: enable RTC_SYSTOHC to supplement update_persistent_clock64 (Peter Robinson) - generic: arm: enable SCMI for all options (Peter Robinson) - fedora: the PCH_CAN driver is x86-32 only (Peter Robinson) - common: disable legacy CAN device support (Peter Robinson) - common: Enable Microchip MCP251x/MCP251xFD CAN controllers (Peter Robinson) - common: Bosch MCAN support for Intel Elkhart Lake (Peter Robinson) - common: enable CAN_PEAK_PCIEFD PCI-E driver (Peter Robinson) - common: disable CAN_PEAK_PCIEC PCAN-ExpressCard (Peter Robinson) - common: enable common CAN layer 2 protocols (Peter Robinson) - ark: disable CAN_LEDS option (Peter Robinson) Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-15 23:54:10 +00:00
CONFIG_RTC_SYSTOHC_DEVICE="rtc0"
CONFIG_RTC_SYSTOHC=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_RT_GROUP_SCHED is not set
# CONFIG_RTL8180 is not set
# CONFIG_RTL8187 is not set
CONFIG_RTL8188EE=m
CONFIG_RTL8192CE=m
CONFIG_RTL8192CU=m
CONFIG_RTL8192DE=m
CONFIG_RTL8192EE=m
CONFIG_RTL8192SE=m
CONFIG_RTL8723AE=m
CONFIG_RTL8723BE=m
CONFIG_RTL8821AE=m
CONFIG_RTL8XXXU=m
# CONFIG_RTL8XXXU_UNTESTED is not set
CONFIG_RTL_CARDS=m
CONFIG_RTLWIFI_DEBUG=y
CONFIG_RTLWIFI=m
CONFIG_RTW88_8723DE=m
kernel-5.14.0-318.el9 * Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9] - vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854] - config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526] - wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526] - rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526] - mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526] - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526] - net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526] - mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526] - wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526] - mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526] - wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526] - bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526] - bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526] - bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526] - mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526] - bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526] - bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526] - wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526] - treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526] - treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526] - hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526] - Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250] - usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250] - usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250] - usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250] - USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250] - usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250] - usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250] - usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250] - USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250] - usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250] - usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250] - usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250] - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250] - usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250] - xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250] - xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250] - xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250] - usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250] - xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250] - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250] - USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250] - thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250] - thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250] - thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250] - usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250] - usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250] - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250] - thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250] - treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250] - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250] - nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250] - mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250] - atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250] - xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250] - xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250] - USB: core: export usb_cache_string() (Desnes Nunes) [2190250] - usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250] - usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250] - usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250] - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250] - usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250] - usb: storage: Add check for kcalloc (Desnes Nunes) [2190250] - USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250] - USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250] - USB: sisusbvga: remove console support (Desnes Nunes) [2190250] - media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250] - media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250] - firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250] - usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250] - device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250] - driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250] - container_of: remove container_of_safe() (Desnes Nunes) [2190250] - net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250] - net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250] - USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250] - xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250] - xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250] - xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250] - xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250] - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250] - xhci: print warning when HCE was set (Desnes Nunes) [2190250] - Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250] - USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250] - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250] - xhci: Convert to use list_count() (Desnes Nunes) [2190250] - usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250] - usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250] - usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250] - usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250] - usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250] - usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250] - usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250] - usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250] - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250] - net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250] - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250] - media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250] - media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250] - media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250] - media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250] - media: videobuf2: set q->streaming later (Desnes Nunes) [2190250] - media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250] - USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250] - usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250] - usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250] - usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250] - usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250] - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250] - usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250] - usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250] - usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250] - Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250] - hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250] - usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250] - tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250] - usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250] - usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250] - usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250] - dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250] - dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250] - usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250] - usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250] - usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250] - thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250] - usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250] - thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250] - usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250] - usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250] - usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250] - usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250] - usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250] - usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250] - thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250] - thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250] - device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250] - device property: Constify device child node APIs (Desnes Nunes) [2190250] - device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250] - usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250] - device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250] - device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250] - device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250] - usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250] - USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250] - USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250] - kernel.spec: add bonding selftest (Hangbin Liu) [2179216] - selftests: bonding: add arp validate test (Hangbin Liu) [2179216] - selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216] - bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216] - net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375] - selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375] - seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375] - seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375] - net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375] - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375] - seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375] - udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375] - icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375] - seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375] - seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375] - net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375] - net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375] - net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375] - seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375] - ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375] - ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375] - netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375] - HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079} - HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079} - NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854] - NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854] - NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854] - NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854] - NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854] - NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854] - netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854] - i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194} - netlink: provide an ability to set default extack message (Petr Oros) [2193453] - mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712] Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 19:08:35 +00:00
# CONFIG_RTW88_8723DU is not set
CONFIG_RTW88_8821CE=m
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
# CONFIG_RTW88_8821CS is not set
kernel-5.14.0-318.el9 * Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9] - vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854] - config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526] - wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526] - rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526] - mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526] - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526] - net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526] - mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526] - wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526] - mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526] - wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526] - bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526] - bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526] - bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526] - mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526] - bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526] - bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526] - wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526] - treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526] - treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526] - hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526] - Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250] - usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250] - usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250] - usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250] - USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250] - usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250] - usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250] - usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250] - USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250] - usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250] - usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250] - usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250] - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250] - usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250] - xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250] - xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250] - xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250] - usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250] - xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250] - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250] - USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250] - thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250] - thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250] - thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250] - usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250] - usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250] - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250] - thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250] - treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250] - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250] - nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250] - mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250] - atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250] - xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250] - xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250] - USB: core: export usb_cache_string() (Desnes Nunes) [2190250] - usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250] - usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250] - usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250] - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250] - usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250] - usb: storage: Add check for kcalloc (Desnes Nunes) [2190250] - USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250] - USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250] - USB: sisusbvga: remove console support (Desnes Nunes) [2190250] - media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250] - media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250] - firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250] - usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250] - device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250] - driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250] - container_of: remove container_of_safe() (Desnes Nunes) [2190250] - net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250] - net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250] - USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250] - xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250] - xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250] - xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250] - xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250] - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250] - xhci: print warning when HCE was set (Desnes Nunes) [2190250] - Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250] - USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250] - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250] - xhci: Convert to use list_count() (Desnes Nunes) [2190250] - usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250] - usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250] - usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250] - usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250] - usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250] - usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250] - usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250] - usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250] - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250] - net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250] - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250] - media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250] - media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250] - media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250] - media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250] - media: videobuf2: set q->streaming later (Desnes Nunes) [2190250] - media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250] - USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250] - usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250] - usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250] - usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250] - usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250] - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250] - usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250] - usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250] - usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250] - Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250] - hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250] - usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250] - tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250] - usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250] - usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250] - usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250] - dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250] - dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250] - usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250] - usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250] - usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250] - thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250] - usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250] - thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250] - usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250] - usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250] - usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250] - usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250] - usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250] - usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250] - thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250] - thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250] - device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250] - device property: Constify device child node APIs (Desnes Nunes) [2190250] - device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250] - usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250] - device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250] - device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250] - device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250] - usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250] - USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250] - USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250] - kernel.spec: add bonding selftest (Hangbin Liu) [2179216] - selftests: bonding: add arp validate test (Hangbin Liu) [2179216] - selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216] - bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216] - net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375] - selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375] - seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375] - seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375] - net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375] - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375] - seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375] - udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375] - icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375] - seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375] - seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375] - net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375] - net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375] - net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375] - seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375] - ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375] - ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375] - netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375] - HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079} - HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079} - NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854] - NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854] - NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854] - NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854] - NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854] - NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854] - netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854] - i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194} - netlink: provide an ability to set default extack message (Petr Oros) [2193453] - mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712] Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 19:08:35 +00:00
# CONFIG_RTW88_8821CU is not set
CONFIG_RTW88_8822BE=m
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
# CONFIG_RTW88_8822BS is not set
kernel-5.14.0-318.el9 * Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9] - vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854] - config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526] - wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526] - rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526] - mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526] - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526] - net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526] - mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526] - wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526] - mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526] - wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526] - bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526] - bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526] - bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526] - mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526] - bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526] - bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526] - wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526] - treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526] - treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526] - hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526] - Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250] - usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250] - usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250] - usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250] - USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250] - usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250] - usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250] - usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250] - USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250] - usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250] - usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250] - usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250] - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250] - usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250] - xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250] - xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250] - xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250] - usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250] - xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250] - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250] - USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250] - thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250] - thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250] - thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250] - usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250] - usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250] - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250] - thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250] - treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250] - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250] - nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250] - mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250] - atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250] - xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250] - xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250] - USB: core: export usb_cache_string() (Desnes Nunes) [2190250] - usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250] - usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250] - usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250] - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250] - usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250] - usb: storage: Add check for kcalloc (Desnes Nunes) [2190250] - USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250] - USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250] - USB: sisusbvga: remove console support (Desnes Nunes) [2190250] - media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250] - media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250] - firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250] - usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250] - device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250] - driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250] - container_of: remove container_of_safe() (Desnes Nunes) [2190250] - net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250] - net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250] - USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250] - xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250] - xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250] - xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250] - xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250] - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250] - xhci: print warning when HCE was set (Desnes Nunes) [2190250] - Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250] - USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250] - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250] - xhci: Convert to use list_count() (Desnes Nunes) [2190250] - usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250] - usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250] - usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250] - usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250] - usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250] - usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250] - usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250] - usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250] - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250] - net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250] - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250] - media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250] - media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250] - media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250] - media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250] - media: videobuf2: set q->streaming later (Desnes Nunes) [2190250] - media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250] - USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250] - usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250] - usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250] - usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250] - usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250] - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250] - usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250] - usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250] - usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250] - Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250] - hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250] - usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250] - tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250] - usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250] - usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250] - usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250] - dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250] - dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250] - usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250] - usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250] - usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250] - thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250] - usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250] - thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250] - usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250] - usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250] - usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250] - usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250] - usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250] - usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250] - thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250] - thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250] - device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250] - device property: Constify device child node APIs (Desnes Nunes) [2190250] - device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250] - usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250] - device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250] - device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250] - device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250] - usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250] - USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250] - USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250] - kernel.spec: add bonding selftest (Hangbin Liu) [2179216] - selftests: bonding: add arp validate test (Hangbin Liu) [2179216] - selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216] - bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216] - net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375] - selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375] - seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375] - seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375] - net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375] - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375] - seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375] - udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375] - icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375] - seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375] - seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375] - net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375] - net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375] - net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375] - seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375] - ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375] - ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375] - netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375] - HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079} - HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079} - NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854] - NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854] - NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854] - NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854] - NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854] - NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854] - netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854] - i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194} - netlink: provide an ability to set default extack message (Petr Oros) [2193453] - mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712] Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 19:08:35 +00:00
# CONFIG_RTW88_8822BU is not set
CONFIG_RTW88_8822CE=m
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
# CONFIG_RTW88_8822CS is not set
kernel-5.14.0-318.el9 * Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9] - vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854] - config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526] - wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526] - rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526] - net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526] - mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526] - wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526] - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526] - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526] - net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526] - mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526] - wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526] - mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526] - wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526] - net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526] - wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526] - bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526] - bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526] - bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526] - bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526] - mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526] - bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526] - bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526] - bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526] - wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526] - wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526] - bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526] - wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526] - cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526] - wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526] - wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526] - wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526] - wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526] - brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526] - wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526] - treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526] - treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526] - hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526] - Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250] - usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250] - usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250] - usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250] - USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250] - usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250] - usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250] - usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250] - USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250] - usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250] - usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250] - usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250] - usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250] - usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250] - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250] - usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250] - xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250] - xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250] - xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250] - usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250] - xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250] - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250] - USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250] - thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250] - thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250] - thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250] - usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250] - usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250] - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250] - thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250] - treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250] - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250] - nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250] - mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250] - atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250] - xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250] - xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250] - USB: core: export usb_cache_string() (Desnes Nunes) [2190250] - usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250] - usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250] - usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250] - usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250] - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250] - usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250] - usb: storage: Add check for kcalloc (Desnes Nunes) [2190250] - USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250] - USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250] - USB: sisusbvga: remove console support (Desnes Nunes) [2190250] - media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250] - media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250] - firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250] - usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250] - device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250] - driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250] - container_of: remove container_of_safe() (Desnes Nunes) [2190250] - net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250] - net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250] - USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250] - xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250] - xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250] - xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250] - xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250] - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250] - xhci: print warning when HCE was set (Desnes Nunes) [2190250] - Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250] - USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250] - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250] - xhci: Convert to use list_count() (Desnes Nunes) [2190250] - usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250] - usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250] - usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250] - usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250] - usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250] - usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250] - usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250] - usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250] - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250] - net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250] - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250] - media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250] - media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250] - media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250] - USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250] - media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250] - media: videobuf2: set q->streaming later (Desnes Nunes) [2190250] - media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250] - USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250] - usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250] - usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250] - usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250] - usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250] - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250] - usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250] - usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250] - usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250] - Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250] - dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250] - hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250] - usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250] - tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250] - usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250] - usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250] - usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250] - usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250] - dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250] - dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250] - usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250] - usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250] - usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250] - thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250] - usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250] - USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250] - thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250] - usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250] - usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250] - usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250] - usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250] - usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250] - usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250] - usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250] - thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250] - thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250] - device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250] - device property: Constify device child node APIs (Desnes Nunes) [2190250] - device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250] - usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250] - device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250] - device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250] - device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250] - usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250] - dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250] - USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250] - usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250] - USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250] - kernel.spec: add bonding selftest (Hangbin Liu) [2179216] - selftests: bonding: add arp validate test (Hangbin Liu) [2179216] - selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216] - bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216] - net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375] - selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375] - seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375] - seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375] - seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375] - net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375] - seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375] - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375] - seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375] - net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375] - udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375] - icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375] - seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375] - seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375] - net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375] - net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375] - net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375] - seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375] - ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375] - ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375] - netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375] - HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079} - HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079} - NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854] - NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854] - NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854] - NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854] - NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854] - NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854] - netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854] - i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194} - netlink: provide an ability to set default extack message (Petr Oros) [2193453] - mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712] Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 19:08:35 +00:00
# CONFIG_RTW88_8822CU is not set
CONFIG_RTW88_DEBUGFS=y
CONFIG_RTW88_DEBUG=y
CONFIG_RTW88=m
kernel-5.14.0-69.el9 * Wed Feb 23 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-69.el9] - config: mt76: set CONFIG_MT7921S to not set, like in ark (Íñigo Huguet) [2043454] - config: rtw89: enable driver and device RTL8852AE (Íñigo Huguet) [2043454] - rtw89: 8852a: correct bit definition of dfs_en (Íñigo Huguet) [2043454] - rtw89: coex: Update COEX to 5.5.8 (Íñigo Huguet) [2043454] - rtw89: coex: Cancel PS leaving while C2H comes (Íñigo Huguet) [2043454] - rtw89: coex: Update BT counters while receiving report (Íñigo Huguet) [2043454] - rtw89: coex: Define LPS state for BTC using (Íñigo Huguet) [2043454] - rtw89: coex: Add MAC API to get BT polluted counter (Íñigo Huguet) [2043454] - rtw89: coex: Not to send H2C when WL not ready and count H2C (Íñigo Huguet) [2043454] - rtw89: coex: correct C2H header length (Íñigo Huguet) [2043454] - rtw89: don't kick off TX DMA if failed to write skb (Íñigo Huguet) [2043454] - rtw89: remove cch_by_bw which is not used (Íñigo Huguet) [2043454] - rtw89: fix sending wrong rtwsta->mac_id to firmware to fill address CAM (Íñigo Huguet) [2043454] - rtw89: fix incorrect channel info during scan (Íñigo Huguet) [2043454] - rtw89: update scan_mac_addr during scanning period (Íñigo Huguet) [2043454] - rtw89: use inline function instead macro to set H2C and CAM (Íñigo Huguet) [2043454] - rtw89: add const in the cast of le32_get_bits() (Íñigo Huguet) [2043454] - rtw89: add AXIDMA and TX FIFO dump in mac_mem_dump (Íñigo Huguet) [2043454] - rtw89: fix potentially access out of range of RF register array (Íñigo Huguet) [2043454] - rtw89: remove unneeded variable (Íñigo Huguet) [2043454] - rtw89: remove unnecessary conditional operators (Íñigo Huguet) [2043454] - rtw89: update rtw89_regulatory map to R58-R31 (Íñigo Huguet) [2043454] - rtw89: update tx power limit/limit_ru tables to R54 (Íñigo Huguet) [2043454] - rtw89: update rtw89 regulation definition to R58-R31 (Íñigo Huguet) [2043454] - rtw89: fill regd field of limit/limit_ru tables by enum (Íñigo Huguet) [2043454] - iwlwifi: pcie: add killer devices to the driver (Íñigo Huguet) [2043454] - iwlwifi: add support for BNJ HW (Íñigo Huguet) [2043454] - iwlwifi: Read the correct addresses when getting the crf id (Íñigo Huguet) [2043454] - iwlwifi: pcie: add jacket bit to device configuration parsing (Íñigo Huguet) [2043454] - iwlwifi: add new ax1650 killer device (Íñigo Huguet) [2043454] - iwlwifi: add new Qu-Hr device (Íñigo Huguet) [2043454] - iwlwifi: add missing entries for Gf4 with So and SoF (Íñigo Huguet) [2043454] - iwlwifi: remove unused iwlax210_2ax_cfg_so_hr_a0 structure (Íñigo Huguet) [2043454] - iwlwifi: support 4-bits in MAC step value (Íñigo Huguet) [2043454] - iwlwifi: mvm: Increase the scan timeout guard to 30 seconds (Íñigo Huguet) [2043454] - wilc1000: fix double free error in probe() (Íñigo Huguet) [2043454] - wilc1000: Fix spurious "FW not responding" error (Íñigo Huguet) [2043454] - wilc1000: Fix missing newline in error message (Íñigo Huguet) [2043454] - wilc1000: Fix copy-and-paste typo in wilc_set_mac_address (Íñigo Huguet) [2043454] - iwlwifi: mvm: Use div_s64 instead of do_div in iwl_mvm_ftm_rtt_smoothing() (Íñigo Huguet) [2043454] - mt76: mt7921: fix a possible race enabling/disabling runtime-pm (Íñigo Huguet) [2043454] - ath11k: Fix unexpected return buffer manager error for QCA6390 (Íñigo Huguet) [2043454] - ath11k: Fix napi related hang (Íñigo Huguet) [2043454] - iwlwifi: yoyo: fix issue with new DBGI_SRAM region read. (Íñigo Huguet) [2043454] - iwlwifi: pcie: make sure prph_info is set when treating wakeup IRQ (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix AUX ROC removal (Íñigo Huguet) [2043454] - iwlwifi: mvm: set protected flag only for NDP ranging (Íñigo Huguet) [2043454] - iwlwifi: mvm: perform 6GHz passive scan after suspend (Íñigo Huguet) [2043454] - iwlwifi: mvm: Fix calculation of frame length (Íñigo Huguet) [2043454] - iwlwifi: mvm: test roc running status bits before removing the sta (Íñigo Huguet) [2043454] - iwlwifi: don't pass actual WGDS revision number in table_revision (Íñigo Huguet) [2043454] - iwlwifi: fix leaks/bad data after failed firmware load (Íñigo Huguet) [2043454] - iwlwifi: fix debug TLV parsing (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix 32-bit build in FTM (Íñigo Huguet) [2043454] - iwlwifi: fix Bz NMI behaviour (Íñigo Huguet) [2043454] - rtlwifi: rtl8192cu: Fix WARNING when calling local_irq_restore() with interrupts enabled (Íñigo Huguet) [2043454] - rtw88: 8822c: update rx settings to prevent potential hw deadlock (Íñigo Huguet) [2043454] - rtw88: Disable PCIe ASPM while doing NAPI poll on 8821CE (Íñigo Huguet) [2043454] - ath9k: Fix out-of-bound memcpy in ath9k_hif_usb_rx_stream (Íñigo Huguet) [2043454] - ath9k_htc: fix NULL pointer dereference at ath9k_htc_tx_get_packet() (Íñigo Huguet) [2043454] - ath9k_htc: fix NULL pointer dereference at ath9k_htc_rxep() (Íñigo Huguet) [2043454] - mac80211: fix FEC flag in radio tap header (Íñigo Huguet) [2043454] - mt76: mt7921: fix possible resume failure (Íñigo Huguet) [2043454] - mt76: mt7921: move mt76_connac_mcu_set_hif_suspend to bus-related files (Íñigo Huguet) [2043454] - mt76: mt7921: fix network buffer leak by txs missing (Íñigo Huguet) [2043454] - mt76: mt7615: clear mcu error interrupt status on mt7663 (Íñigo Huguet) [2043454] - mt76: connac: fix last_chan configuration in mt76_connac_mcu_rate_txpower_band (Íñigo Huguet) [2043454] - mt76: mt7921: use correct iftype data on 6GHz cap init (Íñigo Huguet) [2043454] - mt76: mt7921: fix boolreturn.cocci warning (Íñigo Huguet) [2043454] - mt76: mt7615: fix unused tx antenna mask in testmode (Íñigo Huguet) [2043454] - mt76: fix the wiphy's available antennas to the correct value (Íñigo Huguet) [2043454] - mt76: connac: fix a theoretical NULL pointer dereference in mt76_connac_get_phy_mode (Íñigo Huguet) [2043454] - mt76: mt7921: fix possible NULL pointer dereference in mt7921_mac_write_txwi (Íñigo Huguet) [2043454] - mt76: fix possible OOB issue in mt76_calculate_default_rate (Íñigo Huguet) [2043454] - mt76: debugfs: fix queue reporting for mt76-usb (Íñigo Huguet) [2043454] - mt76: mt7915: fix SMPS operation fail (Íñigo Huguet) [2043454] - mt76: mt7915: fix return condition in mt7915_tm_reg_backup_restore() (Íñigo Huguet) [2043454] - mt76: mt7921: fix MT7921E reset failure (Íñigo Huguet) [2043454] - mt76: mt7615: fix possible deadlock while mt7615_register_ext_phy() (Íñigo Huguet) [2043454] - mt76: mt7921: drop offload_flags overwritten (Íñigo Huguet) [2043454] - mt76: mt7915: get rid of mt7915_mcu_set_fixed_rate routine (Íñigo Huguet) [2043454] - bus: mhi: core: Use correctly sized arguments for bit field (Íñigo Huguet) [2043454] - bus: mhi: core: Fix race while handling SYS_ERR at power up (Íñigo Huguet) [2043454] - bus: mhi: core: Fix reading wake_capable channel configuration (Íñigo Huguet) [2043454] - bus: mhi: core: Minor style and comment fixes (Íñigo Huguet) [2043454] - bus: mhi: pci_generic: Graceful shutdown on freeze (Íñigo Huguet) [2043454] - ath11k: Fix a NULL pointer dereference in ath11k_mac_op_hw_scan() (Íñigo Huguet) [2043454] - mt76: remove variable set but not used (Íñigo Huguet) [2043454] - ath11k: Fix deleting uninitialized kernel timer during fragment cache flush (Íñigo Huguet) [2043454] - ath11k: add spectral/CFR buffer validation support (Íñigo Huguet) [2043454] - ath11k: Fix buffer overflow when scanning with extraie (Íñigo Huguet) [2043454] - mwifiex: Fix possible ABBA deadlock (Íñigo Huguet) [2043454] - ath10k: fix scan abort when duration is set for hw scan (Íñigo Huguet) [2043454] - ath10k: Fix tx hanging (Íñigo Huguet) [2043454] - iwlwifi: Fix FW name for gl (Íñigo Huguet) [2043454] - iwlwifi: add support for Bz-Z HW (Íñigo Huguet) [2043454] - iwlwifi: acpi: fix wgds rev 3 size (Íñigo Huguet) [2043454] - iwlwifi: mvm: avoid clearing a just saved session protection id (Íñigo Huguet) [2043454] - iwlwifi: mvm: Fix wrong documentation for scan request command (Íñigo Huguet) [2043454] - iwlwifi: mvm: synchronize with FW after multicast commands (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix delBA vs. NSSN queue sync race (Íñigo Huguet) [2043454] - ath11k: Fix QMI file type enum value (Íñigo Huguet) [2043454] - ath11k: add support for WCN6855 hw2.1 (Íñigo Huguet) [2043454] - ath10k: Fix the MTU size on QCA9377 SDIO (Íñigo Huguet) [2043454] - wireless: iwlwifi: Fix a double free in iwl_txq_dyn_alloc_dma (Íñigo Huguet) [2043454] - mwifiex: Ignore BTCOEX events from the 88W8897 firmware (Íñigo Huguet) [2043454] - mwifiex: Fix skb_over_panic in mwifiex_usb_recv() (Íñigo Huguet) [2043454] - ath11k: Use host CE parameters for CE interrupts configuration (Íñigo Huguet) [2043454] - ath11k: Fix mon status ring rx tlv processing (Íñigo Huguet) [2043454] - ath11k: skip sending vdev down for channel switch (Íñigo Huguet) [2043454] - ath11k: fix read fail for htt_stats and htt_peer_stats for single pdev (Íñigo Huguet) [2043454] - ath11k: Set IRQ affinity to CPU0 in case of one MSI vector (Íñigo Huguet) [2043454] - ath11k: do not restore ASPM in case of single MSI vector (Íñigo Huguet) [2043454] - ath11k: add support one MSI vector (Íñigo Huguet) [2043454] - ath11k: refactor multiple MSI vector implementation (Íñigo Huguet) [2043454] - ath11k: use ATH11K_PCI_IRQ_DP_OFFSET for DP IRQ (Íñigo Huguet) [2043454] - ath11k: add CE and ext IRQ flag to indicate irq_handler (Íñigo Huguet) [2043454] - ath11k: get msi_data again after request_irq is called (Íñigo Huguet) [2043454] - ath9k: fix intr_txqs setting (Íñigo Huguet) [2043454] - ath11k: add hw_param for wakeup_mhi (Íñigo Huguet) [2043454] - ath11k: reset RSN/WPA present state for open BSS (Íñigo Huguet) [2043454] - ath11k: clear the keys properly via DISABLE_KEY (Íñigo Huguet) [2043454] - ath11k: Fix crash caused by uninitialized TX ring (Íñigo Huguet) [2043454] - ath11k: use cache line aligned buffers for dbring (Íñigo Huguet) [2043454] - ath11k: Fix ETSI regd with weather radar overlap (Íñigo Huguet) [2043454] - ath11k: fix FCS_ERR flag in radio tap header (Íñigo Huguet) [2043454] - ath11k: fix the value of msecs_to_jiffies in ath11k_debugfs_fw_stats_request (Íñigo Huguet) [2043454] - ath11k: fix firmware crash during channel switch (Íñigo Huguet) [2043454] - ath11k: Fix 'unused-but-set-parameter' error (Íñigo Huguet) [2043454] - ath11k: fix DMA memory free in CE pipe cleanup (Íñigo Huguet) [2043454] - ath11k: fix error routine when fallback of add interface fails (Íñigo Huguet) [2043454] - ath11k: fix fw crash due to peer get authorized before key install (Íñigo Huguet) [2043454] - ath11k: Clear auth flag only for actual association in security mode (Íñigo Huguet) [2043454] - ath11k: Send PPDU_STATS_CFG with proper pdev mask to firmware (Íñigo Huguet) [2043454] - ath11k: set correct NL80211_FEATURE_DYNAMIC_SMPS for WCN6855 (Íñigo Huguet) [2043454] - mac80211: mesh: embedd mesh_paths and mpp_paths into ieee80211_if_mesh (Íñigo Huguet) [2043454] - mac80211: initialize variable have_higher_than_11mbit (Íñigo Huguet) [2043454] - mac80211: fix locking in ieee80211_start_ap error path (Íñigo Huguet) [2043454] - mac80211: do drv_reconfig_complete() before restarting all (Íñigo Huguet) [2043454] - mac80211: mark TX-during-stop for TX in in_reconfig (Íñigo Huguet) [2043454] - mac80211: update channel context before station state (Íñigo Huguet) [2043454] - mac80211: Fix the size used for building probe request (Íñigo Huguet) [2043454] - mac80211: fix lookup when adding AddBA extension element (Íñigo Huguet) [2043454] - mac80211: validate extended element ID is present (Íñigo Huguet) [2043454] - cfg80211: Acquire wiphy mutex on regulatory work (Íñigo Huguet) [2043454] - mac80211: agg-tx: don't schedule_and_wake_txq() under sta->lock (Íñigo Huguet) [2043454] - nl80211: remove reload flag from regulatory_request (Íñigo Huguet) [2043454] - mac80211: send ADDBA requests using the tid/queue of the aggregation session (Íñigo Huguet) [2043454] - bus: mhi: core: Add support for forced PM resume (Íñigo Huguet) [2043454] - mt76: mt7921: fix build regression (Íñigo Huguet) [2043454] - brcmsmac: rework LED dependencies (Íñigo Huguet) [2043454] - iwlwifi: fix LED dependencies (Íñigo Huguet) [2043454] - iwlwifi: mvm: don't crash on invalid rate w/o STA (Íñigo Huguet) [2043454] - bus: mhi: pci_generic: Fix device recovery failed issue (Íñigo Huguet) [2043454] - mt76: fix key pointer overwrite in mt7921s_write_txwi/mt7663_usb_sdio_write_txwi (Íñigo Huguet) [2043454] - rt2x00: do not mark device gone on EPROTO errors during start (Íñigo Huguet) [2043454] - nl80211: reset regdom when reloading regdb (Íñigo Huguet) [2043454] - mac80211: add docs for ssn in struct tid_ampdu_tx (Íñigo Huguet) [2043454] - mac80211: fix a memory leak where sta_info is not freed (Íñigo Huguet) [2043454] - mac80211: set up the fwd_skb->dev for mesh forwarding (Íñigo Huguet) [2043454] - mac80211: fix regression in SSN handling of addba tx (Íñigo Huguet) [2043454] - mac80211: fix rate control for retransmitted frames (Íñigo Huguet) [2043454] - mac80211: track only QoS data frames for admission control (Íñigo Huguet) [2043454] - mac80211: fix TCP performance on mesh interface (Íñigo Huguet) [2043454] - mt76: fix possible pktid leak (Íñigo Huguet) [2043454] - mt76: fix timestamp check in tx_status (Íñigo Huguet) [2043454] - mt76: mt7915: fix NULL pointer dereference in mt7915_get_phy_mode (Íñigo Huguet) [2043454] - rtw89: update partition size of firmware header on skb->data (Íñigo Huguet) [2043454] - iwlwifi: Fix memory leaks in error handling path (Íñigo Huguet) [2043454] - iwlwifi: fix warnings produced by kernel debug options (Íñigo Huguet) [2043454] - iwlwifi: mvm: retry init flow if failed (Íñigo Huguet) [2043454] - iwlwifi: Fix missing error code in iwl_pci_probe() (Íñigo Huguet) [2043454] - iwlwifi: pcie: fix constant-conversion warning (Íñigo Huguet) [2043454] - mac80211: fix throughput LED trigger (Íñigo Huguet) [2043454] - mac80211: fix monitor_sdata RCU/locking assertions (Íñigo Huguet) [2043454] - mac80211: drop check for DONT_REORDER in __ieee80211_select_queue (Íñigo Huguet) [2043454] - mac80211: fix radiotap header generation (Íñigo Huguet) [2043454] - mac80211: do not access the IV when it was stripped (Íñigo Huguet) [2043454] - nl80211: fix radio statistics in survey dump (Íñigo Huguet) [2043454] - cfg80211: call cfg80211_stop_ap when switch from P2P_GO type (Íñigo Huguet) [2043454] - mwifiex: fix division by zero in fw download path (Íñigo Huguet) [2043454] - iwlwifi: bump FW API to 67 for AX devices (Íñigo Huguet) [2043454] - iwlwifi: mvm: extend session protection on association (Íñigo Huguet) [2043454] - iwlwifi: rename CHANNEL_SWITCH_NOA_NOTIF to CHANNEL_SWITCH_START_NOTIF (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove session protection on disassoc (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix WGDS table print in iwl_mvm_chub_update_mcc() (Íñigo Huguet) [2043454] - iwlwifi: rename GEO_TX_POWER_LIMIT to PER_CHAIN_LIMIT_OFFSET_CMD (Íñigo Huguet) [2043454] - iwlwifi: mvm: d3: use internal data representation (Íñigo Huguet) [2043454] - iwlwifi: mvm: update RFI TLV (Íñigo Huguet) [2043454] - iwlwifi: mvm: don't get address of mvm->fwrt just to dereference as a pointer (Íñigo Huguet) [2043454] - iwlwifi: yoyo: support for ROM usniffer (Íñigo Huguet) [2043454] - iwlwifi: fw: uefi: add missing include guards (Íñigo Huguet) [2043454] - iwlwifi: dump host monitor data when NIC doesn't init (Íñigo Huguet) [2043454] - iwlwifi: pcie: simplify iwl_pci_find_dev_info() (Íñigo Huguet) [2043454] - iwlwifi: ACPI: support revision 3 WGDS tables (Íñigo Huguet) [2043454] - iwlwifi: pcie: update sw error interrupt for BZ family (Íñigo Huguet) [2043454] - iwlwifi: add new pci SoF with JF (Íñigo Huguet) [2043454] - iwlwifi: mvm: Use all Rx chains for roaming scan (Íñigo Huguet) [2043454] - iwlwifi: pcie: remove two duplicate PNJ device entries (Íñigo Huguet) [2043454] - iwlwifi: pcie: refactor dev_info lookup (Íñigo Huguet) [2043454] - iwlwifi: pcie: remove duplicate entry (Íñigo Huguet) [2043454] - iwlwifi: pcie: fix killer name matching for AX200 (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix some kerneldoc issues (Íñigo Huguet) [2043454] - ath10k: fix division by zero in send path (Íñigo Huguet) [2043454] - ath10k: fix control-message timeout (Íñigo Huguet) [2043454] - rtw89: Fix variable dereferenced before check 'sta' (Íñigo Huguet) [2043454] - rtw89: fix return value in hfc_pub_cfg_chk (Íñigo Huguet) [2043454] - rtw89: remove duplicate register definitions (Íñigo Huguet) [2043454] - rtw89: fix error function parameter (Íñigo Huguet) [2043454] - ath10k: fix module load regression with iram-recovery feature (Íñigo Huguet) [2043454] - ath10k: fix invalid dma_addr_t token assignment (Íñigo Huguet) [2043454] - ath11k: change return buffer manager for QCA6390 (Íñigo Huguet) [2043454] - mt76: connac: fix unresolved symbols when CONFIG_PM is unset (Íñigo Huguet) [2043454] - mt76: Make use of the helper macro kthread_run() (Íñigo Huguet) [2043454] - mt76: Print error message when reading EEPROM from mtd failed (Íñigo Huguet) [2043454] - mt76: mt7921: disable 4addr capability (Íñigo Huguet) [2043454] - mt76: mt7915: add debugfs knobs for MCU utilization (Íñigo Huguet) [2043454] - mt76: mt7915: add WA firmware log support (Íñigo Huguet) [2043454] - mt76: mt7915: fix endiannes warning mt7915_mcu_beacon_check_caps (Íñigo Huguet) [2043454] - mt76: mt7915: rework debugfs fixed-rate knob (Íñigo Huguet) [2043454] - mt76: mt7915: rework .set_bitrate_mask() to support more options (Íñigo Huguet) [2043454] - mt76: mt7915: remove mt7915_mcu_add_he() (Íñigo Huguet) [2043454] - mt76: mt7615: apply cached RF data for DBDC (Íñigo Huguet) [2043454] - mt76: mt7615: mt7622: fix ibss and meshpoint (Íñigo Huguet) [2043454] - mt76: mt7921: fix Wformat build warning (Íñigo Huguet) [2043454] - mt76: mt7921: fix mt7921s Kconfig (Íñigo Huguet) [2043454] - iwlwifi: pnvm: print out the version properly (Íñigo Huguet) [2043454] - iwlwifi: dbg: treat non active regions as unsupported regions (Íñigo Huguet) [2043454] - iwlwifi: mvm: Read acpi dsm to get channel activation bitmap (Íñigo Huguet) [2043454] - iwlwifi: add new device id 7F70 (Íñigo Huguet) [2043454] - iwlwifi: mvm: improve log when processing CSA (Íñigo Huguet) [2043454] - iwlwifi: mvm: set BT-coex high priority for 802.1X/4-way-HS (Íñigo Huguet) [2043454] - iwlwifi: dbg: treat dbgc allocation failure when tlv is missing (Íñigo Huguet) [2043454] - iwlwifi: add new killer devices to the driver (Íñigo Huguet) [2043454] - iwlwifi: mvm: set inactivity timeouts also for PS-poll (Íñigo Huguet) [2043454] - iwlwifi: pcie: try to grab NIC access early (Íñigo Huguet) [2043454] - iwlwifi: mvm: reduce WARN_ON() in TX status path (Íñigo Huguet) [2043454] - iwlwifi: allow rate-limited error messages (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove session protection after auth/assoc (Íñigo Huguet) [2043454] - iwlwifi: remove redundant iwl_finish_nic_init() argument (Íñigo Huguet) [2043454] - iwlwifi: mvm: Add RTS and CTS flags to iwl_tx_cmd_flags. (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove csi from iwl_mvm_pass_packet_to_mac80211() (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new rate_n_flags for REPLY_RX_MPDU_CMD and RX_NO_DATA_NOTIF (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new TX_RSP and COMPRESSED_BA_RES versions (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new version of BEACON_TEMPLATE_CMD. (Íñigo Huguet) [2043454] - iwlwifi: mvm: Add support for new rate_n_flags in tx_cmd. (Íñigo Huguet) [2043454] - iwlwifi: BZ Family SW reset support (Íñigo Huguet) [2043454] - iwlwifi: BZ Family BUS_MASTER_DISABLE_REQ code duplication (Íñigo Huguet) [2043454] - iwlwifi: yoyo: fw debug config from context info and preset (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new version of ranging response notification (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support version 3 of tlc_update_notif. (Íñigo Huguet) [2043454] - iwlwifi: mvm: convert old rate & flags to the new format. (Íñigo Huguet) [2043454] - iwlwifi: mvm: add definitions for new rate & flags (Íñigo Huguet) [2043454] - iwlwifi: mvm: update definitions due to new rate & flags (Íñigo Huguet) [2043454] - iwlwifi: mvm: scrub key material in firmware dumps (Íñigo Huguet) [2043454] - iwlwifi: parse debug exclude data from firmware file (Íñigo Huguet) [2043454] - iwlwifi: fw dump: add infrastructure for dump scrubbing (Íñigo Huguet) [2043454] - iwlwifi: mvm: correct sta-state logic for TDLS (Íñigo Huguet) [2043454] - iwlwifi: api: fix struct iwl_wowlan_status_v7 kernel-doc (Íñigo Huguet) [2043454] - iwlwifi: fix fw/img.c license statement (Íñigo Huguet) [2043454] - iwlwifi: remove contact information (Íñigo Huguet) [2043454] - iwlwifi: remove MODULE_AUTHOR() statements (Íñigo Huguet) [2043454] - iwlwifi: api: remove unused RX status bits (Íñigo Huguet) [2043454] - iwlwifi: add some missing kernel-doc in struct iwl_fw (Íñigo Huguet) [2043454] - iwlwifi: mvm: Remove antenna c references (Íñigo Huguet) [2043454] - iwlwifi: mvm: add support for 160Mhz in ranging measurements (Íñigo Huguet) [2043454] - iwlwifi: add vendor specific capabilities for some RFs (Íñigo Huguet) [2043454] - iwlwifi: mvm: add lmac/umac PC info in case of error (Íñigo Huguet) [2043454] - iwlwifi: mvm: disable RX-diversity in powersave (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix ieee80211_get_he_iftype_cap() iftype (Íñigo Huguet) [2043454] - iwlwifi: Start scratch debug register for Bz family (Íñigo Huguet) [2043454] - iwlwifi: Add support for more BZ HWs (Íñigo Huguet) [2043454] - iwlwifi: Add support for getting rf id with blank otp (Íñigo Huguet) [2043454] - cfg80211: fix kernel-doc for MBSSID EMA (Íñigo Huguet) [2043454] - mac80211: Prevent AP probing during suspend (Íñigo Huguet) [2043454] - nl80211: Add LC placeholder band definition to nl80211_band (Íñigo Huguet) [2043454] - mac80211: split beacon retrieval functions (Íñigo Huguet) [2043454] - cfg80211: separate get channel number from ies (Íñigo Huguet) [2043454] - mac80211: use ieee80211_bss_get_elem() in most places (Íñigo Huguet) [2043454] - cfg80211: scan: use element finding functions in easy cases (Íñigo Huguet) [2043454] - nl80211: use element finding functions (Íñigo Huguet) [2043454] - mac80211: fils: use cfg80211_find_ext_elem() (Íñigo Huguet) [2043454] - mac80211: fix memory leaks with element parsing (Íñigo Huguet) [2043454] - cfg80211: prepare for const netdev->dev_addr (Íñigo Huguet) [2043454] - mac80211: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - wireless: mac80211_hwsim: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - mac80211: debugfs: calculate free buffer size correctly (Íñigo Huguet) [2043454] - fq_codel: generalise ce_threshold marking for subset of traffic (Íñigo Huguet) [2043454] - wl3501_cs: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - ray_cs: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - wilc1000: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - brcmfmac: prepare for const netdev->dev_addr (Íñigo Huguet) [2043454] - wireless: use eth_hw_addr_set() instead of ether_addr_copy() (Íñigo Huguet) [2043454] - wireless: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - iwlwifi: cfg: set low-latency-xtal for some integrated So devices (Íñigo Huguet) [2043454] - iwlwifi: pnvm: read EFI data only if long enough (Íñigo Huguet) [2043454] - iwlwifi: pnvm: don't kmemdup() more than we have (Íñigo Huguet) [2043454] - iwlwifi: change all JnP to NO-160 configuration (Íñigo Huguet) [2043454] - iwlwifi: mvm: reset PM state on unsuccessful resume (Íñigo Huguet) [2043454] - mwifiex: Deactive host sleep using HSCFG after it was activated manually (Íñigo Huguet) [2043454] - mwifiex: Send DELBA requests according to spec (Íñigo Huguet) [2043454] - mwifiex: Fix an incorrect comment (Íñigo Huguet) [2043454] - mwifiex: Log an error on command failure during key-material upload (Íñigo Huguet) [2043454] - mwifiex: Don't log error on suspend if wake-on-wlan is disabled (Íñigo Huguet) [2043454] - rtw89: remove unneeded semicolon (Íñigo Huguet) [2043454] - rtw89: fix return value check in rtw89_cam_send_sec_key_cmd() (Íñigo Huguet) [2043454] - mt76: mt7915: change max rx len limit of hw modules (Íñigo Huguet) [2043454] - mt76: mt7915: fix missing HE phy cap (Íñigo Huguet) [2043454] - mt76: mt7915: rework mt7915_mcu_sta_muru_tlv() (Íñigo Huguet) [2043454] - mt76: mt7915: enable HE UL MU-MIMO (Íñigo Huguet) [2043454] - mt76: mt7921: add per-vif counters in ethtool (Íñigo Huguet) [2043454] - mt76: mt7921: move tx amsdu stats in mib_stats (Íñigo Huguet) [2043454] - mt76: mt7921: add sta stats accounting in mt7921_mac_add_txs_skb (Íñigo Huguet) [2043454] - mt76: mt7921: introduce stats reporting through ethtool (Íñigo Huguet) [2043454] - mt76: mt7921: add some more MIB counters (Íñigo Huguet) [2043454] - mt76: do not reset MIB counters in get_stats callback (Íñigo Huguet) [2043454] - mt76: mt7915: move tx amsdu stats in mib_stats (Íñigo Huguet) [2043454] - mt76: mt7915: run mt7915_get_et_stats holding mt76 mutex (Íñigo Huguet) [2043454] - mt76: move mt76_ethtool_worker_info in mt76 module (Íñigo Huguet) [2043454] - mt76: move mt76_sta_stats in mt76.h (Íñigo Huguet) [2043454] - mt76: mt76x0: correct VHT MCS 8/9 tx power eeprom offset (Íñigo Huguet) [2043454] - mt76: mt7921s: add reset support (Íñigo Huguet) [2043454] - mt76: mt7921: introduce mt7921s support (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mt7921_mcu_send_message (Íñigo Huguet) [2043454] - mt76: mt7921: rely on mcu_get_nic_capability (Íñigo Huguet) [2043454] - mt76: connac: extend mcu_get_nic_capability (Íñigo Huguet) [2043454] - mt76: sdio: extend sdio module to support CONNAC2 (Íñigo Huguet) [2043454] - mt76: sdio: introduce parse_irq callback (Íñigo Huguet) [2043454] - mt76: sdio: move common code in mt76_sdio module (Íñigo Huguet) [2043454] - mt76: mt7921: use physical addr to unify register access (Íñigo Huguet) [2043454] - mt76: mt7921: make all event parser reusable between mt7921s and mt7921e (Íñigo Huguet) [2043454] - mt76: mt7663s: rely on mcu reg access utility (Íñigo Huguet) [2043454] - mt76: connac: move mcu reg access utility routines in mt76_connac_lib module (Íñigo Huguet) [2043454] - mt76: mt7921: add MT7921_COMMON module (Íñigo Huguet) [2043454] - mt76: mt7921: refactor init.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mcu.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: refactor dma.c to be pcie specific (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mac.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: add MU EDCA cmd support (Íñigo Huguet) [2043454] - mt76: mt7915: remove dead code in debugfs code (Íñigo Huguet) [2043454] - mt76: sdio: export mt76s_alloc_rx_queue and mt76s_alloc_tx routines (Íñigo Huguet) [2043454] - mt76: mt7915: improve code readability for xmit-queue handler (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt76 debugfs sub-dir for ext-phy (Íñigo Huguet) [2043454] - mt76: rely on phy pointer in mt76_register_debugfs_fops routine signature (Íñigo Huguet) [2043454] - mt76: mt7915: set muru platform type (Íñigo Huguet) [2043454] - mt76: mt7915: set VTA bit in tx descriptor (Íñigo Huguet) [2043454] - mt76: mt7915: fix muar_idx in mt7915_mcu_alloc_sta_req() (Íñigo Huguet) [2043454] - mt76: mt7915: rework starec TLV tags (Íñigo Huguet) [2043454] - mt76: mt7915: fix sta_rec_wtbl tag len (Íñigo Huguet) [2043454] - mt76: mt7915: improve starec readability of txbf (Íñigo Huguet) [2043454] - mt76: mt7915: fix txbf starec TLV issues (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2043454] - mt76: connac: fix possible NULL pointer dereference in mt76_connac_get_phy_mode_v2 (Íñigo Huguet) [2043454] - mt76: do not access 802.11 header in ccmp check for 802.3 rx skbs (Íñigo Huguet) [2043454] - mt76: use a separate CCMP PN receive counter for management frames (Íñigo Huguet) [2043454] - mt76: mt7921: add delay config for sched scan (Íñigo Huguet) [2043454] - mt76: mt7615: fix monitor mode tear down crash (Íñigo Huguet) [2043454] - mt76: debugfs: improve queue node readability (Íñigo Huguet) [2043454] - mt76: mt7915: add twt_stats knob in debugfs (Íñigo Huguet) [2043454] - mt76: mt7915: enable twt responder capability (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mac_add_twt_setup routine (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mcu_twt_agrt_update mcu command (Íñigo Huguet) [2043454] - mt76: mt7915: introduce __mt7915_get_tsf routine (Íñigo Huguet) [2043454] - mt76: drop MCU header size from buffer size in __mt76_mcu_send_firmware (Íñigo Huguet) [2043454] - mt76: introduce __mt76_mcu_send_firmware routine (Íñigo Huguet) [2043454] - mt76: support reading EEPROM data embedded in fdt (Íñigo Huguet) [2043454] - mt76: schedule status timeout at dma completion (Íñigo Huguet) [2043454] - mt76: substitute sk_buff_head status_list with spinlock_t status_lock (Íñigo Huguet) [2043454] - mt76: remove mt76_wcid pointer from mt76_tx_status_check signature (Íñigo Huguet) [2043454] - mt76: introduce packet_id idr (Íñigo Huguet) [2043454] - mt76: mt7921: add 6GHz support (Íñigo Huguet) [2043454] - mt76: add 6GHz support (Íñigo Huguet) [2043454] - mt76: connac: enable hw amsdu @ 6GHz (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_uni_add_bss (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_sta_tlv (Íñigo Huguet) [2043454] - mt76: connac: set 6G phymode in single-sku support (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_set_channel_domain (Íñigo Huguet) [2043454] - mt76: connac: enable 6GHz band for hw scan (Íñigo Huguet) [2043454] - mt76: connac: set 6G phymode in mt76_connac_get_phy_mode{,v2} (Íñigo Huguet) [2043454] - mt76: mt7915: add mib counters to ethtool stats (Íñigo Huguet) [2043454] - mt76: mt7915: add more MIB registers (Íñigo Huguet) [2043454] - mt76: mt7915: add tx mu/su counters to mib (Íñigo Huguet) [2043454] - mt76: mt7915: add some per-station tx stats to ethtool (Íñigo Huguet) [2043454] - mt76: mt7915: add tx stats gathered from tx-status callbacks (Íñigo Huguet) [2043454] - mt76: mt7915: add ethtool stats support (Íñigo Huguet) [2043454] - mt76: mt7921: fix retrying release semaphore without end (Íñigo Huguet) [2043454] - mt76: mt7921: robustify hardware initialization flow (Íñigo Huguet) [2043454] - mt76: mt7915: fix possible infinite loop release semaphore (Íñigo Huguet) [2043454] - mt76: mt7915: honor all possible error conditions in mt7915_mcu_init() (Íñigo Huguet) [2043454] - mt76: move spin_lock_bh to spin_lock in tasklet (Íñigo Huguet) [2043454] - mt76: mt7921: remove mt7921_sta_stats (Íñigo Huguet) [2043454] - mt76: mt7921: remove mcu rate reporting code (Íñigo Huguet) [2043454] - mt76: mt7921: report tx rate directly from tx status (Íñigo Huguet) [2043454] - mt76: mt7921: add support for tx status reporting (Íñigo Huguet) [2043454] - mt76: mt7921: start reworking tx rate reporting (Íñigo Huguet) [2043454] - mt76: mt7615: fix hwmon temp sensor mem use-after-free (Íñigo Huguet) [2043454] - mt76: mt7915: fix hwmon temp sensor mem use-after-free (Íñigo Huguet) [2043454] - mt76: mt7915: enable configured beacon tx rate (Íñigo Huguet) [2043454] - mt76: disable BH around napi_schedule() calls (Íñigo Huguet) [2043454] - mt76: fill boottime_ns in Rx path (Íñigo Huguet) [2043454] - mt76: switch from 'pci_' to 'dma_' API (Íñigo Huguet) [2043454] - mt76: fix boolreturn.cocci warnings (Íñigo Huguet) [2043454] - mt76: mt7921: update mib counters dumping phy stats (Íñigo Huguet) [2043454] - mt76: mt7921: always wake device if necessary in debugfs (Íñigo Huguet) [2043454] - mt76: mt7915: rename debugfs tx-queues (Íñigo Huguet) [2043454] - mt76: mt7915: rework debugfs queue info (Íñigo Huguet) [2043454] - mt76: mt7921: move mt7921_queue_rx_skb to mac.c (Íñigo Huguet) [2043454] - mt76: mt7915: fix WMM index on DBDC cards (Íñigo Huguet) [2043454] - mt76: mt7915: improve code readability in mt7915_mcu_sta_bfer_ht (Íñigo Huguet) [2043454] - mt76: mt7915: introduce bss coloring support (Íñigo Huguet) [2043454] - mt76: mt7915: add LED support (Íñigo Huguet) [2043454] - mt76: mt7915: fix potential NPE in TXS processing (Íñigo Huguet) [2043454] - mt76: mt7915: fix he_mcs capabilities for 160mhz (Íñigo Huguet) [2043454] - mt76: use IEEE80211_OFFLOAD_ENCAP_ENABLED instead of MT_DRV_AMSDU_OFFLOAD (Íñigo Huguet) [2043454] - mt76: mt7915: update mac timing settings (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness warnings in mt7921_mac_decode_he_mu_radiotap (Íñigo Huguet) [2043454] - mt76: mt7921: fix kernel warning from cfg80211_calculate_bitrate (Íñigo Huguet) [2043454] - mt76: mt7921: fix firmware usage of RA info using legacy rates (Íñigo Huguet) [2043454] - mt76: mt7915: add HE-LTF into fixed rate command (Íñigo Huguet) [2043454] - mt76: mt7921: report HE MU radiotap (Íñigo Huguet) [2043454] - mt76: mt7615: move mt7615_mcu_set_p2p_oppps in mt76_connac module (Íñigo Huguet) [2043454] - mt76: overwrite default reg_ops if necessary (Íñigo Huguet) [2043454] - mt76: mt7921: introduce mt7921_mcu_set_beacon_filter utility routine (Íñigo Huguet) [2043454] - mt76: mt7921: get rid of mt7921_mac_set_beacon_filter (Íñigo Huguet) [2043454] - mt76: mt7921: get rid of monitor_vif (Íñigo Huguet) [2043454] - mt76: connac: add support for limiting to maximum regulatory Tx power (Íñigo Huguet) [2043454] - mt76: connac: fix GTK rekey offload failure on WPA mixed mode (Íñigo Huguet) [2043454] - mt76: mt7921: fix dma hang in rmmod (Íñigo Huguet) [2043454] - mt76: add support for setting mcast rate (Íñigo Huguet) [2043454] - mt76: mt7915: fix bit fields for HT rate idx (Íñigo Huguet) [2043454] - mt76: mt7915: switch proper tx arbiter mode in testmode (Íñigo Huguet) [2043454] - mt76: mt7915: fix potential overflow of eeprom page index (Íñigo Huguet) [2043454] - mt76: mt7921: send EAPOL frames at lowest rate (Íñigo Huguet) [2043454] - mt76: mt7915: send EAPOL frames at lowest rate (Íñigo Huguet) [2043454] - mt76: mt7915: add control knobs for thermal throttling (Íñigo Huguet) [2043454] - mt76: mt7921: Add mt7922 support (Íñigo Huguet) [2043454] - mt76: mt7615: fix skb use-after-free on mac reset (Íñigo Huguet) [2043454] - mt76: mt7921: Fix out of order process by invalid event pkt (Íñigo Huguet) [2043454] - mt76: mt7915: fix mgmt frame using unexpected bitrate (Íñigo Huguet) [2043454] - mt76: mt7921: fix mgmt frame using unexpected bitrate (Íñigo Huguet) [2043454] - mt76: add mt76_default_basic_rate more devices can rely on (Íñigo Huguet) [2043454] - mt76: mt7921: introduce testmode support (Íñigo Huguet) [2043454] - mt76: mt7915: remove mt7915_sta_stats (Íñigo Huguet) [2043454] - mt76: mt7915: report tx rate directly from tx status (Íñigo Huguet) [2043454] - mt76: mt7915: cleanup -Wunused-but-set-variable (Íñigo Huguet) [2043454] - mt76: mt7915: take RCU read lock when calling ieee80211_bss_get_elem() (Íñigo Huguet) [2043454] - mt76: mt7915: fix an off-by-one bound check (Íñigo Huguet) [2043454] - mt76: mt7921: add .set_sar_specs support (Íñigo Huguet) [2043454] - mt76: mt7915: adapt new firmware to update BA winsize for Rx session (Íñigo Huguet) [2043454] - mt76: mt7915: report HE MU radiotap (Íñigo Huguet) [2043454] - mt76: mt76x02: fix endianness warnings in mt76x02_mac.c (Íñigo Huguet) [2043454] - mt76: mt7921: fix survey-dump reporting (Íñigo Huguet) [2043454] - mt76: fix build error implicit enumeration conversion (Íñigo Huguet) [2043454] - mt76: mt7921: enable aspm by default (Íñigo Huguet) [2043454] - mt76: connac: fix mt76_connac_gtk_rekey_tlv usage (Íñigo Huguet) [2043454] - mt76: mt7915: fix calling mt76_wcid_alloc with incorrect parameter (Íñigo Huguet) [2043454] - mt76: mt7915: fix info leak in mt7915_mcu_set_pre_cal() (Íñigo Huguet) [2043454] - mt76: mt7615: fix endianness warning in mt7615_mac_write_txwi (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness warning in mt7921_update_txs (Íñigo Huguet) [2043454] - mt76: mt7915: fix endianness warning in mt7915_mac_add_txs_skb (Íñigo Huguet) [2043454] - mt76: mt7921: avoid unnecessary spin_lock/spin_unlock in mt7921_mcu_tx_done_event (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness in mt7921_mcu_tx_done_event (Íñigo Huguet) [2043454] - rtw89: Remove redundant check of ret after call to rtw89_mac_enable_bb_rf (Íñigo Huguet) [2043454] - rtw89: Fix two spelling mistakes in debug messages (Íñigo Huguet) [2043454] - mwifiex: Try waking the firmware until we get an interrupt (Íñigo Huguet) [2043454] - mwifiex: Read a PCI register after writing the TX ring write pointer (Íñigo Huguet) [2043454] - fq_codel: implement L4S style ce_threshold_ect1 marking (Íñigo Huguet) [2043454] - net: add skb_get_dsfield() helper (Íñigo Huguet) [2043454] - wireless: Remove redundant 'flush_workqueue()' calls (Íñigo Huguet) [2043454] - mt7601u: Remove redundant initialization of variable ret (Íñigo Huguet) [2043454] - rtlwifi: rtl8192ee: Remove redundant initialization of variable version (Íñigo Huguet) [2043454] - rtw89: add Realtek 802.11ax driver (Íñigo Huguet) [2043454] - ath10k: fix max antenna gain unit (Íñigo Huguet) [2043454] - ath9k: fix an IS_ERR() vs NULL check (Íñigo Huguet) [2043454] - ath11k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2043454] - ath9k: support DT ieee80211-freq-limit property to limit channels (Íñigo Huguet) [2043454] - ath11k: Change number of TCL rings to one for QCA6390 (Íñigo Huguet) [2043454] - ath11k: Handle MSI enablement during rmmod and SSR (Íñigo Huguet) [2043454] - ath: dfs_pattern_detector: Fix possible null-pointer dereference in channel_detector_create() (Íñigo Huguet) [2043454] - ath11k: Use kcalloc() instead of kzalloc() (Íñigo Huguet) [2043454] - ath11k: Remove redundant assignment to variable fw_size (Íñigo Huguet) [2043454] - ath11k: fix m68k and xtensa build failure in ath11k_peer_assoc_h_smps() (Íñigo Huguet) [2043454] - ath11k: Fix spelling mistake "incompaitiblity" -> "incompatibility" (Íñigo Huguet) [2043454] - ath11k: Remove unused variable in ath11k_dp_rx_mon_merg_msdus() (Íñigo Huguet) [2043454] - ath9k: Fix potential interrupt storm on queue reset (Íñigo Huguet) [2043454] - ath9k: add option to reset the wifi chip via debugfs (Íñigo Huguet) [2043454] - ath10k: Don't always treat modem stop events as crashes (Íñigo Huguet) [2043454] - rtl8xxxu: Use lower tx rates for the ack packet (Íñigo Huguet) [2043454] - brcmfmac: Add DMI nvram filename quirk for Cyberbook T116 tablet (Íñigo Huguet) [2043454] - rtw88: refine fw_crash debugfs to show non-zero while triggering (Íñigo Huguet) [2043454] - rtw88: fix RX clock gate setting while fifo dump (Íñigo Huguet) [2043454] - net: qrtr: combine nameservice into main module (Íñigo Huguet) [2043454] - ath10k: sdio: Add missing BH locking around napi_schdule() (Íñigo Huguet) [2043454] - ath10k: Fix missing frame timestamp for beacon/probe-resp (Íñigo Huguet) [2043454] - ath10k: high latency fixes for beacon buffer (Íñigo Huguet) [2043454] - ath11k: Change DMA_FROM_DEVICE to DMA_TO_DEVICE when map reinjected packets (Íñigo Huguet) [2043454] - ath11k: support SMPS configuration for 6 GHz (Íñigo Huguet) [2043454] - ath11k: add 6 GHz params in peer assoc command (Íñigo Huguet) [2043454] - ieee80211: Add new A-MPDU factor macro for HE 6 GHz peer caps (Íñigo Huguet) [2043454] - ath11k: indicate to mac80211 scan complete with aborted flag for ATH11K_SCAN_STARTING state (Íñigo Huguet) [2043454] - ath11k: indicate scan complete for scan canceled when scan running (Íñigo Huguet) [2043454] - ath11k: add handler for scan event WMI_SCAN_EVENT_DEQUEUED (Íñigo Huguet) [2043454] - ath11k: add HTT stats support for new stats (Íñigo Huguet) [2043454] - ath11k: Change masking and shifting in htt stats (Íñigo Huguet) [2043454] - ath11k: Remove htt stats fixed size array usage (Íñigo Huguet) [2043454] - ath11k: Replace HTT_DBG_OUT with scnprintf (Íñigo Huguet) [2043454] - ath11k: Rename macro ARRAY_TO_STRING to PRINT_ARRAY_TO_BUF (Íñigo Huguet) [2043454] - ath11k: Fix memory leak in ath11k_qmi_driver_event_work (Íñigo Huguet) [2043454] - ath11k: Fix inaccessible debug registers (Íñigo Huguet) [2043454] - ath11k: Drop MSDU with length error in DP rx path (Íñigo Huguet) [2043454] - ath11k: copy cap info of 6G band under WMI_HOST_WLAN_5G_CAP for WCN6855 (Íñigo Huguet) [2043454] - ath11k: enable 6G channels for WCN6855 (Íñigo Huguet) [2043454] - ath11k: re-enable ht_cap/vht_cap for 5G band for WCN6855 (Íñigo Huguet) [2043454] - ath11k: fix survey dump collection in 6 GHz (Íñigo Huguet) [2043454] - ath11k: fix packet drops due to incorrect 6 GHz freq value in rx status (Íñigo Huguet) [2043454] - ath11k: add channel 2 into 6 GHz channel list (Íñigo Huguet) [2043454] - mac80211: MBSSID support in interface handling (Íñigo Huguet) [2043454] - ath11k: Add wmi peer create conf event in wmi_tlv_event_id (Íñigo Huguet) [2043454] - ath11k: Avoid "No VIF found" warning message (Íñigo Huguet) [2043454] - ath11k: Fix crash during firmware recovery on reo cmd ring access (Íñigo Huguet) [2043454] - ath11k: Assign free_vdev_map value before ieee80211_register_hw (Íñigo Huguet) [2043454] - ath11k: Add vdev start flag to disable hardware encryption (Íñigo Huguet) [2043454] - ath11k: Avoid race during regd updates (Íñigo Huguet) [2043454] - ath11k: Avoid reg rules update during firmware recovery (Íñigo Huguet) [2043454] - ath11k: Update pdev tx and rx firmware stats (Íñigo Huguet) [2043454] - ath11k: Fix pktlog lite rx events (Íñigo Huguet) [2043454] - ath11k: Add support for RX decapsulation offload (Íñigo Huguet) [2043454] - ath11k: fix some sleeping in atomic bugs (Íñigo Huguet) [2043454] - ath11k: qmi: avoid error messages when dma allocation fails (Íñigo Huguet) [2043454] - ath11k: Replace one-element array with flexible-array member (Íñigo Huguet) [2043454] - ath11k: add caldata download support from EEPROM (Íñigo Huguet) [2043454] - ath11k: add caldata file for multiple radios (Íñigo Huguet) [2043454] - ath11k: clean up BDF download functions (Íñigo Huguet) [2043454] - ath11k: use hw_params to access board_size and cal_offset (Íñigo Huguet) [2043454] - ath11k: Wstringop-overread warning (Íñigo Huguet) [2043454] - ath11k: Add spectral scan support for QCN9074 (Íñigo Huguet) [2043454] - ath11k: Fix the spectral minimum FFT bin count (Íñigo Huguet) [2043454] - ath11k: Introduce spectral hw configurable param (Íñigo Huguet) [2043454] - ath11k: Refactor spectral FFT bin size (Íñigo Huguet) [2043454] - ath11k: add support for 80P80 and 160 MHz bandwidth (Íñigo Huguet) [2043454] - ath11k: add support for setting fixed HE rate/gi/ltf (Íñigo Huguet) [2043454] - nl80211: MBSSID and EMA support in AP mode (Íñigo Huguet) [2043454] - nl80211: don't kfree() ERR_PTR() value (Íñigo Huguet) [2043454] - cfg80211: always free wiphy specific regdomain (Íñigo Huguet) [2043454] - mac80211: save transmit power envelope element and power constraint (Íñigo Huguet) [2043454] - mac80211: add parse regulatory info in 6 GHz operation information (Íñigo Huguet) [2043454] - ieee80211: add power type definition for 6 GHz (Íñigo Huguet) [2043454] - mac80211: twt: don't use potentially unaligned pointer (Íñigo Huguet) [2043454] - cfg80211: AP mode driver offload for FILS association crypto (Íñigo Huguet) [2043454] - ath11k: monitor mode clean up to use separate APIs (Íñigo Huguet) [2043454] - ath11k: add separate APIs for monitor mode (Íñigo Huguet) [2043454] - ath11k: move static function ath11k_mac_vdev_setup_sync to top (Íñigo Huguet) [2043454] - rtw88: 8821c: correct 2.4G tx power for type 2/4 NIC (Íñigo Huguet) [2043454] - rtw88: 8821c: support RFE type4 wifi NIC (Íñigo Huguet) [2043454] - brcmfmac: Replace zero-length array with flexible array member (Íñigo Huguet) [2043454] - mac80211_hwsim: enable 6GHz channels (Íñigo Huguet) [2043454] - mac80211: use ieee802_11_parse_elems() in ieee80211_prep_channel() (Íñigo Huguet) [2043454] - nl80211: don't put struct cfg80211_ap_settings on stack (Íñigo Huguet) [2043454] - mac80211: always allocate struct ieee802_11_elems (Íñigo Huguet) [2043454] - mac80211: mlme: find auth challenge directly (Íñigo Huguet) [2043454] - mac80211: move CRC into struct ieee802_11_elems (Íñigo Huguet) [2043454] - mac80211: mesh: clean up rx_bcn_presp API (Íñigo Huguet) [2043454] - mac80211: reduce stack usage in debugfs (Íñigo Huguet) [2043454] - nl80211: prefer struct_size over open coded arithmetic (Íñigo Huguet) [2043454] - mac80211: check hostapd configuration parsing twt requests (Íñigo Huguet) [2043454] - cfg80211: honour V=1 in certificate code generation (Íñigo Huguet) [2043454] - rt2x00: remove duplicate USB device ID (Íñigo Huguet) [2043454] - wilc1000: increase config packets response wait timeout limit (Íñigo Huguet) [2043454] - wilc1000: use correct write command sequence in wilc_spi_sync_ext() (Íñigo Huguet) [2043454] - wilc1000: add 'initialized' flag check before adding an element to TX queue (Íñigo Huguet) [2043454] - wilc1000: invoke chip reset register before firmware download (Íñigo Huguet) [2043454] - wilc1000: ignore clockless registers status response for SPI (Íñigo Huguet) [2043454] - wilc1000: handle read failure issue for clockless registers (Íñigo Huguet) [2043454] - wilc1000: add reset/terminate/repeat command support for SPI bus (Íñigo Huguet) [2043454] - wilc1000: configure registers to handle chip wakeup sequence (Íñigo Huguet) [2043454] - wilc1000: add new WID to pass wake_enable information to firmware (Íñigo Huguet) [2043454] - wilc1000: fix possible memory leak in cfg_scan_result() (Íñigo Huguet) [2043454] - wilc1000: move 'deinit_lock' lock init/destroy inside module probe (Íñigo Huguet) [2043454] - mwifiex: Fix copy-paste mistake when creating virtual interface (Íñigo Huguet) [2043454] - mwifiex: Properly initialize private structure on interface type changes (Íñigo Huguet) [2043454] - mwifiex: Handle interface type changes from AP to STATION (Íñigo Huguet) [2043454] - mwifiex: Allow switching interface type from P2P_CLIENT to P2P_GO (Íñigo Huguet) [2043454] - mwifiex: Update virtual interface counters right after setting bss_type (Íñigo Huguet) [2043454] - mwifiex: Use helper function for counting interface types (Íñigo Huguet) [2043454] - mwifiex: Run SET_BSS_MODE when changing from P2P to STATION vif-type (Íñigo Huguet) [2043454] - mwifiex: Use function to check whether interface type change is allowed (Íñigo Huguet) [2043454] - mwifiex: Small cleanup for handling virtual interface type changes (Íñigo Huguet) [2043454] - rtw88: move adaptivity mechanism to firmware (Íñigo Huguet) [2043454] - rtw88: support adaptivity for ETSI/JP DFS region (Íñigo Huguet) [2043454] - rtw88: add regulatory strategy by chip type (Íñigo Huguet) [2043454] - rtw88: upgrade rtw_regulatory mechanism and mapping (Íñigo Huguet) [2043454] - brcmfmac: fix incorrect error prints (Íñigo Huguet) [2043454] - ath11k: Align bss_chan_info structure with firmware (Íñigo Huguet) [2043454] - ath11k: add support in survey dump with bss_chan_info (Íñigo Huguet) [2043454] - ath11k: Rename atf_config to flag1 in target_resource_config (Íñigo Huguet) [2043454] - ath11k: fix 4addr multicast packet tx (Íñigo Huguet) [2043454] - ath11k: fix 4-addr tx failure for AP and STA modes (Íñigo Huguet) [2043454] - ath9k: owl-loader: fetch pci init values through nvmem (Íñigo Huguet) [2043454] - ath9k: fetch calibration data via nvmem subsystem (Íñigo Huguet) [2043454] Resolves: rhbz#2043454 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-23 23:44:41 +00:00
CONFIG_RTW89_8852AE=m
kernel-5.14.0-327.el9 * Tue Jun 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-327.el9] - perf: Fix check before add_event_to_groups() in perf_group_detach() (Michael Petlan) [2192660] {CVE-2023-2235} - thermal: intel: int340x: processor_thermal: Fix additional deadlock (Eric Auger) [2183350] - thermal: intel: int340x: processor_thermal: Fix deadlock (Eric Auger) [2183350] - thermal: gov_step_wise: Adjust code logic to match comment (Eric Auger) [2183350] - thermal: gov_step_wise: Delete obsolete comment (Eric Auger) [2183350] - thermal/drivers/cpuidle_cooling: Delete unmatched comments (Eric Auger) [2183350] - thermal: cpuidle_cooling: Adjust includes to remove of_device.h (Eric Auger) [2183350] - thermal/core: Alloc-copy-free the thermal zone parameters structure (Eric Auger) [2183350] - thermal/of: Unexport unused OF functions (Eric Auger) [2183350] - thermal/core: Remove thermal_bind_params structure (Eric Auger) [2183350] - thermal/drivers/tegra-bpmp: Handle offline zones (Eric Auger) [2183350] - thermal: core: Clean up thermal_list_lock locking (Eric Auger) [2183350] - thermal/core: Relocate the traces definition in thermal directory (Eric Auger) [2183350] - thermal/drivers/imx: Use the thermal framework for the trip point (Eric Auger) [2183350] - thermal/drivers/imx: Remove get_trip_temp ops (Eric Auger) [2183350] - thermal: Use of_property_present() for testing DT property presence (Eric Auger) [2183350] - thermal: core: Restore behavior regarding invalid trip points (Eric Auger) [2183350] - thermal/drivers/tegra: Remove unneeded lock when setting a trip point (Eric Auger) [2183350] - thermal/hwmon: Do not set no_hwmon before calling thermal_add_hwmon_sysfs() (Eric Auger) [2183350] - thermal: Remove debug or error messages in get_temp() ops (Eric Auger) [2183350] - thermal/core: Show a debug message when get_temp() fails (Eric Auger) [2183350] - thermal/core: Add a thermal zone 'devdata' accessor (Eric Auger) [2183350] - thermal: core: Use sysfs_emit_at() instead of scnprintf() (Eric Auger) [2183350] - thermal/core: Potential buffer overflow in thermal_build_list_of_policies() (Eric Auger) [2183350] - thermal: Fail object registration if thermal class is not registered (Eric Auger) [2183350] - thermal/core: Move the thermal trip code to a dedicated file (Eric Auger) [2183350] - thermal/core: Remove unneeded ida_destroy() (Eric Auger) [2183350] - thermal/core: Fix unregistering netlink at thermal init time (Eric Auger) [2183350] - thermal: core: Use device_unregister() instead of device_del/put() (Eric Auger) [2183350] - thermal: core: Move cdev cleanup to thermal_release() (Eric Auger) [2183350] - thermal/drivers/imx_sc_thermal: Add iMX8QM sensors (Eric Auger) [2183350] - thermal/drivers/imx_sc_thermal: Fix the loop condition (Eric Auger) [2183350] - thermal/drivers/tegra: Fix set_trip_temp() deadlock (Eric Auger) [2183350] - thermal/drivers/tegra: Fix crash when getting critical temp (Eric Auger) [2183350] - thermal/of: Remove of_thermal_get_crit_temp() (Eric Auger) [2183350] - thermal/of: Remove of_thermal_set_trip_hyst() (Eric Auger) [2183350] - thermal/of: Remove of_thermal_is_trip_valid() (Eric Auger) [2183350] - thermal/drivers/qcom/temp-alarm: Fix inaccurate warning for gen2 (Eric Auger) [2183350] - thermal/of: Remove of_thermal_get_ntrips() (Eric Auger) [2183350] - thermal/of: Remove unused functions (Eric Auger) [2183350] - thermal/drivers/hisi: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/drivers/tegra: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/of: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/core/governors: Use thermal_zone_get_trip() instead of ops functions (Eric Auger) [2183350] - thermal/core: Add a generic thermal_zone_set_trip() function (Eric Auger) [2183350] - thermal/sysfs: Always expose hysteresis attributes (Eric Auger) [2183350] - thermal/core: Add a generic thermal_zone_get_trip() function (Eric Auger) [2183350] - thermal/drivers/imx_sc_thermal: Drop empty platform remove function (Eric Auger) [2183350] - thermal/core/power allocator: Remove a useless include (Eric Auger) [2183350] - thermal/of: Fix memory leak on thermal_of_zone_register() failure (Eric Auger) [2183350] - thermal/core: Protect thermal device operations against thermal device removal (Eric Auger) [2183350] - thermal/core: Remove thermal_zone_set_trips() (Eric Auger) [2183350] - thermal/core: Protect sysfs accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350] - thermal/core: Protect hwmon accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350] - thermal/core: Introduce locked version of thermal_zone_device_update (Eric Auger) [2183350] - thermal/core: Move parameter validation from __thermal_zone_get_temp to thermal_zone_get_temp (Eric Auger) [2183350] - thermal/core: Ensure that thermal device is registered in thermal_zone_get_temp (Eric Auger) [2183350] - thermal/core: Delete device under thermal device zone lock (Eric Auger) [2183350] - thermal/core: Destroy thermal zone device mutex in release function (Eric Auger) [2183350] - thermal/core: Add a check before calling set_trip_temp() (Eric Auger) [2183350] - thermal/core: Drop valid pointer check for type (Eric Auger) [2183350] - thermal/of: Remove the thermal_zone_of_get_sensor_id() function (Eric Auger) [2183350] - thermal/drivers/imx_sc: Rely on the platform data to get the resource id (Eric Auger) [2183350] - thermal: core: Increase maximum number of trip points (Eric Auger) [2183350] - thermal: cpufreq_cooling: Check the policy first in cpufreq_cooling_register() (Eric Auger) [2183350] - thermal: move from strlcpy() with unused retval to strscpy() (Eric Auger) [2183350] - thermal: gov_user_space: Do not lock thermal zone mutex (Eric Auger) [2183350] - thermal/core: Fix lockdep_assert() warning (Eric Auger) [2183350] - thermal/core: Move the mutex inside the thermal_zone_device_update() function (Eric Auger) [2183350] - thermal/core: Move the thermal zone lock out of the governors (Eric Auger) [2183350] - thermal/governors: Group the thermal zone lock inside the throttle function (Eric Auger) [2183350] - thermal/core: Rework the monitoring a bit (Eric Auger) [2183350] - thermal/core: Rearm the monitoring only one time (Eric Auger) [2183350] - thermal/of: Remove old OF code (Eric Auger) [2183350] - thermal/core: Move set_trip_temp ops to the sysfs code (Eric Auger) [2183350] - hwmon/drivers/core: Switch to new of thermal API (Eric Auger) [2183350] - ata/drivers/ahci_imx: Switch to new of thermal API (Eric Auger) [2183350] - thermal/drivers/broadcom: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/qoriq: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/maxim: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/hisilicon: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/imx: Switch to new of API (Eric Auger) [2183350] - thermal/drivers/tegra: Switch to new of API (Eric Auger) [2183350] - thermal/of: Make new code and old code co-exist (Eric Auger) [2183350] - thermal/of: Fix free after use in thermal_of_unregister() (Eric Auger) [2183350] - thermal/of: Return -ENODEV instead of -EINVAL if registration fails (Eric Auger) [2183350] - thermal/of: Fix error code in of_thermal_zone_find() (Eric Auger) [2183350] - thermal/of: Rework the thermal device tree initialization (Eric Auger) [2183350] - dt-bindings: thermal: Fix missing required property (Eric Auger) [2183350] - dt-bindings: thermal: Fix definition of cooling-maps contribution property (Eric Auger) [2183350] - dt-bindings: thermal: Make trips node optional (Eric Auger) [2183350] - kernel.spec: Fix UKI naming to comply with BLS (Philipp Rudo) [2187671] - redhat/configs: Add CONFIG_RTC_DRV_NCT3018Y option (Gavin Shan) [2183349] - rtc: jz4740: Make sure clock provider gets removed (Gavin Shan) [2183349] - rtc: k3: handle errors while enabling wake irq (Gavin Shan) [2183349] - rtc: pm8xxx: add support for nvmem offset (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx: add nvmem-cell offset (Gavin Shan) [2183349] - rtc: abx80x: Add nvmem support (Gavin Shan) [2183349] - rtc: rx6110: Remove unused of_gpio,h (Gavin Shan) [2183349] - rtc: efi: Avoid spamming the log on RTC read failure (Gavin Shan) [2183349] - rtc: isl12022: sort header inclusion alphabetically (Gavin Shan) [2183349] - rtc: isl12022: Join string literals back (Gavin Shan) [2183349] - rtc: isl12022: Drop unneeded OF guards and of_match_ptr() (Gavin Shan) [2183349] - rtc: isl12022: Explicitly use __le16 type for ISL12022_REG_TEMP_L (Gavin Shan) [2183349] - rtc: isl12022: Get rid of unneeded private struct isl12022 (Gavin Shan) [2183349] - rtc: pcf85363: add support for the quartz-load-femtofarads property (Gavin Shan) [2183349] - dt-bindings: rtc: nxp,pcf8563: move pcf85263/pcf85363 to a dedicated binding (Gavin Shan) [2183349] - rtc: allow rtc_read_alarm without read_alarm callback (Gavin Shan) [2183349] - rtc: rv3032: add ACPI support (Gavin Shan) [2183349] - rtc: rv3028: add ACPI support (Gavin Shan) [2183349] - rtc: jz4740: Register clock provider for the CLK32K pin (Gavin Shan) [2183349] - rtc: jz4740: Use dev_err_probe() (Gavin Shan) [2183349] - rtc: jz4740: Use readl_poll_timeout (Gavin Shan) [2183349] - dt-bindings: rtc: Add #clock-cells property (Gavin Shan) [2183349] - dt-bindings: rtc: moxart: use proper names for gpio properties (Gavin Shan) [2183349] - rtc: moxart: switch to using gpiod API (Gavin Shan) [2183349] - rtc: pm8xxx: drop error messages (Gavin Shan) [2183349] - rtc: pm8xxx: clean up local declarations (Gavin Shan) [2183349] - rtc: pm8xxx: refactor read_time() (Gavin Shan) [2183349] - rtc: pm8xxx: use u32 for timestamps (Gavin Shan) [2183349] - rtc: pm8xxx: clean up comments (Gavin Shan) [2183349] - rtc: pm8xxx: rename alarm irq variable (Gavin Shan) [2183349] - rtc: pm8xxx: rename struct device pointer (Gavin Shan) [2183349] - rtc: pm8xxx: clean up time and alarm debugging (Gavin Shan) [2183349] - rtc: pm8xxx: use unaligned le32 helpers (Gavin Shan) [2183349] - rtc: pm8xxx: drop unused register defines (Gavin Shan) [2183349] - rtc: pm8xxx: return IRQ_NONE on errors (Gavin Shan) [2183349] - rtc: pm8xxx: drop bogus locking (Gavin Shan) [2183349] - rtc: pm8xxx: use regmap_update_bits() (Gavin Shan) [2183349] - rtc: pm8xxx: drop spmi error messages (Gavin Shan) [2183349] - rtc: pm8xxx: fix set-alarm race (Gavin Shan) [2183349] - dt-bindings: rtc: Convert Amlogic Meson vrtc controller binding (Gavin Shan) [2183349] - rtc: rv8803: invalidate date/time if alarm time is invalid (Gavin Shan) [2183349] - include/linux/bcd.h: provide bcd_is_valid() helper (Gavin Shan) [2183349] - rtc: remove v3020 driver (Gavin Shan) [2183349] - rtc: max8907: Drop unused i2c include (Gavin Shan) [2183349] - rtc: rx8010: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: rv8803: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: rv3032: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: rv3029c2: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf85363: use IRQ flags obtained fromfwnode (Gavin Shan) [2183349] - rtc: pcf8523: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf85063: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: pcf2123: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: m41t80: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: hym8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - rtc: ab-eoz9: use IRQ flags obtained from fwnode (Gavin Shan) [2183349] - dt-bindings: rtc: pcf2127: remove pca/pcf2129 from trivial RTC devices list (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: allow use as non-wake alarm (Gavin Shan) [2183349] - dt-bindings: rtc: brcm,brcmstb-waketimer: add alarm interrupt (Gavin Shan) [2183349] - rtc: sun6i: Always export the internal oscillator (Gavin Shan) [2183349] - dt-bindings: rtc: Move rv3028 from trivial-rtc.yaml into own schema file (Gavin Shan) [2183349] - dt-bindings: rtc: Add Loongson LS2X RTC support (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: rename irq to wake_irq (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: compensate for lack of wktmr disable (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: non-functional code changes (Gavin Shan) [2183349] - rtc: brcmstb-waketimer: introduce WKTMR_ALARM_EVENT flag (Gavin Shan) [2183349] - rtc: sunplus: fix format string for printing resource (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx: allow 'wakeup-source' property (Gavin Shan) [2183349] - rtc: ds1742: use devm_platform_get_and_ioremap_resource() (Gavin Shan) [2183349] - rtc: mxc_v2: Add missing clk_disable_unprepare() (Gavin Shan) [2183349] - rtc: rs5c313: correct some spelling mistakes (Gavin Shan) [2183349] - rtc: at91rm9200: Fix syntax errors in comments (Gavin Shan) [2183349] - rtc: remove duplicated words in comments (Gavin Shan) [2183349] - rtc: rv3028: Use IRQ flags obtained from device tree if available (Gavin Shan) [2183349] - rtc: ds1307: use sysfs_emit() to instead of scnprintf() (Gavin Shan) [2183349] - rtc: isl12026: drop obsolete dependency on COMPILE_TEST (Gavin Shan) [2183349] - dt-bindings: rtc: m41t80: Convert text schema to YAML one (Gavin Shan) [2183349] - rtc: pcf85063: fix pcf85063_clkout_control (Gavin Shan) [2183349] - rtc: rx6110: fix warning with !OF (Gavin Shan) [2183349] - rtc: rk808: reduce 'struct rk808' usage (Gavin Shan) [2183349] - rtc: msc313: Fix function prototype mismatch in msc313_rtc_probe() (Gavin Shan) [2183349] - dt-bindings: rtc: convert rtc-meson.txt to dt-schema (Gavin Shan) [2183349] - rtc: pic32: Move devm_rtc_allocate_device earlier in pic32_rtc_probe() (Gavin Shan) [2183349] - rtc: st-lpc: Add missing clk_disable_unprepare in st_rtc_probe() (Gavin Shan) [2183349] - rtc: pcf85063: Fix reading alarm (Gavin Shan) [2183349] - rtc: pcf8523: fix for stop bit (Gavin Shan) [2183349] - rtc: efi: Add wakeup support (Gavin Shan) [2183349] - rtc: pcf8563: clear RTC_FEATURE_ALARM if no irq (Gavin Shan) [2183349] - rtc: snvs: Allow a time difference on clock register read (Gavin Shan) [2183349] - rtc: cmos: Disable ACPI RTC event on removal (Gavin Shan) [2183349] - rtc: cmos: Rename ACPI-related functions (Gavin Shan) [2183349] - rtc: cmos: Eliminate forward declarations of some functions (Gavin Shan) [2183349] - rtc: cmos: Call rtc_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349] - rtc: cmos: Call cmos_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349] - rtc: class: Fix potential memleak in devm_rtc_allocate_device() (Gavin Shan) [2183349] - rtc: ds1347: fix value written to century register (Gavin Shan) [2183349] - rtc: Include <linux/kstrtox.h> when appropriate (Gavin Shan) [2183349] - rtc: isl12022: add support for temperature sensor (Gavin Shan) [2183349] - rtc: s35390a: Remove the unneeded result variable (Gavin Shan) [2183349] - dt-bindings: rtc: convert hym8563 bindings to json-schema (Gavin Shan) [2183349] - rtc: fsl-ftm-alarm: Use module_platform_driver replace device_initcall (Gavin Shan) [2183349] - rtc: remove davinci rtc driver (Gavin Shan) [2183349] - rtc: s3c: Switch to use dev_err_probe() helper (Gavin Shan) [2183349] - rtc: ds1302: remove unnecessary spi_set_drvdata() (Gavin Shan) [2183349] - rtc: cros-ec: Limit RTC alarm range if needed (Gavin Shan) [2183349] - rtc: pm8xxx: drop unused pm8018 compatible (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx: document qcom,pm8921-rtc as fallback of qcom,pm8018-rtc (Gavin Shan) [2183349] - rtc: rzn1: Check return value in rzn1_rtc_probe (Gavin Shan) [2183349] - rtc: rx8025: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: rv8803: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: rs5c372: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: pcf2127: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: nct3018y: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: m41t80: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: isl1208: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: abx80x: Convert to .probe_new() (Gavin Shan) [2183349] - rtc: cmos: fix build on non-ACPI platforms (Gavin Shan) [2183349] - rtc: cmos: Fix wake alarm breakage (Gavin Shan) [2183349] - rtc: rv3028: Fix codestyle errors (Gavin Shan) [2183349] - rtc: cmos: Fix event handler registration ordering issue (Gavin Shan) [2183349] - rtc: k3: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: jz4740: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: mpfs: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: ds1685: Fix spelling of function name in comment block (Gavin Shan) [2183349] - rtc: isl12022: switch to using regmap API (Gavin Shan) [2183349] - rtc: isl12022: drop redundant write to HR register (Gavin Shan) [2183349] - rtc: isl12022: use dev_set_drvdata() instead of i2c_set_clientdata() (Gavin Shan) [2183349] - rtc: isl12022: use %%ptR (Gavin Shan) [2183349] - rtc: isl12022: simplify some expressions (Gavin Shan) [2183349] - rtc: isl12022: drop a dev_info() (Gavin Shan) [2183349] - rtc: isl12022: specify range_min and range_max (Gavin Shan) [2183349] - rtc: isl12022: stop using deprecated devm_rtc_device_register() (Gavin Shan) [2183349] - rtc: stmp3xxx: Add failure handling for stmp3xxx_wdt_register() (Gavin Shan) [2183349] - rtc: mxc: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349] - rtc: gamecube: Always reset HW_SRNPROT after read (Gavin Shan) [2183349] - rtc: k3: detect SoC to determine erratum fix (Gavin Shan) [2183349] - rtc: k3: wait until the unlock field is not zero (Gavin Shan) [2183349] - rtc: mpfs: Remove printing of stray CR (Gavin Shan) [2183349] - x86/rtc: Rename mach_set_rtc_mmss() to mach_set_cmos_time() (Gavin Shan) [2183349] - x86/rtc: Rewrite & simplify mach_get_cmos_time() by deleting duplicated functionality (Gavin Shan) [2183349] - rtc: spear: set range max (Gavin Shan) [2183349] - rtc: rtc-cmos: Do not check ACPI_FADT_LOW_POWER_S0 (Gavin Shan) [2183349] - rtc: zynqmp: initialize fract_tick (Gavin Shan) [2183349] - rtc: Add NCT3018Y real time clock driver (Gavin Shan) [2183349] - dt-bindings: rtc: nuvoton: add NCT3018Y Real Time Clock (Gavin Shan) [2183349] - dt-bindings: rtc: nxp,pcf85063: Convert to DT schema (Gavin Shan) [2183349] - dt-bindings: rtc: microcrystal,rv3032: Add missing type to 'trickle-voltage-millivolt' (Gavin Shan) [2183349] - rtc: rx8025: fix 12/24 hour mode detection on RX-8035 (Gavin Shan) [2183349] - rtc: cros-ec: Only warn once in .remove() about notifier_chain problems (Gavin Shan) [2183349] - rtc: vr41xx: remove driver (Gavin Shan) [2183349] - rtc: mpfs: remove 'pending' variable from mpfs_rtc_wakeup_irq_handler() (Gavin Shan) [2183349] - rtc: zynqmp: Add calibration set and get support (Gavin Shan) [2183349] - rtc: zynqmp: Updated calibration value (Gavin Shan) [2183349] - dt-bindings: rtc: zynqmp: Add clock information (Gavin Shan) [2183349] - rtc: sun6i: add support for R329 RTC (Gavin Shan) [2183349] - dt-bindings: rtc: Add EM Microelectronic EM3027 bindings (Gavin Shan) [2183349] - dt-bindings: rtc: ds1307: Convert to json-schema (Gavin Shan) [2183349] - dt-bindings: rtc: Add fsl,scu-rtc yaml file (Gavin Shan) [2183349] - rtc: Introduce ti-k3-rtc (Gavin Shan) [2183349] - dt-bindings: rtc: Add TI K3 RTC description (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx-rtc: Update the maintainers section (Gavin Shan) [2183349] - rtc: Add driver for Microchip PolarFire SoC (Gavin Shan) [2183349] - rtc: isl1208: do not advertise update interrupt feature if no interrupt specified (Gavin Shan) [2183349] - dt-bindings: rtc: mediatek: add mt6358 and mt6366 compatible (Gavin Shan) [2183349] - rtc: mc146818-lib: reduce RTC_UIP polling period (Gavin Shan) [2183349] - rtc: rzn1: Fix a variable type (Gavin Shan) [2183349] - rtc: rzn1: Fix error code in probe (Gavin Shan) [2183349] - rtc: rzn1: Avoid mixing variables (Gavin Shan) [2183349] - rtc: ftrtc010: Fix error handling in ftrtc010_rtc_probe (Gavin Shan) [2183349] - rtc: mt6397: check return value after calling platform_get_resource() (Gavin Shan) [2183349] - rtc: rzn1: fix platform_no_drv_owner.cocci warning (Gavin Shan) [2183349] - rtc: gamecube: Add missing iounmap in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349] - rtc: meson: Fix email address in MODULE_AUTHOR (Gavin Shan) [2183349] - rtc: simplify the return expression of rx8025_set_offset() (Gavin Shan) [2183349] - dt-binding: pcf85063: Add an entry for pca85073a (Gavin Shan) [2183349] - rtc: rzn1: Add oscillator offset support (Gavin Shan) [2183349] - rtc: rzn1: Add alarm support (Gavin Shan) [2183349] - rtc: rzn1: Add new RTC driver (Gavin Shan) [2183349] - dt-bindings: rtc: rzn1: Describe the RZN1 RTC (Gavin Shan) [2183349] - rtc: sun6i: Add NVMEM provider (Gavin Shan) [2183349] - Revert "clk: sunxi-ng: sun6i-rtc: Add support for H6" (Gavin Shan) [2183349] - dt-bindings: Drop empty and unreferenced binding .txt files (Gavin Shan) [2183349] - dt-bindings: rtc: add refclk to mpfs-rtc (Gavin Shan) [2183349] - clk: sunxi-ng: sun6i-rtc: Mark rtc-32k as critical (Gavin Shan) [2183349] - clocksource/drivers: Add a goldfish-timer clocksource (Gavin Shan) [2183349] - rtc: goldfish: Use gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349] - tty: goldfish: Introduce gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349] - clk: sunxi-ng: fix not NULL terminated coccicheck error (Gavin Shan) [2183349] - rtc: gamecube: Fix refcount leak in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349] - rtc: pm8xxx: Return -ENODEV if set_time disallowed (Gavin Shan) [2183349] - rtc: pm8xxx: Attach wake irq to device (Gavin Shan) [2183349] - rtc: hym8563: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: hym8563: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: hym8563: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - rtc: spear: fix spear_rtc_read_time (Gavin Shan) [2183349] - rtc: spear: drop uie_unsupported (Gavin Shan) [2183349] - rtc: spear: set range (Gavin Shan) [2183349] - rtc: spear: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - rtc: mpc5121: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: mpc5121: let the core handle the alarm resolution (Gavin Shan) [2183349] - clk: sunxi-ng: sun6i-rtc: Add support for H6 (Gavin Shan) [2183349] - clk: sunxi-ng: Add support for the sun6i RTC clocks (Gavin Shan) [2183349] - clk: sunxi-ng: mux: Allow muxes to have keys (Gavin Shan) [2183349] - rtc: wm8350: Handle error for wm8350_register_irq (Gavin Shan) [2183349] - rtc: sun6i: Add Allwinner H616 support (Gavin Shan) [2183349] - rtc: sun6i: Add support for broken-down alarm registers (Gavin Shan) [2183349] - rtc: sun6i: Add support for linear day storage (Gavin Shan) [2183349] - rtc: sun6i: Fix time overflow handling (Gavin Shan) [2183349] - rtc: pl031: fix rtc features null pointer dereference (Gavin Shan) [2183349] - rtc: mc146818-lib: fix locking in mc146818_set_time (Gavin Shan) [2183349] - dt-bindings: rtc: add bindings for microchip mpfs rtc (Gavin Shan) [2183349] - dt-bindings: rtc: at91: Add SAMA7G5 compatible strings list (Gavin Shan) [2183349] - dt-bindings: rtc: convert at91sam9 bindings to json-schema (Gavin Shan) [2183349] - rtc: max77686: Add MAX77714 support (Gavin Shan) [2183349] - rtc: max77686: Remove unused code to read in 12-hour mode (Gavin Shan) [2183349] - rtc: max77686: Rename day-of-month defines (Gavin Shan) [2183349] - rtc: max77686: Convert comments to kernel-doc format (Gavin Shan) [2183349] - mfd: max77686: Correct tab-based alignment of register addresses (Gavin Shan) [2183349] - rtc: sun6i: Enable the bus clock when provided (Gavin Shan) [2183349] - dt-bindings: rtc: sun6i: Add H616, R329, and D1 support (Gavin Shan) [2183349] - dt-bindings: rtc: sun6i: Clean up repetition (Gavin Shan) [2183349] - dt-bindings: rtc: st,stm32-rtc: Make each example a separate entry (Gavin Shan) [2183349] - rtc: sunplus: fix return value in sp_rtc_probe() (Gavin Shan) [2183349] - rtc: cmos: Evaluate century appropriate (Gavin Shan) [2183349] - rtc: gamecube: Fix an IS_ERR() vs NULL check (Gavin Shan) [2183349] - dt-bindings: rtc: qcom-pm8xxx-rtc: update register numbers (Gavin Shan) [2183349] - rtc: pxa: fix null pointer dereference (Gavin Shan) [2183349] - rtc: ftrtc010: Use platform_get_irq() to get the interrupt (Gavin Shan) [2183349] - dt-bindings: rtc: Add Sunplus RTC json-schema (Gavin Shan) [2183349] - rtc: Add driver for RTC in Sunplus SP7021 (Gavin Shan) [2183349] - rtc: rs5c372: fix incorrect oscillation value on r2221tl (Gavin Shan) [2183349] - rtc: rs5c372: add offset correction support (Gavin Shan) [2183349] - rtc: cmos: avoid UIP when writing alarm time (Gavin Shan) [2183349] - rtc: cmos: avoid UIP when reading alarm time (Gavin Shan) [2183349] - rtc: mc146818-lib: refactor mc146818_does_rtc_work (Gavin Shan) [2183349] - rtc: mc146818-lib: refactor mc146818_get_time (Gavin Shan) [2183349] - rtc: gamecube: Report low battery as invalid data (Gavin Shan) [2183349] - rtc: gamecube: Add a RTC driver for the GameCube, Wii and Wii U (Gavin Shan) [2183349] - dt-bindings: rtc: Convert Broadcom STB waketimer to YAML (Gavin Shan) [2183349] - dt/bindings: rtc: rx8900: Add an entry for RX8804 (Gavin Shan) [2183349] - rtc: da9063: add as wakeup source (Gavin Shan) [2183349] - rtc: da9063: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: rs5c372: Add RTC_VL_READ, RTC_VL_CLR ioctls (Gavin Shan) [2183349] - rtc: rx8025: use .set_offset/.read_offset (Gavin Shan) [2183349] - rtc: rx8025: use rtc_add_group (Gavin Shan) [2183349] - rtc: rx8025: clear RTC_FEATURE_ALARM when alarm are not supported (Gavin Shan) [2183349] - rtc: rx8025: set range (Gavin Shan) [2183349] - rtc: rx8025: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - rtc: ab8500: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: ab-eoz9: support UIE when available (Gavin Shan) [2183349] - rtc: ab-eoz9: use RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349] - rtc: rv3032: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: s35390a: let the core handle the alarm resolution (Gavin Shan) [2183349] - rtc: s3c: Add time range (Gavin Shan) [2183349] - rtc: s3c: Extract read/write IO into separate functions (Gavin Shan) [2183349] - rtc: s3c: Remove usage of devm_rtc_device_register() (Gavin Shan) [2183349] - rtc: tps80031: Remove driver (Gavin Shan) [2183349] - rtc: sun6i: Allow probing without an early clock provider (Gavin Shan) [2183349] - MAINTAINERS: update faraday,ftrtc010.yaml reference (Gavin Shan) [2183349] - rtc: rv3032: allow setting BSM (Gavin Shan) [2183349] - rtc: rv3028: add BSM support (Gavin Shan) [2183349] - rtc: s3c: remove HAVE_S3C_RTC in favor of direct dependencies (Gavin Shan) [2183349] - rtc: rv3032: fix error handling in rv3032_clkout_set_rate() (Gavin Shan) [2183349] - rtc: m41t80: return NULL rather than a plain 0 integer (Gavin Shan) [2183349] - rtc: msc313: Fix unintentional sign extension issues with left shift of a u16 (Gavin Shan) [2183349] - rtc: msc313: fix missing include (Gavin Shan) [2183349] - rtc: Add support for the MSTAR MSC313 RTC (Gavin Shan) [2183349] - dt-bindings: rtc: Add Mstar MSC313e RTC devicetree bindings documentation (Gavin Shan) [2183349] - rtc: rx6110: simplify getting the adapter of a client (Gavin Shan) [2183349] - rtc: s5m: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349] - rtc: omap: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349] - rtc: ds1302: Add SPI ID table (Gavin Shan) [2183349] - rtc: cmos: Disable irq around direct invocation of cmos_interrupt() (Gavin Shan) [2183349] - rtc: rx8010: select REGMAP_I2C (Gavin Shan) [2183349] - dt-bindings: rtc: add Epson RX-8025 and RX-8035 (Gavin Shan) [2183349] - rtc: rx8025: implement RX-8035 support (Gavin Shan) [2183349] - rtc: cmos: remove stale REVISIT comments (Gavin Shan) [2183349] - rtc: tps65910: Correct driver module alias (Gavin Shan) [2183349] - rtc: s5m: set range (Gavin Shan) [2183349] - rtc: s5m: enable wakeup only when available (Gavin Shan) [2183349] - rtc: s5m: signal the core when alarm are not available (Gavin Shan) [2183349] - rtc: s5m: switch to devm_rtc_allocate_device (Gavin Shan) [2183349] - netfilter: conntrack: fix possible bug_on with enable_hooks=1 (Florian Westphal) [2193079] - vsock: avoid to close connected socket after the timeout (Stefano Garzarella) [2209707] - vsock/loopback: don't disable irqs for queue access (Stefano Garzarella) [2209707] - vsock/test: update expected return values (Stefano Garzarella) [2209707] - vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707] - vsock/vmci: convert VMCI error code to -ENOMEM on receive (Stefano Garzarella) [2209707] - vsock/vmci: convert VMCI error code to -ENOMEM on send (Stefano Garzarella) [2209707] - virtio/vsock: fix leaks due to missing skb owner (Stefano Garzarella) [2209707] - test/vsock: new skbuff appending test (Stefano Garzarella) [2209707] - virtio/vsock: WARN_ONCE() for invalid state of socket (Stefano Garzarella) [2209707] - virtio/vsock: fix header length on skb merging (Stefano Garzarella) [2209707] - testing/vsock: add vsock_perf to gitignore (Stefano Garzarella) [2209707] - virtio/vsock: check argument to avoid no effect call (Stefano Garzarella) [2209707] - virtio/vsock: allocate multiple skbuffs on tx (Stefano Garzarella) [2209707] - vsock/loopback: use only sk_buff_head.lock to protect the packet queue (Stefano Garzarella) [2209707] - virtio/vsock: check transport before skb allocation (Stefano Garzarella) [2209707] - test/vsock: copy to user failure test (Stefano Garzarella) [2209707] - virtio/vsock: don't drop skbuff on copy failure (Stefano Garzarella) [2209707] - virtio/vsock: remove redundant 'skb_pull()' call (Stefano Garzarella) [2209707] - virtio/vsock: don't use skbuff state to account credit (Stefano Garzarella) [2209707] - vhost: remove unused paramete (Stefano Garzarella) [2209707] - virtio/vsock: replace virtio_vsock_pkt with sk_buff (Stefano Garzarella) [2209707] - test/vsock: vsock_perf utility (Stefano Garzarella) [2209707] - test/vsock: add big message test (Stefano Garzarella) [2209707] - test/vsock: rework message bounds test (Stefano Garzarella) [2209707] - vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707] - config: wifi: enable RTL8852 card (Íñigo Huguet) [2100568 2127040 2208968] - u64_stat: Remove the obsolete fetch_irq() variants. (Ivan Vecera) [2193170] - net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Ivan Vecera) [2193170] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Ivan Vecera) [2193170] - net: ifb: support ethtools stats (Ivan Vecera) [2193170] - spi: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170] - bpf: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170] - net: hns3: split function hns3_nic_get_stats64() (Ivan Vecera) [2193170] - team: adopt u64_stats_t (Ivan Vecera) [2193170] - drop_monitor: adopt u64_stats_t (Ivan Vecera) [2193170] - net: adopt u64_stats_t in struct pcpu_sw_netstats (Ivan Vecera) [2193170] - wireguard: receive: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170] - ip6_tunnel: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170] - sit: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170] - ipvlan: adopt u64_stats_t (Ivan Vecera) [2193170] - vlan: adopt u64_stats_t (Ivan Vecera) [2193170] - KVM: s390: pv: fix asynchronous teardown for small VMs (Thomas Huth) [2203390] - KVM: s390: fix race in gmap_make_secure() (Thomas Huth) [2203390] - KVM: selftests: Compile s390 tests with -march=z10 (Thomas Huth) [2183983] Resolves: rhbz#2100568, rhbz#2127040, rhbz#2183349, rhbz#2183350, rhbz#2183983, rhbz#2187671, rhbz#2192660, rhbz#2193079, rhbz#2193170, rhbz#2203390, rhbz#2208968, rhbz#2209707 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-13 12:21:47 +00:00
CONFIG_RTW89_8852BE=m
kernel-5.14.0-226.el9 * Fri Dec 23 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-226.el9] - KVM: s390: pv: don't allow userspace to set the clock under PV (Tobias Huschle) [2154283] - net: ethernet: move from strlcpy with unused retval to strscpy (Corinna Vinschen) [2104470] - x86/microcode/AMD: Apply the patch early on every logical thread (Waiman Long) [2138389] - x86/bugs: Enable STIBP for IBPB mitigated RETBleed (Waiman Long) [2138389] - x86/bugs: Add "unknown" reporting for MMIO Stale Data (Waiman Long) [2138389] - config: mhi: set CONFIG_MHI_BUS_EP to disabled (Íñigo Huguet) [2136122] - config: rtw89: set CONFIG_RTW89_8852C to disabled (Íñigo Huguet) [2136122] - wifi: ath11k: avoid deadlock during regulatory update in ath11k_regd_update() (Íñigo Huguet) [2136122 2139482] - wifi: mac80211: mlme: Fix double unlock on assoc success handling (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: Fix missing unlock on beacon RX (Íñigo Huguet) [2136122] - wifi: mac80211: fix memory corruption in minstrel_ht_update_rates() (Íñigo Huguet) [2136122] - wifi: mac80211: fix regression with non-QoS drivers (Íñigo Huguet) [2136122] - wifi: mac80211: ensure vif queues are operational after start (Íñigo Huguet) [2136122] - wifi: mac80211: don't start TX with fq->lock to fix deadlock (Íñigo Huguet) [2136122] - wifi: cfg80211: fix MCS divisor value (Íñigo Huguet) [2136122] - wifi: mt76: fix 5 GHz connection regression on mt76x0/mt76x2 (Íñigo Huguet) [2136122] - wifi: mt76: fix reading current per-tid starting sequence number for aggregation (Íñigo Huguet) [2136122] - wifi: iwlwifi: Mark IWLMEI as broken (Íñigo Huguet) [2136122] - wifi: iwlwifi: don't spam logs with NSS>2 messages (Íñigo Huguet) [2136122] - wifi: use struct_group to copy addresses (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: check length for virtio packets (Íñigo Huguet) [2136122] - wifi: mac80211: fix locking in auth/assoc timeout (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: release deflink channel in error case (Íñigo Huguet) [2136122] - wifi: mac80211: fix link warning in RX agg timer expiry (Íñigo Huguet) [2136122] - wifi: wilc1000: fix DMA on stack objects (Íñigo Huguet) [2136122] - bus: mhi: host: Fix up null pointer access in mhi_irq_handler (Íñigo Huguet) [2136122] - net: Use u64_stats_fetch_begin_irq() for stats fetch. (Íñigo Huguet) [2136122] - wifi: mt76: mt7921e: fix crash in chip reset fail (Íñigo Huguet) [2136122] - wifi: mac80211: potential NULL dereference in ieee80211_tx_control_port() (Íñigo Huguet) [2136122] - wifi: cfg80211: debugfs: fix return type in ht40allow_map_read() (Íñigo Huguet) [2136122] - wifi: mac80211: Don't finalize CSA in IBSS mode if state is disconnected (Íñigo Huguet) [2136122] - wifi: mac80211: fix possible leak in ieee80211_tx_control_port() (Íñigo Huguet) [2136122] - wifi: mac80211: always free sta in __sta_info_alloc in case of error (Íñigo Huguet) [2136122] - wifi: mac80211: Fix UAF in ieee80211_scan_rx() (Íñigo Huguet) [2136122] - net: qrtr: start MHI channel after endpoit creation (Íñigo Huguet) [2136122] - wifi: wilc1000: fix spurious inline in wilc_handle_disconnect() (Íñigo Huguet) [2136122] - wifi: cfg80211: Fix validating BSS pointers in __cfg80211_connect_result (Íñigo Huguet) [2136122] - wifi: rtw88: check the return value of alloc_workqueue() (Íñigo Huguet) [2136122] - wifi: rtw89: 8852a: adjust IMR for SER L1 (Íñigo Huguet) [2136122] - wifi: rtw89: 8852a: update RF radio A/B R56 (Íñigo Huguet) [2136122] - wifi: brcmfmac: prevent double-free on hardware-reset (Íñigo Huguet) [2136122] - wifi: brcmfmac: support brcm,ccode-map-trivial DT property (Íñigo Huguet) [2136122] - wifi: brcmfmac: Replace default (not configured) MAC with a random MAC (Íñigo Huguet) [2136122] - wifi: brcmfmac: Add brcmf_c_set_cur_etheraddr() helper (Íñigo Huguet) [2136122] - wifi: brcmfmac: Remove #ifdef guards for PM related functions (Íñigo Huguet) [2136122] - wifi: brcmfmac: use strreplace() in brcmf_of_probe() (Íñigo Huguet) [2136122] - wifi: wilc1000: use existing iftype variable to store the interface type (Íñigo Huguet) [2136122] - wifi: wilc1000: add 'isinit' flag for SDIO bus similar to SPI (Íñigo Huguet) [2136122] - wifi: wilc1000: cancel the connect operation during interface down (Íñigo Huguet) [2136122] - wifi: wilc1000: get correct length of string WID from received config packet (Íñigo Huguet) [2136122] - wifi: wilc1000: set station_info flag only when signal value is valid (Íñigo Huguet) [2136122] - wifi: wilc1000: set correct value of 'close' variable in failure case (Íñigo Huguet) [2136122] - wifi: wilc1000: add WID_TX_POWER WID in g_cfg_byte array (Íñigo Huguet) [2136122] - wifi: rtl8xxxu: Fix the error handling of the probe function (Íñigo Huguet) [2136122] - wifi: mwifiex: clean up one inconsistent indenting (Íñigo Huguet) [2136122] - wifi: rtlwifi: Remove duplicate word and Fix typo (Íñigo Huguet) [2136122] - wifi: mwifiex: Fix comment typo (Íñigo Huguet) [2136122] - wifi: iwlwifi: mvm: fix clang -Wformat warnings (Íñigo Huguet) [2136122] - Revert "ath11k: add support for hardware rfkill for QCA6390" (Íñigo Huguet) [2136122] - wifi: ath11k: Fix register write failure on QCN9074 (Íñigo Huguet) [2136122] - mac80211: tracing: Use the new __vstring() helper (Íñigo Huguet) [2136122] - wifi: mac80211: fix link data leak (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: fix disassoc with MLO (Íñigo Huguet) [2136122] - wifi: mac80211: add macros to loop over active links (Íñigo Huguet) [2136122] - wifi: mac80211: remove erroneous sband/link validation (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: transmit assoc frame with address translation (Íñigo Huguet) [2136122] - wifi: mac80211: verify link addresses are different (Íñigo Huguet) [2136122] - wifi: mac80211: rx: track link in RX data (Íñigo Huguet) [2136122] - wifi: mac80211: optionally implement MLO multicast TX (Íñigo Huguet) [2136122] - wifi: mac80211: expand ieee80211_mgmt_tx() for MLO (Íñigo Huguet) [2136122] - wifi: nl80211: add MLO link ID to the NL80211_CMD_FRAME TX API (Íñigo Huguet) [2136122] - wifi: mac80211: report link ID to cfg80211 on mgmt RX (Íñigo Huguet) [2136122] - wifi: cfg80211: report link ID in NL80211_CMD_FRAME (Íñigo Huguet) [2136122] - wifi: mac80211: add hardware timestamps for RX and TX (Íñigo Huguet) [2136122] - wifi: cfg80211: add hardware timestamps to frame RX info (Íñigo Huguet) [2136122] - wifi: cfg80211/nl80211: move rx management data into a struct (Íñigo Huguet) [2136122] - wifi: cfg80211: add a function for reporting TX status with hardware timestamps (Íñigo Huguet) [2136122] - wifi: nl80211: add RX and TX timestamp attributes (Íñigo Huguet) [2136122] - wifi: ieee80211: add helper functions for detecting TM/FTM frames (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: handle links for wmediumd/virtio (Íñigo Huguet) [2136122] - wifi: mac80211: sta_info: fix link_sta insertion (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: fix link_sta setup (Íñigo Huguet) [2136122] - wifi: nl80211/mac80211: clarify link ID in control port TX (Íñigo Huguet) [2136122] - wifi: mac80211: return error from control port TX for drops (Íñigo Huguet) [2136122] - wifi: nl80211: require MLD address on link STA add/modify (Íñigo Huguet) [2136122] - wifi: mac80211: more station handling sanity checks (Íñigo Huguet) [2136122] - wifi: mac80211: fix link sta hash table handling (Íñigo Huguet) [2136122] - wifi: mac80211: validate link address doesn't change (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: set sta.mlo to mlo state (Íñigo Huguet) [2136122] - wifi: mac80211: fast-xmit: handle non-MLO clients (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: fix address translation for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: fix RX MLD address translation (Íñigo Huguet) [2136122] - wifi: mac80211: fix NULL pointer deref with non-MLD STA (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: fix override calculation (Íñigo Huguet) [2136122] - wifi: mac80211: tx: use AP address in some places for MLO (Íñigo Huguet) [2136122] - net: add missing includes and forward declarations under net/ (Íñigo Huguet) [2136122] - wifi: rtl8192se: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: rtlwifi: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: rt2x00: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: brcmsmac: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: brcmfmac: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: mac80211: do not abuse fq.lock in ieee80211_do_stop() (Íñigo Huguet) [2136122] - wifi: mt7601u: fix clang -Wformat warning (Íñigo Huguet) [2136122] - wifi: mt7601u: eeprom: fix clang -Wformat warning (Íñigo Huguet) [2136122] - wifi: ath: fix repeated words in comments (Íñigo Huguet) [2136122] - wifi: ath11k: mac: fix long line (Íñigo Huguet) [2136122] - tracing/iwlwifi: Use the new __vstring() helper (Íñigo Huguet) [2136122] - tracing/brcm: Use the new __vstring() helper (Íñigo Huguet) [2136122] - tracing/ath: Use the new __vstring() helper (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: set sta.mlo correctly (Íñigo Huguet) [2136122] - wifi: mac80211: remove stray printk (Íñigo Huguet) [2136122] - wifi: mac80211: support MLO authentication/association with one link (Íñigo Huguet) [2136122] - wifi: mac80211: add API to parse multi-link element (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: fix TX link selection (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: use MLO link ID for TX (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: do rc update per link (Íñigo Huguet) [2136122] - wifi: mac80211: do link->MLD address translation on RX (Íñigo Huguet) [2136122] - wifi: mac80211: select link when transmitting to non-MLO stations (Íñigo Huguet) [2136122] - wifi: mac80211: fix up link station creation/insertion (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: implement sta_state for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: limit A-MSDU subframes for client too (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor ieee80211_set_associated() (Íñigo Huguet) [2136122] - wifi: cfg80211: add cfg80211_get_iftype_ext_capa() (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: look up beacon elems only if needed (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor assoc link setup (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: remove address arg to ieee80211_mark_sta_auth() (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor assoc success handling (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor ieee80211_prep_channel() a bit (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor assoc req element building (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: switch some things back to deflink (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: change flags in ieee80211_determine_chantype() (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: shift some code around (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: refactor link station setup (Íñigo Huguet) [2136122] - wifi: mac80211: move IEEE80211_SDATA_OPERATING_GMODE to link (Íñigo Huguet) [2136122] - wifi: mac80211: make ieee80211_check_rate_mask() link-aware (Íñigo Huguet) [2136122] - wifi: mac80211: add multi-link element to AUTH frames (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: clean up supported channels element code (Íñigo Huguet) [2136122] - wifi: mac80211: release channel context on link stop (Íñigo Huguet) [2136122] - wifi: mac80211: prohibit DEAUTH_NEED_MGD_TX_PREP in MLO (Íñigo Huguet) [2136122] - wifi: nl80211: fix some attribute policy entries (Íñigo Huguet) [2136122] - wifi: nl80211: reject fragmented and non-inheritance elements (Íñigo Huguet) [2136122] - wifi: nl80211: reject link specific elements on assoc link (Íñigo Huguet) [2136122] - wifi: cfg80211: set country_elem to NULL (Íñigo Huguet) [2136122] - wifi: mac80211: remove link_id parameter from link_info_changed() (Íñigo Huguet) [2136122] - wifi: mac80211: replace link_id with link_conf in switch/(un)assign_vif_chanctx() (Íñigo Huguet) [2136122] - wifi: nl80211: advertise MLO support (Íñigo Huguet) [2136122] - wifi: mac80211: Support multi link in ieee80211_recalc_min_chandef() (Íñigo Huguet) [2136122] - wifi: mac80211: don't check carrier in chanctx code (Íñigo Huguet) [2136122] - wifi: nl80211: allow link ID in set_wiphy with frequency (Íñigo Huguet) [2136122] - wifi: mac80211: Allow EAPOL tx from specific link (Íñigo Huguet) [2136122] - wifi: mac80211: Allow EAPOL frames from link addresses (Íñigo Huguet) [2136122] - wifi: cfg80211/mac80211: Support control port TX from specific link (Íñigo Huguet) [2136122] - wifi: nl80211: Support MLD parameters in nl80211_set_station() (Íñigo Huguet) [2136122] - wifi: nl80211: check MLO support in authenticate (Íñigo Huguet) [2136122] - wifi: mac80211: add a helper to fragment an element (Íñigo Huguet) [2136122] - wifi: mac80211: skip rate statistics for MLD STAs (Íñigo Huguet) [2136122] - wifi: nl80211: set BSS to NULL if IS_ERR() (Íñigo Huguet) [2136122] - wifi: nl80211: add EML/MLD capabilities to per-iftype capabilities (Íñigo Huguet) [2136122] - wifi: nl80211: better validate link ID for stations (Íñigo Huguet) [2136122] - wifi: mac80211: fix link manipulation (Íñigo Huguet) [2136122] - wifi: mac80211: tighten locking check (Íñigo Huguet) [2136122] - wifi: cfg80211: clean up links appropriately (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: simplify adding ht/vht/he/eht elements (Íñigo Huguet) [2136122] - wifi: mac80211: refactor adding custom elements (Íñigo Huguet) [2136122] - wifi: mac80211: refactor adding rates to assoc request (Íñigo Huguet) [2136122] - wifi: mac80211: use only channel width in ieee80211_parse_bitrates() (Íñigo Huguet) [2136122] - wifi: cfg80211: add ieee80211_chanwidth_rate_flags() (Íñigo Huguet) [2136122] - wifi: mac80211: remove redundant condition (Íñigo Huguet) [2136122] - wifi: mac80211: don't set link address for station (Íñigo Huguet) [2136122] - wifi: mac80211: fix multi-BSSID element parsing (Íñigo Huguet) [2136122] - wifi: mac80211: move tdls_chan_switch_prohibited to link data (Íñigo Huguet) [2136122] - wifi: mac80211: don't re-parse elems in ieee80211_assoc_success() (Íñigo Huguet) [2136122] - wifi: mac80211: replace link_id with link_conf in start/stop_ap() (Íñigo Huguet) [2136122] - wifi: mac80211: refactor elements parsing with parameter struct (Íñigo Huguet) [2136122] - wifi: cfg80211: extend cfg80211_rx_assoc_resp() for MLO (Íñigo Huguet) [2136122] - wifi: cfg80211: put cfg80211_rx_assoc_resp() arguments into a struct (Íñigo Huguet) [2136122] - wifi: cfg80211: adjust assoc comeback for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: unify assoc data event sending (Íñigo Huguet) [2136122] - wifi: cfg80211: prepare association failure APIs for MLO (Íñigo Huguet) [2136122] - wifi: cfg80211: remove BSS pointer from cfg80211_disassoc_request (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: use correct link_sta (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: remove sta argument from ieee80211_config_bw (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: use ieee80211_get_link_sband() (Íñigo Huguet) [2136122] - wifi: mac80211: split IEEE80211_STA_DISABLE_WMM to link data (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: first adjustments for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: do IEEE80211_STA_RESET_SIGNAL_AVE per link (Íñigo Huguet) [2136122] - wifi: mac80211: mlme: track AP (MLD) address separately (Íñigo Huguet) [2136122] - wifi: mac80211: remove unused bssid variable (Íñigo Huguet) [2136122] - wifi: mac80211: change QoS settings API to take link into account (Íñigo Huguet) [2136122] - wifi: mac80211: expect powersave handling in driver for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: move ps setting to vif config (Íñigo Huguet) [2136122] - wifi: mac80211: provide link ID in link_conf (Íñigo Huguet) [2136122] - wifi: mac80211: set up/tear down client vif links properly (Íñigo Huguet) [2136122] - wifi: mac80211: move ieee80211_request_smps_mgd_work (Íñigo Huguet) [2136122] - wifi: nl80211: acquire wdev mutex for dump_survey (Íñigo Huguet) [2136122] - wifi: mac80211: fix key lookup (Íñigo Huguet) [2136122] - wifi: mac80211: separate out connection downgrade flags (Íñigo Huguet) [2136122] - wifi: mac80211: Align with Draft P802.11be_D2.0 (Íñigo Huguet) [2136122] - wifi: mac80211: Align with Draft P802.11be_D1.5 (Íñigo Huguet) [2136122] - wifi: mac80211: skip powersave recalc if driver SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2136122] - wifi: mac80211: debug: omit link if non-MLO connection (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: Ack link addressed frames (Íñigo Huguet) [2136122] - wifi: cfg80211: drop BSS elements from assoc trace for now (Íñigo Huguet) [2136122] - wifi: cfg80211: make cfg80211_auth_request::key_idx signed (Íñigo Huguet) [2136122] - wifi: nl80211: enable setting the link address at new station (Íñigo Huguet) [2136122] - wifi: mac80211: RCU-ify link/link_conf pointers (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex for station APIs (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex for channel switch APIs (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex in add/mod/del link station (Íñigo Huguet) [2136122] - wifi: mac80211: implement callbacks for <add/mod/del>_link_station (Íñigo Huguet) [2136122] - wifi: cfg80211/mac80211: separate link params from station params (Íñigo Huguet) [2136122] - wifi: cfg80211: add API to add/modify/remove a link station (Íñigo Huguet) [2136122] - wifi: mac80211: add an ieee80211_get_link_sband (Íñigo Huguet) [2136122] - wifi: mac80211: Remove AP SMPS leftovers (Íñigo Huguet) [2136122] - wifi: cfg80211: Allow MLO TX with link source address (Íñigo Huguet) [2136122] - wifi: mac80211: Consider MLO links in offchannel logic (Íñigo Huguet) [2136122] - wifi: mac80211: rx: accept link-addressed frames (Íñigo Huguet) [2136122] - wifi: mac80211: consistently use sdata_dereference() (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: Support link channel matching on rx (Íñigo Huguet) [2136122] - wifi: mac80211: fix mesh airtime link metric estimating (Íñigo Huguet) [2136122] - wifi: mac80211: make 4addr null frames using min_rate for WDS (Íñigo Huguet) [2136122] - wifi: cfg80211: use strscpy to replace strlcpy (Íñigo Huguet) [2136122] - wifi: mac80211: exclude multicast packets from AQL pending airtime (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: use 32-bit skb cookie (Íñigo Huguet) [2136122] - mt76: mt7921: Let PCI core handle power state and use pm_sleep_ptr() (Íñigo Huguet) [2136122] - mediatek: mt76: mac80211: Fix missing of_node_put() in mt76_led_init() (Íñigo Huguet) [2136122] - mt76: get rid of mt76_wcid_hw routine (Íñigo Huguet) [2136122] - mt76: convert MT_TX_HW_QUEUE_EXT_PHY to MT_TX_HW_QUEUE_PHY (Íñigo Huguet) [2136122] - mt76: add phy_idx to mt76_wcid (Íñigo Huguet) [2136122] - mt76: introduce phys array in mt76_dev structure (Íñigo Huguet) [2136122] - mt76: add phy_idx in mt76_rx_status (Íñigo Huguet) [2136122] - mt76: introduce MT_RXQ_BAND2 and MT_RXQ_BAND2_WA in mt76_rxq_id (Íñigo Huguet) [2136122] - mt76: add len parameter to __mt76_mcu_msg_alloc signature (Íñigo Huguet) [2136122] - mt76: mt7915 add ht mpdu density (Íñigo Huguet) [2136122] - mt76: mt7921: reduce the mutex lock scope during reset (Íñigo Huguet) [2136122] - mt76: mt7921: reduce log severity levels for informative messages (Íñigo Huguet) [2136122] - mt76: connac: move tx initialization/cleanup in mt76_connac module (Íñigo Huguet) [2136122] - mt76: mt7921: make mt7921_pci_driver static (Íñigo Huguet) [2136122] - mt76: mt7921: enable HW beacon filter in the initialization stage (Íñigo Huguet) [2136122] - mt76: mt7921: enable HW beacon filter not depending on PM flag (Íñigo Huguet) [2136122] - mt76: remove q->qid (Íñigo Huguet) [2136122] - mt76: do not use skb_set_queue_mapping for internal purposes (Íñigo Huguet) [2136122] - mt76: pass original queue id from __mt76_tx_queue_skb to the driver (Íñigo Huguet) [2136122] - mt76: allow receiving frames with invalid CCMP PN via monitor interfaces (Íñigo Huguet) [2136122] - mt76: mt76x02: improve reliability of the beacon hang check (Íñigo Huguet) [2136122] - mt76: mt7615: add sta_rec with EXTRA_INFO_NEW for the first time only (Íñigo Huguet) [2136122] - mt76: mt7915: update the maximum size of beacon offload (Íñigo Huguet) [2136122] - mt76: do not check the ccmp pn for ONLY_MONITOR frame (Íñigo Huguet) [2136122] - mt76: mt7915: add sta_rec with EXTRA_INFO_NEW for the first time only (Íñigo Huguet) [2136122] - mt76: mt7915: update mpdu density in 6g capability (Íñigo Huguet) [2136122] - mt76: mt7915: do not copy ieee80211_ops pointer in mt7915_mmio_probe (Íñigo Huguet) [2136122] - mt76: mt7915: disable UL MU-MIMO for mt7915 (Íñigo Huguet) [2136122] - mt76: move mt76_connac2_mcu_fill_message in mt76_connac module (Íñigo Huguet) [2136122] - mt76: move mcu_txd/mcu_rxd structures in shared code (Íñigo Huguet) [2136122] - mt76: mt7915: rely on mt76_connac_tx_free (Íñigo Huguet) [2136122] - mt76: connac: move mt7615_txp_skb_unmap in common code (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_write_hw_txp in shared code (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_tx_complete_skb in shared code (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_tx_free in shared code (Íñigo Huguet) [2136122] - mt76: move mt7615_txp_ptr in mt76_connac module (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac_fw_txp in common module (Íñigo Huguet) [2136122] - mt76: mt7915: get rid of unnecessary new line in mt7915_mac_write_txwi (Íñigo Huguet) [2136122] - mt76: mt7921s: remove unnecessary goto in mt7921s_mcu_drv_pmctrl (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_mac_fill_rx_rate in connac module (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_reverse_frag0_hdr_trans in mt76-connac module (Íñigo Huguet) [2136122] - mt76: connac: move HE radiotap parsing in connac module (Íñigo Huguet) [2136122] - mt76: mt7921: fix command timeout in AP stop period (Íñigo Huguet) [2136122] - mt76: mt7921: not support beacon offload disable command (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_mac_add_txs_skb in connac module (Íñigo Huguet) [2136122] - mt76: connac: move connac2_mac_write_txwi in mt76_connac module (Íñigo Huguet) [2136122] - mt76: connac: move mac connac2 defs in mt76_connac2_mac.h (Íñigo Huguet) [2136122] - mt76: mt7915: rely on mt76_dev in mt7915_mac_write_txwi signature (Íñigo Huguet) [2136122] - mt76: mt7921: rely on mt76_dev in mt7921_mac_write_txwi signature (Íñigo Huguet) [2136122] - mt76: enable the VHT extended NSS BW feature (Íñigo Huguet) [2136122] - mt76: mt7663: rely on mt76_connac2_fw_trailer (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_load_patch in connac module (Íñigo Huguet) [2136122] - mt76: connac: move mt76_connac2_load_ram in connac module (Íñigo Huguet) [2136122] - mt76: mt7921: move fw toggle in mt7921_load_firmware (Íñigo Huguet) [2136122] - mt76: connac: move shared fw structures in connac module (Íñigo Huguet) [2136122] - mt76: mt7921: get rid of mt7921_mcu_exit (Íñigo Huguet) [2136122] - mt76: mt7921: add missing bh-disable around rx napi schedule (Íñigo Huguet) [2136122] - mt76: mt7615: add missing bh-disable around rx napi schedule (Íñigo Huguet) [2136122] - mt76: mt7915: add missing bh-disable around tx napi enable/schedule (Íñigo Huguet) [2136122] - mt76: mt7921: add PATCH_FINISH_REQ cmd response handling (Íñigo Huguet) [2136122] - mt76: add DBDC rxq handlings into mac_reset_work (Íñigo Huguet) [2136122] - mt76: mt7915: add more ethtool stats (Íñigo Huguet) [2136122] - mt76: mt7921: introduce ACPI SAR config in tx power (Íñigo Huguet) [2136122] - mt76: mt7921: introduce ACPI SAR support (Íñigo Huguet) [2136122] - mt76: add 6 GHz band support in mt76_sar_freq_ranges (Íñigo Huguet) [2136122] - mt76: mt7915: fix endian bug in mt7915_rf_regval_set() (Íñigo Huguet) [2136122] - mt76: mt76x02u: fix possible memory leak in __mt76x02u_mcu_send_msg (Íñigo Huguet) [2136122] - mt76: mt7915: fix endianness in mt7915_rf_regval_get (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: add back erroneously removed cast (Íñigo Huguet) [2136122] - wifi: mac80211: fix queue selection for mesh/OCB interfaces (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: fix race condition in pending packet (Íñigo Huguet) [2136122] - wifi: mac80211: Use the bitmap API to allocate bitmaps (Íñigo Huguet) [2136122] - wifi: mac80211: fix center freq calculation in ieee80211_chandef_downgrade (Íñigo Huguet) [2136122] - wifi: nl80211: fix sending link ID info of associated BSS (Íñigo Huguet) [2136122] - wifi: cfg80211: fix a comment in cfg80211_mlme_mgmt_tx() (Íñigo Huguet) [2136122] - wifi: nl80211: Fix reading NL80211_ATTR_MLO_LINK_ID in nl80211_pre_doit (Íñigo Huguet) [2136122] - bus: mhi: ep: Check dev_set_name() return value (Íñigo Huguet) [2136122] - ath9k: remove unexpected words "the" in comments (Íñigo Huguet) [2136122] - ath11k: Fix typo in comments (Íñigo Huguet) [2136122] - wifi: nl80211: retrieve EHT related elements in AP mode (Íñigo Huguet) [2136122] - wifi: cfg80211: Increase akm_suites array size in cfg80211_crypto_settings (Íñigo Huguet) [2136122] - wifi: cfg80211: remove chandef check in cfg80211_cac_event() (Íñigo Huguet) [2136122] - wifi: nl80211: relax wdev mutex check in wdev_chandef() (Íñigo Huguet) [2136122] - wifi: nl80211: acquire wdev mutex earlier in start_ap (Íñigo Huguet) [2136122] - wifi: nl80211: hold wdev mutex for tid config (Íñigo Huguet) [2136122] - wifi: cfg80211: handle IBSS in channel switch (Íñigo Huguet) [2136122] - wifi: mac80211: properly skip link info driver update (Íñigo Huguet) [2136122] - wifi: mac80211: only accumulate airtime deficit for active clients (Íñigo Huguet) [2136122] - wifi: mac80211: add debugfs file to display per-phy AQL pending airtime (Íñigo Huguet) [2136122] - wifi: mac80211: add a per-PHY AQL limit to improve fairness (Íñigo Huguet) [2136122] - wifi: mac80211: keep recently active tx queues in scheduling list (Íñigo Huguet) [2136122] - wifi: mac80211: consider aql_tx_pending when checking airtime deficit (Íñigo Huguet) [2136122] - wifi: mac80211: make sta airtime deficit field s32 instead of s64 (Íñigo Huguet) [2136122] - wifi: mac80211: fix a kernel-doc complaint (Íñigo Huguet) [2136122] - wifi: cfg80211: remove redundant documentation (Íñigo Huguet) [2136122] - wifi: mac80211: sta_info: fix a missing kernel-doc struct element (Íñigo Huguet) [2136122] - wifi: mac80211: add a missing comma at kernel-doc markup (Íñigo Huguet) [2136122] - wifi: cfg80211: fix kernel-doc warnings all over the file (Íñigo Huguet) [2136122] - wifi: ieee80211: s1g action frames are not robust (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Add another Foxconn T99W175 (Íñigo Huguet) [2136122] - wifi: cfg80211: Allow P2P client interface to indicate port authorization (Íñigo Huguet) [2136122] - wifi: mac80211: do not wake queues on a vif that is being stopped (Íñigo Huguet) [2136122] - wifi: mac80211: check skb_shared in ieee80211_8023_xmit() (Íñigo Huguet) [2136122] - wifi: mac80211: add gfp_t parameter to ieeee80211_obss_color_collision_notify (Íñigo Huguet) [2136122] - bus: mhi: host: Move IRQ allocation to controller registration phase (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Add Cinterion MV31-W with new baseline (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Add support for Quectel EM120 FCCL modem (Íñigo Huguet) [2136122] - wifi: rtw89: disable invalid phy reports for all ICs (Íñigo Huguet) [2136122] - wifi: rtw89: pci: fix PCI doesn't reclaim TX BD properly (Íñigo Huguet) [2136122] - wifi: rtw89: fix long RX latency in low power mode (Íñigo Huguet) [2136122] - wifi: rtw89: drop invalid TX rate report of legacy rate (Íñigo Huguet) [2136122] - wifi: rtw89: add UNEXP debug mask to keep monitor messages unexpected to happen frequently (Íñigo Huguet) [2136122] - wifi: rtw89: enable VO TX AMPDU (Íñigo Huguet) [2136122] - wifi: rtw89: fix potential TX stuck (Íñigo Huguet) [2136122] - wifi: rtw89: support TDLS (Íñigo Huguet) [2136122] - wifi: rtw89: allocate BSSID CAM per TDLS peer (Íñigo Huguet) [2136122] - wifi: rtw89: separate BSSID CAM operations (Íñigo Huguet) [2136122] - wifi: rtw89: allocate address CAM and MAC ID to TDLS peer (Íñigo Huguet) [2136122] - cfg80211: Indicate MLO connection info in connect and roam callbacks (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: print the link id (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: support creating MLO-capable radios (Íñigo Huguet) [2136122] - wifi: nl80211: expose link ID for associated BSSes (Íñigo Huguet) [2136122] - wifi: nl80211: expose link information for interfaces (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: send a beacon per link (Íñigo Huguet) [2136122] - wifi: mac80211: set STA deflink addresses (Íñigo Huguet) [2136122] - wifi: mac80211: maintain link-sta hash table (Íñigo Huguet) [2136122] - wifi: mac80211: RCU-ify link STA pointers (Íñigo Huguet) [2136122] - wifi: mac80211: ethtool: use deflink for now (Íñigo Huguet) [2136122] - wifi: mac80211: move ieee80211_bssid_match() function (Íñigo Huguet) [2136122] - wifi: mac80211: return a beacon for a specific link (Íñigo Huguet) [2136122] - wifi: mac80211: pass the link id in start/stop ap (Íñigo Huguet) [2136122] - wifi: mac80211: use link in start/stop ap (Íñigo Huguet) [2136122] - wifi: mac80211: implement add/del interface link callbacks (Íñigo Huguet) [2136122] - wifi: cfg80211: add optional link add/remove callbacks (Íñigo Huguet) [2136122] - wifi: cfg80211: sort trace.h (Íñigo Huguet) [2136122] - wifi: mac80211: add sta link addition/removal (Íñigo Huguet) [2136122] - wifi: mac80211: add MLO link ID to TX frame metadata (Íñigo Huguet) [2136122] - wifi: mac80211: remove band from TX info in MLO (Íñigo Huguet) [2136122] - wifi: mac80211: add vif link addition/removal (Íñigo Huguet) [2136122] - wifi: nl80211: support MLO in auth/assoc (Íñigo Huguet) [2136122] - wifi: mac80211: ignore IEEE80211_CONF_CHANGE_SMPS in chanctx mode (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: split bss_info_changed to vif/link info_changed (Íñigo Huguet) [2136122] - wifi: cfg80211: simplify cfg80211_mlme_auth() prototype (Íñigo Huguet) [2136122] - wifi: ieee80211: add definitions for multi-link element (Íñigo Huguet) [2136122] - wifi: nl80211: refactor BSS lookup in nl80211_associate() (Íñigo Huguet) [2136122] - wifi: cfg80211: mlme: get BSS entry outside cfg80211_mlme_assoc() (Íñigo Huguet) [2136122] - wifi: mac80211: tx: simplify chanctx_conf handling (Íñigo Huguet) [2136122] - wifi: mac80211: status: look up band only where needed (Íñigo Huguet) [2136122] - wifi: mac80211: sort trace.h file (Íñigo Huguet) [2136122] - wifi: mac80211: correct link config data in tracing (Íñigo Huguet) [2136122] - wifi: mac80211: make ieee80211_he_cap_ie_to_sta_he_cap() MLO-aware (Íñigo Huguet) [2136122] - wifi: mac80211: make some SMPS code MLD-aware (Íñigo Huguet) [2136122] - wifi: mac80211: HT: make ieee80211_ht_cap_ie_to_sta_ht_cap() MLO-aware (Íñigo Huguet) [2136122] - wifi: mac80211: add link_id to eht.c code for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: add link_id to vht.c code for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: refactor some link setup code (Íñigo Huguet) [2136122] - wifi: mac80211: validate some driver features for MLO (Íñigo Huguet) [2136122] - wifi: mac80211: use IEEE80211_MLD_MAX_NUM_LINKS (Íñigo Huguet) [2136122] - wifi: mac80211: refactor some sta_info link handling (Íñigo Huguet) [2136122] - wifi: mac80211: remove sta_info_tx_streams() (Íñigo Huguet) [2136122] - wifi: mac80211: make channel context code MLO-aware (Íñigo Huguet) [2136122] - wifi: mac80211: pass link ID where already present (Íñigo Huguet) [2136122] - wifi: mac80211: add per-link configuration pointer (Íñigo Huguet) [2136122] - wifi: mac80211: split bss_info_changed method (Íñigo Huguet) [2136122] - wifi: mac80211: reorg some iface data structs for MLD (Íñigo Huguet) [2136122] - wifi: mac80211: move interface config to new struct (Íñigo Huguet) [2136122] - wifi: mac80211: move some future per-link data to bss_conf (Íñigo Huguet) [2136122] - wifi: cfg80211: do some rework towards MLO link APIs (Íñigo Huguet) [2136122] - wifi: mac80211: reject WEP or pairwise keys with key ID > 3 (Íñigo Huguet) [2136122] - brcmfmac: Switch to appropriate helper to load EFI variable contents (Íñigo Huguet) [2136122] - iwlwifi: Switch to proper EFI variable store interface (Íñigo Huguet) [2136122] - wifi: mac80211_hwsim: Directly use ida_alloc()/free() (Íñigo Huguet) [2136122] - wifi: mac80211: refactor some key code (Íñigo Huguet) [2136122] - wifi: mac80211: remove cipher scheme support (Íñigo Huguet) [2136122] - wifi: nl80211: fix typo in comment (Íñigo Huguet) [2136122] - wifi: virt_wifi: fix typo in comment (Íñigo Huguet) [2136122] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_391.RULE (Íñigo Huguet) [2136122] - rtw88: 8821c: fix access const table of channel parameters (Íñigo Huguet) [2136122] - rtw89: add new state to CFO state machine for UL-OFDMA (Íñigo Huguet) [2136122] - rtw89: 8852c: add trigger frame counter (Íñigo Huguet) [2136122] - ieee80211: add trigger frame definition (Íñigo Huguet) [2136122] - ath10k: fix recently introduced checkpatch warning (Íñigo Huguet) [2136122] - wifi: rtw89: support MULTI_BSSID and correct BSSID mask of H2C (Íñigo Huguet) [2136122] - wifi: ray_cs: Drop useless status variable in parse_addr() (Íñigo Huguet) [2136122] - wifi: ray_cs: Utilize strnlen() in parse_addr() (Íñigo Huguet) [2136122] - wifi: rtw88: use %%*ph to print small buffer (Íñigo Huguet) [2136122] - ath11k: Fix warnings reported by checkpatch (Íñigo Huguet) [2136122] - ath11k: Fix LDPC config in set_bitrate_mask hook (Íñigo Huguet) [2136122] - wifi: mac80211: fix use-after-free in chanctx code (Íñigo Huguet) [2136122] - ath10k: fix regdomain info of iw reg set/get (Íñigo Huguet) [2136122] - ath11k: support avg signal in station dump (Íñigo Huguet) [2136122] - wifi: wilc1000: add IGTK support (Íñigo Huguet) [2136122] - wifi: wilc1000: add WPA3 SAE support (Íñigo Huguet) [2136122] - wifi: wilc1000: remove WEP security support (Íñigo Huguet) [2136122] - wifi: wilc1000: use correct sequence of RESET for chip Power-UP/Down (Íñigo Huguet) [2136122] - wifi: rtlwifi: fix error codes in rtl_debugfs_set_write_h2c() (Íñigo Huguet) [2136122] - wifi: rtw88: Fix Sparse warning for rtw8821c_hw_spec (Íñigo Huguet) [2136122] - wifi: rtw88: Fix Sparse warning for rtw8723d_hw_spec (Íñigo Huguet) [2136122] - wifi: rtw88: Fix Sparse warning for rtw8822c_hw_spec (Íñigo Huguet) [2136122] - wifi: rtw88: Fix sparse warning for rtw8822b_hw_spec (Íñigo Huguet) [2136122] - rtw88: fix null vif pointer when hw_scan fails (Íñigo Huguet) [2136122] - rtw89: sar: adjust and support SAR on 6GHz band (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: re-calibrate RX DCK once thermal changes a lot (Íñigo Huguet) [2136122] - rtw89: pci: handle hardware watchdog timeout interrupt status (Íñigo Huguet) [2136122] - rtw89: fix null vif pointer when hw_scan fails (Íñigo Huguet) [2136122] - rtw89: fix channel inconsistency during hw_scan (Íñigo Huguet) [2136122] - wifi: rtw88: add a work to correct atomic scheduling warning of ::set_tim (Íñigo Huguet) [2136122] - wifi: iwlwifi: pcie: rename CAUSE macro (Íñigo Huguet) [2136122] - wifi: brcmfmac: work around a GCC 12 -Warray-bounds warning (Íñigo Huguet) [2136122] - wifi: iwlwifi: use unsigned to silence a GCC 12 warning (Íñigo Huguet) [2136122] - wifi: rtlwifi: remove always-true condition pointed out by GCC 12 (Íñigo Huguet) [2136122] - wifi: ath9k: silence array-bounds warning on GCC 12 (Íñigo Huguet) [2136122] - ath9k: replace ternary operator with max() (Íñigo Huguet) [2136122] - ath11k: Init hw_params before setting up AHB resources (Íñigo Huguet) [2136122] - ath11k: Fix warning on variable 'sar' dereference before check (Íñigo Huguet) [2136122] - ath10k: fix misreported tx bandwidth for 160Mhz (Íñigo Huguet) [2136122] - ath10k: add encapsulation offloading support (Íñigo Huguet) [2136122] - ath10k: turn rawmode into frame_mode (Íñigo Huguet) [2136122] - ath10k: improve tx status reporting (Íñigo Huguet) [2136122] - ath10k: do not enforce interrupt trigger type (Íñigo Huguet) [2136122] - iwlwifi: mei: fix potential NULL-ptr deref (Íñigo Huguet) [2136122] - iwlwifi: mei: clear the sap data header before sending (Íñigo Huguet) [2136122] - iwlwifi: mvm: remove vif_count (Íñigo Huguet) [2136122] - iwlwifi: mvm: always tell the firmware to accept MCAST frames in BSS (Íñigo Huguet) [2136122] - iwlwifi: mvm: add OTP info in case of init failure (Íñigo Huguet) [2136122] - iwlwifi: mvm: fix assert 1F04 upon reconfig (Íñigo Huguet) [2136122] - iwlwifi: mvm: clean up authorized condition (Íñigo Huguet) [2136122] - iwlwifi: mvm: use NULL instead of ERR_PTR when parsing wowlan status (Íñigo Huguet) [2136122] - iwlwifi: pcie: simplify MSI-X cause mapping (Íñigo Huguet) [2136122] - ath11k: update missing MU-MIMO and OFDMA stats (Íñigo Huguet) [2136122] - rtw89: pci: only mask out INT indicator register for disable interrupt v1 (Íñigo Huguet) [2136122] - rtw89: convert rtw89_band to nl80211_band precisely (Íñigo Huguet) [2136122] - rtw89: 8852c: update txpwr tables to HALRF_027_00_052 (Íñigo Huguet) [2136122] - rtw89: cfo: check mac_id to avoid out-of-bounds (Íñigo Huguet) [2136122] - rtw89: 8852c: set TX antenna path (Íñigo Huguet) [2136122] - rtw89: add ieee80211::sta_rc_update ops (Íñigo Huguet) [2136122] - wireless: Fix Makefile to be in alphabetical order (Íñigo Huguet) [2136122] - mac80211: refactor freeing the next_beacon (Íñigo Huguet) [2136122] - cfg80211: fix kernel-doc for cfg80211_beacon_data (Íñigo Huguet) [2136122] - mac80211: minstrel_ht: support ieee80211_rate_status (Íñigo Huguet) [2136122] - mac80211: extend current rate control tx status API (Íñigo Huguet) [2136122] - mac80211: minstrel_ht: fill all requested rates (Íñigo Huguet) [2136122] - mac80211: disable BSS color collision detection in case of no free colors (Íñigo Huguet) [2136122] - nl80211: Parse NL80211_ATTR_HE_BSS_COLOR as a part of nl80211_parse_beacon (Íñigo Huguet) [2136122] - mac80211: mlme: track assoc_bss/associated separately (Íñigo Huguet) [2136122] - mac80211: remove useless bssid copy (Íñigo Huguet) [2136122] - mac80211: remove unused argument to ieee80211_sta_connection_lost() (Íñigo Huguet) [2136122] - mac80211: mlme: use local SSID copy (Íñigo Huguet) [2136122] - mac80211: use ifmgd->bssid instead of ifmgd->associated->bssid (Íñigo Huguet) [2136122] - mac80211: mlme: move in RSSI reporting code (Íñigo Huguet) [2136122] - mac80211: remove stray multi_sta_back_32bit docs (Íñigo Huguet) [2136122] - mac80211: fix typo in documentation (Íñigo Huguet) [2136122] - mac80211: unify CCMP/GCMP AAD construction (Íñigo Huguet) [2136122] - mt76: mt7921: add ipv6 NS offload support (Íñigo Huguet) [2136122] - mt76: add gfp to mt76_mcu_msg_alloc signature (Íñigo Huguet) [2136122] - mt76: mt7915: add more statistics from fw_util debugfs knobs (Íñigo Huguet) [2136122] - mt76: mt7915: improve error handling for fw_debug knobs (Íñigo Huguet) [2136122] - mt76: mt7615/mt7915: do reset_work with mt76's work queue (Íñigo Huguet) [2136122] - mt76: mt7915: add support for 6G in-band discovery (Íñigo Huguet) [2136122] - mt76: mt7915: add Wireless Ethernet Dispatch support (Íñigo Huguet) [2136122] - net: ethernet: mtk_eth_soc: add support for Wireless Ethernet Dispatch (WED) (Íñigo Huguet) [2136122] - mt76: make number of tokens configurable dynamically (Íñigo Huguet) [2136122] - mt76: add support for overriding the device used for DMA mapping (Íñigo Huguet) [2136122] - mt76: dma: add wrapper macro for accessing queue registers (Íñigo Huguet) [2136122] - mt76: mt7915: move MT_INT_MASK_CSR to init.c (Íñigo Huguet) [2136122] - mt76: mt7915: introduce mt7915_mac_severe_check() (Íñigo Huguet) [2136122] - mt76: mt7915: rework SER debugfs knob (Íñigo Huguet) [2136122] - mt76: mt7915: limit minimum twt duration (Íñigo Huguet) [2136122] - mt76: mt7915: reject duplicated twt flows (Íñigo Huguet) [2136122] - mt76: mt7915: update mt7986 patch in mt7986_wmac_adie_patch_7976() (Íñigo Huguet) [2136122] - mt76: connac: use skb_put_data instead of open coding (Íñigo Huguet) [2136122] - mt76: mt7915: configure soc clocks in mt7986_wmac_init (Íñigo Huguet) [2136122] - mt76: fix encap offload ethernet type check (Íñigo Huguet) [2136122] - mt76: mt7915: disable RX_HDR_TRANS_SHORT (Íñigo Huguet) [2136122] - mt76: mt7603: move spin_lock_bh() to spin_lock() (Íñigo Huguet) [2136122] - mt76: mt7915: add debugfs knob for RF registers read/write (Íñigo Huguet) [2136122] - mt76: mt7921: make read-only array ppet16_ppet8_ru3_ru0 static const (Íñigo Huguet) [2136122] - mt76: mt7915: make read-only array ppet16_ppet8_ru3_ru0 static const (Íñigo Huguet) [2136122] - mt76: mt7915: remove SCS feature (Íñigo Huguet) [2136122] - mt76: fix rx reordering with non explicit / psmp ack policy (Íñigo Huguet) [2136122] - mt76: mt7921: Add AP mode support (Íñigo Huguet) [2136122] - mt76: mt7915: use 0xff to initialize bitrate_mask in mt7915_init_bitrate_mask (Íñigo Huguet) [2136122] - mt76: mt7915: always call mt7915_wfsys_reset() during init (Íñigo Huguet) [2136122] - mt76: mt7921: rely on mt76_dev rxfilter in mt7921_configure_filter (Íñigo Huguet) [2136122] - mt76: mt7921u: add suspend/resume support (Íñigo Huguet) [2136122] - mt76: fix MBSS index condition in DBDC mode (Íñigo Huguet) [2136122] - mt76: fix use-after-free by removing a non-RCU wcid pointer (Íñigo Huguet) [2136122] - mt76: mt7921: accept rx frames with non-standard VHT MCS10-11 (Íñigo Huguet) [2136122] - mt76: mt7915: accept rx frames with non-standard VHT MCS10-11 (Íñigo Huguet) [2136122] - mt76: dma: use kzalloc instead of devm_kzalloc for txwi (Íñigo Huguet) [2136122] - mt76: reduce tx queue lock hold time (Íñigo Huguet) [2136122] - mt76: mt7915: rework hardware/phy initialization (Íñigo Huguet) [2136122] - rtlwifi: Use pr_warn instead of WARN_ONCE (Íñigo Huguet) [2136122] - rtw89: add debug entry to dump BSSID CAM (Íñigo Huguet) [2136122] - rtw89: add debug select to dump MAC pages 0x30 to 0x33 (Íñigo Huguet) [2136122] - rtw89: correct CCA control (Íñigo Huguet) [2136122] - rtw89: correct setting of RX MPDU length (Íñigo Huguet) [2136122] - rtw89: 8852c: add settings to decrease the effect of DC (Íñigo Huguet) [2136122] - brcmfmac: allow setting wlan MAC address using device tree (Íñigo Huguet) [2136122] - wilc1000: add valid vmm_entry check before fetching from TX queue (Íñigo Huguet) [2136122] - wilc1000: use 'u64' datatype for cookie variable (Íñigo Huguet) [2136122] - wilc1000: fix crash observed in AP mode with cfg80211_register_netdevice() (Íñigo Huguet) [2136122] - wilc1000: use fixed function base register value to access SDIO_FBR_ENABLE_CSA (Íñigo Huguet) [2136122] - wilc1000: increase firmware version array size (Íñigo Huguet) [2136122] - ath11k: remove redundant assignment to variables vht_mcs and he_mcs (Íñigo Huguet) [2136122] - ath11k: Reuse the available memory after firmware reload (Íñigo Huguet) [2136122] - ath11k: Designating channel frequency when sending management frames (Íñigo Huguet) [2136122] - ath11k: Implement remain-on-channel support (Íñigo Huguet) [2136122] - ath11k: Handle keepalive during WoWLAN suspend and resume (Íñigo Huguet) [2136122] - bus: mhi: host: Add support for Foxconn T99W373 and T99W368 (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: add Telit FN990 (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: add Telit FN980 v1 hardware revision (Íñigo Huguet) [2136122] - bus: mhi: replace snprintf with sysfs_emit (Íñigo Huguet) [2136122] - rtw88: adjust adaptivity option to 1 (Íñigo Huguet) [2136122] - ath11k: Fix RX de-fragmentation issue on WCN6750 (Íñigo Huguet) [2136122] - ath10k: support bus and device specific API 1 BDF selection (Íñigo Huguet) [2136122] - ath10k: mac: fix too long lines (Íñigo Huguet) [2136122] - ath11k: mac: fix too long line (Íñigo Huguet) [2136122] - mac80211: support disabling EHT mode (Íñigo Huguet) [2136122] - cfg80211: support disabling EHT mode (Íñigo Huguet) [2136122] - mac80211: upgrade passive scan to active scan on DFS channels after beacon rx (Íñigo Huguet) [2136122] - mac80211: tx: delete a redundant if statement in ieee80211_check_fast_xmit() (Íñigo Huguet) [2136122] - mac80211: consider Order bit to fill CCMP AAD (Íñigo Huguet) [2136122] - cfg80211: declare MODULE_FIRMWARE for regulatory.db (Íñigo Huguet) [2136122] - nl80211: rework internal_flags usage (Íñigo Huguet) [2136122] - nl80211: don't hold RTNL in color change request (Íñigo Huguet) [2136122] - cfg80211: remove cfg80211_get_chan_state() (Íñigo Huguet) [2136122] - ath11k: Add support for targets without trustzone (Íñigo Huguet) [2136122] - rtw89: 8852c: add 8852ce to Makefile and Kconfig (Íñigo Huguet) [2136122] - rtw89: 8852c: fix warning of FIELD_PREP() mask type (Íñigo Huguet) [2136122] - rtw89: 8852c: correct register definitions used by 8852c (Íñigo Huguet) [2136122] - rtw89: correct AID settings of beamformee (Íñigo Huguet) [2136122] - rtw89: ps: fine tune polling interval while changing low power mode (Íñigo Huguet) [2136122] - rtw89: 8852c: add basic and remaining chip_info (Íñigo Huguet) [2136122] - rtw89: 8852c: add chip_ops::bb_ctrl_btc_preagc (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: get calibrated channels to notify firmware (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add DPK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add IQK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add RX DCK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add RCK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add TSSI (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add LCK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add DACK (Íñigo Huguet) [2136122] - rtw89: 8852c: rfk: add RFK tables (Íñigo Huguet) [2136122] - ath11k: Add support for WCN6750 device (Íñigo Huguet) [2136122] - ath11k: Datapath changes to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: HAL changes to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: Add QMI changes for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Fetch device information via QMI for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Add register access logic for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Add HW params for WCN6750 (Íñigo Huguet) [2136122] - ath11k: Move parameters in bus_params to hw_params (Íñigo Huguet) [2136122] - ath11k: change management tx queue to avoid connection timed out (Íñigo Huguet) [2136122] - ath11k: fix warning of not found station for bssid in message (Íñigo Huguet) [2136122] - rtw88: fix hw scan may cause disconnect issue (Íñigo Huguet) [2136122] - rtw88: fix not disabling beacon filter after disconnection (Íñigo Huguet) [2136122] - rtw88: add HT MPDU density value for each chip (Íñigo Huguet) [2136122] - ath11k: Don't use GFP_KERNEL in atomic context (Íñigo Huguet) [2136122] - ath10k: skip ath10k_halt during suspend for driver state RESTARTING (Íñigo Huguet) [2136122] - rtw89: remove unneeded semicolon (Íñigo Huguet) [2136122] - ath10k: simplify if-if to if-else (Íñigo Huguet) [2136122] - ath11k: read country code from SMBIOS for WCN6855/QCA6390 (Íñigo Huguet) [2136122] - ath11k: disable spectral scan during spectral deinit (Íñigo Huguet) [2136122] - ath11k: Update WBM idle ring HP after FW mode on (Íñigo Huguet) [2136122] - ath11k: WMI changes to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: Do not put HW in DBS mode for WCN6750 (Íñigo Huguet) [2136122] - rtlwifi: btcoex: fix if == else warning (Íñigo Huguet) [2136122] - rtw88: use the correct bit in the REG_HCI_OPT_CTRL register (Íñigo Huguet) [2136122] - mwifiex: Add SD8997 SDIO-UART firmware (Íñigo Huguet) [2136122] - mwifiex: Select firmware based on strapping (Íñigo Huguet) [2136122] - rtw89: 8852c: add chip_ops related to BTC (Íñigo Huguet) [2136122] - rtw89: 8852c: fill freq and band of RX status by PPDU report (Íñigo Huguet) [2136122] - rtw89: 8852c: implement chip_ops::get_thermal (Íñigo Huguet) [2136122] - rtw89: 8852c: implement chip_ops related to TX power (Íñigo Huguet) [2136122] - rtw89: 8852c: configure default BB TX/RX path (Íñigo Huguet) [2136122] - rtw89: add RF H2C to notify firmware (Íñigo Huguet) [2136122] - rtw89: don't flush hci queues and send h2c if power is off (Íñigo Huguet) [2136122] - rtw89: pci: allow to process RPP prior to TX BD (Íñigo Huguet) [2136122] - rtw89: ps: access TX/RX rings via another registers in low power mode (Íñigo Huguet) [2136122] - rtw89: ser: re-enable interrupt in threadfn if under_recovery (Íñigo Huguet) [2136122] - rtw89: pci: add a separate interrupt handler for low power mode (Íñigo Huguet) [2136122] - rtw89: pci: reclaim TX BD only if it really need (Íñigo Huguet) [2136122] - rtw89: pci: add variant RPWM/CPWM to enter low power mode (Íñigo Huguet) [2136122] - rtw89: pci: add variant IMR/ISR and configure functions (Íñigo Huguet) [2136122] - bus: mhi: host: Add support for Cinterion MV32-WA/MV32-WB (Íñigo Huguet) [2136122] - bus: mhi: host: Optimize and update MMIO register write method (Íñigo Huguet) [2136122] - bus: mhi: host: Bail on writing register fields if read fails (Íñigo Huguet) [2136122] - bus: mhi: host: Wait for ready state after reset (Íñigo Huguet) [2136122] - bus: mhi: host: Add soc_reset sysfs (Íñigo Huguet) [2136122] - bus: mhi: host: pci_generic: Sort mhi_pci_id_table based on the PID (Íñigo Huguet) [2136122] - bus: mhi: host: Use cached values for calculating the shared write pointer (Íñigo Huguet) [2136122] - rtw88: pci: 8821c: Disable 21ce completion timeout (Íñigo Huguet) [2136122] - rtw88: fix uninitialized 'tim_offset' warning (Íñigo Huguet) [2136122] - rtw89: 8852c: add help function of set channel (Íñigo Huguet) [2136122] - rtw89: 8852c: add set channel of BB part (Íñigo Huguet) [2136122] - rtw89: 8852c: set channel of MAC part (Íñigo Huguet) [2136122] - rtw89: 8852c: add set channel function of RF part (Íñigo Huguet) [2136122] - rtw89: 8852c: add HFC parameters (Íñigo Huguet) [2136122] - rtw89: 8852c: add efuse gain offset parser (Íñigo Huguet) [2136122] - rtw89: 8852c: support bb gain info (Íñigo Huguet) [2136122] - rtw89: 8852c: add BB initial and reset functions (Íñigo Huguet) [2136122] - rtw89: 8852c: phy: configure TSSI bandedge (Íñigo Huguet) [2136122] - rtw89: 8852c: add TX power track tables (Íñigo Huguet) [2136122] - rtw89: 8852c: add TX power by rate and limit tables (Íñigo Huguet) [2136122] - rtw89: 8852c: add BB and RF parameters tables (Íñigo Huguet) [2136122] - ath9k: fix QCA9561 PA bias level (Íñigo Huguet) [2136122] - ath9k_htc: fix potential out of bounds access with invalid rxstatus->rs_keyix (Íñigo Huguet) [2136122] - ath11k: Fix build warning without CONFIG_IPV6 (Íñigo Huguet) [2136122] - ath11k: fix missing unlock on error in ath11k_wow_op_resume() (Íñigo Huguet) [2136122] - ath11k: Add support for SAR (Íñigo Huguet) [2136122] - ath11k: add support for extended wmi service bit (Íñigo Huguet) [2136122] - rtw89: pci: correct return value handling of rtw89_write16_mdio_mask() (Íñigo Huguet) [2136122] - rtw89: configure security CAM for V1 chip (Íñigo Huguet) [2136122] - rtw89: add new H2C to configure security CAM via DCTL for V1 chip (Íñigo Huguet) [2136122] - rtw89: extend H2C of CMAC control info (Íñigo Huguet) [2136122] - rtlwifi: rtl8192cu: Fix spelling mistake "writting" -> "writing" (Íñigo Huguet) [2136122] - rtlwifi: Fix spelling mistake "cacluated" -> "calculated" (Íñigo Huguet) [2136122] - rtw88: 8821ce: Disable PCIe ASPM L1 for 8821CE using chip ID (Íñigo Huguet) [2136122] - rtw88: 8821ce: add support for device ID 0xb821 (Íñigo Huguet) [2136122] - rtw88: 8821c: fix debugfs rssi value (Íñigo Huguet) [2136122] - rtw88: do PHY calibration while starting AP (Íñigo Huguet) [2136122] - rtw88: 8821c: Enable TX report for management frames (Íñigo Huguet) [2136122] - rtw88: Add update beacon flow for AP mode (Íñigo Huguet) [2136122] - rtw88: fix incorrect frequency reported (Íñigo Huguet) [2136122] - rtw88: add ieee80211:sta_rc_update ops (Íñigo Huguet) [2136122] - rtw89: rtw89_ser: add const to struct state_ent and event_ent (Íñigo Huguet) [2136122] - rtw89: 8852c: add 8852c specific BT-coexistence initial function (Íñigo Huguet) [2136122] - rtw89: Skip useless dig gain and igi related settings for 8852C (Íñigo Huguet) [2136122] - rtw89: 8852c: disable firmware watchdog if CPU disabled (Íñigo Huguet) [2136122] - rtw89: reset BA CAM (Íñigo Huguet) [2136122] - rtw89: change station scheduler setting for hardware TX mode (Íñigo Huguet) [2136122] - rtw89: ser: configure top ERR IMR for firmware to recover (Íñigo Huguet) [2136122] - rtw89: ser: configure C-MAC interrupt mask (Íñigo Huguet) [2136122] - rtw89: ser: configure D-MAC interrupt mask (Íñigo Huguet) [2136122] - rtw89: ser: fix unannotated fall-through (Íñigo Huguet) [2136122] - ath9k: Remove unnecessary print function dev_err() (Íñigo Huguet) [2136122] - ath11k: Fix spelling mistake "reseting" -> "resetting" (Íñigo Huguet) [2136122] - ath9k: fix ath_get_rate_txpower() to respect the rate list end tag (Íñigo Huguet) [2136122] - mac80211: prepare sta handling for MLO support (Íñigo Huguet) [2136122] - mac80211: minstrel_ht: fix where rate stats are stored (fixes debugfs output) (Íñigo Huguet) [2136122] - nl80211: show SSID for P2P_GO interfaces (Íñigo Huguet) [2136122] - mac80211: introduce BSS color collision detection (Íñigo Huguet) [2136122] - mac80211: Improve confusing comment around tx_info clearing (Íñigo Huguet) [2136122] - mac80211: protect ieee80211_assign_beacon with next_beacon check (Íñigo Huguet) [2136122] - rtl8xxxu: fill up txrate info for gen1 chips (Íñigo Huguet) [2136122] - rtl8xxxu: feed antenna information for cfg80211 (Íñigo Huguet) [2136122] - rtlwifi: replace usage of found with dedicated list iterator variable (Íñigo Huguet) [2136122] - brcmfmac: Avoid keeping power to SDIO card unless WOWL is used (Íñigo Huguet) [2136122] - mwifiex: add mutex lock for call in mwifiex_dfs_chan_sw_work_queue (Íñigo Huguet) [2136122] - rtw89: fix misconfiguration on hw_scan channel time (Íñigo Huguet) [2136122] - rtw89: coex: Add case for scan offload (Íñigo Huguet) [2136122] - rtw89: packet offload handler to avoid warning (Íñigo Huguet) [2136122] - rtw89: change idle mode condition during hw_scan (Íñigo Huguet) [2136122] - rtw89: update ptcl_init (Íñigo Huguet) [2136122] - rtw89: update TMAC parameters (Íñigo Huguet) [2136122] - rtw89: initialize NAV control (Íñigo Huguet) [2136122] - rtw89: update scheduler setting (Íñigo Huguet) [2136122] - rtw89: 8852c: update security engine setting (Íñigo Huguet) [2136122] - rtw89: Turn on CR protection of CMAC (Íñigo Huguet) [2136122] - rtw89: add chip_ops::{enable,disable}_bb_rf to support v1 chip (Íñigo Huguet) [2136122] - rtw89: update STA scheduler parameters for v1 chip (Íñigo Huguet) [2136122] - rtw89: extend dmac_pre_init to support 8852C (Íñigo Huguet) [2136122] - rtw89: pci: add L1 settings (Íñigo Huguet) [2136122] - rtw89: pci: add deglitch setting (Íñigo Huguet) [2136122] - rtw89: pci: set address info registers depends on chips (Íñigo Huguet) [2136122] - rtw89: pci: add LTR setting for v1 chip (Íñigo Huguet) [2136122] - rtw89: pci: refine pci pre_init function (Íñigo Huguet) [2136122] - rtw89: pci: add pci attributes to configure operating mode (Íñigo Huguet) [2136122] - rtw89: pci: add register definition to rtw89_pci_info to generalize pci code (Íñigo Huguet) [2136122] - ath11k: mhi: remove unnecessary goto from ath11k_mhi_start() (Íñigo Huguet) [2136122] - ath11k: mhi: add error handling for suspend and resume (Íñigo Huguet) [2136122] - ath11k: mhi: remove state machine (Íñigo Huguet) [2136122] - rtw89: handle potential uninitialized variable (Íñigo Huguet) [2136122] - rtw89: read RX bandwidth from v1 type RX descriptor (Íñigo Huguet) [2136122] - rtw89: support hardware generate security header (Íñigo Huguet) [2136122] - rtw89: support variant of fill_txdesc (Íñigo Huguet) [2136122] - rtw89: pci: support variant of fill_txaddr_info (Íñigo Huguet) [2136122] - rtw89: add chip_info::h2c_desc_size/fill_txdesc_fwcmd to support new chips (Íñigo Huguet) [2136122] - rtw89: disabled IGI configuration for unsupported hardware (Íñigo Huguet) [2136122] - rtw89: packed IGI configuration flow into function for DIG feature (Íñigo Huguet) [2136122] - rtw89: regd: update mapping table to R59-R32 (Íñigo Huguet) [2136122] - rtw89: regd: consider 6G band (Íñigo Huguet) [2136122] - rtw89: 8852a: update txpwr tables to HALRF_027_00_038 (Íñigo Huguet) [2136122] - rtw89: add UK to regulation type (Íñigo Huguet) [2136122] - rtw89: reduce export symbol number of mac size and quota (Íñigo Huguet) [2136122] - rtw89: support FW crash simulation (Íñigo Huguet) [2136122] - rtw89: reconstruct fw feature (Íñigo Huguet) [2136122] - rtw89: ser: dump fw backtrace while L2 reset (Íñigo Huguet) [2136122] - rtw89: ser: dump memory for fw payload engine while L2 reset (Íñigo Huguet) [2136122] - rtw89: ser: control hci interrupts on/off by state (Íñigo Huguet) [2136122] - rtw89: mac: correct decision on error status by scenario (Íñigo Huguet) [2136122] - rtw89: mac: move table of mem base addr to common (Íñigo Huguet) [2136122] - rtw89: ser: fix CAM leaks occurring in L2 reset (Íñigo Huguet) [2136122] - rtw88: change idle mode condition during hw_scan (Íñigo Huguet) [2136122] - iwlwifi: mei: Replace zero-length array with flexible-array member (Íñigo Huguet) [2136122] - iwlwifi: fw: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2136122] - ath11k: fix driver initialization failure with WoW unsupported hw (Íñigo Huguet) [2136122] - ath11k: Remove unnecessary delay in ath11k_core_suspend (Íñigo Huguet) [2136122] - ath11k: Change max no of active probe SSID and BSSID to fw capability (Íñigo Huguet) [2136122] - ath11k: Remove core PCI references from PCI common code (Íñigo Huguet) [2136122] - ath11k: Refactor MSI logic to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: Choose MSI config based on HW revision (Íñigo Huguet) [2136122] - ath11k: Refactor PCI code to support WCN6750 (Íñigo Huguet) [2136122] - ath11k: PCI changes to support WCN6750 (Íñigo Huguet) [2136122] - bus: mhi: ep: Add uevent support for module autoloading (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for suspending and resuming channels (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for queueing SKBs to the host (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for processing channel rings (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for reading from the host (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for processing command rings (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for handling SYS_ERR condition (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for handling MHI_RESET (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for powering down the MHI endpoint stack (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for powering up the MHI endpoint stack (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for processing MHI endpoint interrupts (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for managing MHI state machine (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for sending events to the host (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for ring management (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for managing MMIO registers (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for creating and destroying MHI EP devices (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for registering MHI endpoint client drivers (Íñigo Huguet) [2136122] - bus: mhi: ep: Add support for registering MHI endpoint controllers (Íñigo Huguet) [2136122] - ath9k: make is2ghz consistent in ar9003_eeprom (Íñigo Huguet) [2136122] - ath10k: add support for MSDU IDs for USB devices (Íñigo Huguet) [2136122] - ath11k: add support to search regdb data in board-2.bin for WCN6855 (Íñigo Huguet) [2136122] - ath11k: store and send country code to firmware after recovery (Íñigo Huguet) [2136122] - ath9k: add functions to get paprd rate mask (Íñigo Huguet) [2136122] - ath9k: refactor ar9003_hw_spur_mitigate_ofdm (Íñigo Huguet) [2136122] - ath9k: fix ar9003_get_eepmisc (Íñigo Huguet) [2136122] - ath9k: use AR9300_MAX_CHAINS when appropriate (Íñigo Huguet) [2136122] - ath9k: split set11nRateFlags and set11nChainSel (Íñigo Huguet) [2136122] - ath9k: make ATH_SREV macros more consistent (Íñigo Huguet) [2136122] - ath11k: Add peer rhash table support (Íñigo Huguet) [2136122] - ath11k: add read variant from SMBIOS for download board data (Íñigo Huguet) [2136122] - ath11k: add fallback board name without variant while searching board-2.bin (Íñigo Huguet) [2136122] - ath11k: enable PLATFORM_CAP_PCIE_GLOBAL_RESET QMI host capability (Íñigo Huguet) [2136122] - ath11k: Add hw-restart option to simulate_fw_crash (Íñigo Huguet) [2136122] - ath11k: add synchronization operation between reconfigure of mac80211 and ath11k_base (Íñigo Huguet) [2136122] - ath11k: add support for device recovery for QCA6390/WCN6855 (Íñigo Huguet) [2136122] - ath11k: disable regdb support for QCA6390 (Íñigo Huguet) [2136122] - ath11k: remove unused ATH11K_BD_IE_BOARD_EXT (Íñigo Huguet) [2136122] - ath11k: change fw build id format in driver init log (Íñigo Huguet) [2136122] - ath11k: Refactor the peer delete (Íñigo Huguet) [2136122] - ath10k: Use of_device_get_match_data() helper (Íñigo Huguet) [2136122] - ath10k: Trigger sta disconnect on hardware restart (Íñigo Huguet) [2136122] - ath9k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2136122] - ath11k: support GTK rekey offload (Íñigo Huguet) [2136122] - ath11k: support ARP and NS offload (Íñigo Huguet) [2136122] - ath11k: purge rx pktlog when entering WoW (Íñigo Huguet) [2136122] - ath11k: implement hardware data filter (Íñigo Huguet) [2136122] - ath11k: Add WoW net-detect functionality (Íñigo Huguet) [2136122] - ath11k: Add basic WoW functionalities (Íñigo Huguet) [2136122] Resolves: rhbz#2154283, rhbz#2104470, rhbz#2138389, rhbz#2136122, rhbz#2139482 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-23 13:47:27 +00:00
# CONFIG_RTW89_8852CE is not set
kernel-5.14.0-69.el9 * Wed Feb 23 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-69.el9] - config: mt76: set CONFIG_MT7921S to not set, like in ark (Íñigo Huguet) [2043454] - config: rtw89: enable driver and device RTL8852AE (Íñigo Huguet) [2043454] - rtw89: 8852a: correct bit definition of dfs_en (Íñigo Huguet) [2043454] - rtw89: coex: Update COEX to 5.5.8 (Íñigo Huguet) [2043454] - rtw89: coex: Cancel PS leaving while C2H comes (Íñigo Huguet) [2043454] - rtw89: coex: Update BT counters while receiving report (Íñigo Huguet) [2043454] - rtw89: coex: Define LPS state for BTC using (Íñigo Huguet) [2043454] - rtw89: coex: Add MAC API to get BT polluted counter (Íñigo Huguet) [2043454] - rtw89: coex: Not to send H2C when WL not ready and count H2C (Íñigo Huguet) [2043454] - rtw89: coex: correct C2H header length (Íñigo Huguet) [2043454] - rtw89: don't kick off TX DMA if failed to write skb (Íñigo Huguet) [2043454] - rtw89: remove cch_by_bw which is not used (Íñigo Huguet) [2043454] - rtw89: fix sending wrong rtwsta->mac_id to firmware to fill address CAM (Íñigo Huguet) [2043454] - rtw89: fix incorrect channel info during scan (Íñigo Huguet) [2043454] - rtw89: update scan_mac_addr during scanning period (Íñigo Huguet) [2043454] - rtw89: use inline function instead macro to set H2C and CAM (Íñigo Huguet) [2043454] - rtw89: add const in the cast of le32_get_bits() (Íñigo Huguet) [2043454] - rtw89: add AXIDMA and TX FIFO dump in mac_mem_dump (Íñigo Huguet) [2043454] - rtw89: fix potentially access out of range of RF register array (Íñigo Huguet) [2043454] - rtw89: remove unneeded variable (Íñigo Huguet) [2043454] - rtw89: remove unnecessary conditional operators (Íñigo Huguet) [2043454] - rtw89: update rtw89_regulatory map to R58-R31 (Íñigo Huguet) [2043454] - rtw89: update tx power limit/limit_ru tables to R54 (Íñigo Huguet) [2043454] - rtw89: update rtw89 regulation definition to R58-R31 (Íñigo Huguet) [2043454] - rtw89: fill regd field of limit/limit_ru tables by enum (Íñigo Huguet) [2043454] - iwlwifi: pcie: add killer devices to the driver (Íñigo Huguet) [2043454] - iwlwifi: add support for BNJ HW (Íñigo Huguet) [2043454] - iwlwifi: Read the correct addresses when getting the crf id (Íñigo Huguet) [2043454] - iwlwifi: pcie: add jacket bit to device configuration parsing (Íñigo Huguet) [2043454] - iwlwifi: add new ax1650 killer device (Íñigo Huguet) [2043454] - iwlwifi: add new Qu-Hr device (Íñigo Huguet) [2043454] - iwlwifi: add missing entries for Gf4 with So and SoF (Íñigo Huguet) [2043454] - iwlwifi: remove unused iwlax210_2ax_cfg_so_hr_a0 structure (Íñigo Huguet) [2043454] - iwlwifi: support 4-bits in MAC step value (Íñigo Huguet) [2043454] - iwlwifi: mvm: Increase the scan timeout guard to 30 seconds (Íñigo Huguet) [2043454] - wilc1000: fix double free error in probe() (Íñigo Huguet) [2043454] - wilc1000: Fix spurious "FW not responding" error (Íñigo Huguet) [2043454] - wilc1000: Fix missing newline in error message (Íñigo Huguet) [2043454] - wilc1000: Fix copy-and-paste typo in wilc_set_mac_address (Íñigo Huguet) [2043454] - iwlwifi: mvm: Use div_s64 instead of do_div in iwl_mvm_ftm_rtt_smoothing() (Íñigo Huguet) [2043454] - mt76: mt7921: fix a possible race enabling/disabling runtime-pm (Íñigo Huguet) [2043454] - ath11k: Fix unexpected return buffer manager error for QCA6390 (Íñigo Huguet) [2043454] - ath11k: Fix napi related hang (Íñigo Huguet) [2043454] - iwlwifi: yoyo: fix issue with new DBGI_SRAM region read. (Íñigo Huguet) [2043454] - iwlwifi: pcie: make sure prph_info is set when treating wakeup IRQ (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix AUX ROC removal (Íñigo Huguet) [2043454] - iwlwifi: mvm: set protected flag only for NDP ranging (Íñigo Huguet) [2043454] - iwlwifi: mvm: perform 6GHz passive scan after suspend (Íñigo Huguet) [2043454] - iwlwifi: mvm: Fix calculation of frame length (Íñigo Huguet) [2043454] - iwlwifi: mvm: test roc running status bits before removing the sta (Íñigo Huguet) [2043454] - iwlwifi: don't pass actual WGDS revision number in table_revision (Íñigo Huguet) [2043454] - iwlwifi: fix leaks/bad data after failed firmware load (Íñigo Huguet) [2043454] - iwlwifi: fix debug TLV parsing (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix 32-bit build in FTM (Íñigo Huguet) [2043454] - iwlwifi: fix Bz NMI behaviour (Íñigo Huguet) [2043454] - rtlwifi: rtl8192cu: Fix WARNING when calling local_irq_restore() with interrupts enabled (Íñigo Huguet) [2043454] - rtw88: 8822c: update rx settings to prevent potential hw deadlock (Íñigo Huguet) [2043454] - rtw88: Disable PCIe ASPM while doing NAPI poll on 8821CE (Íñigo Huguet) [2043454] - ath9k: Fix out-of-bound memcpy in ath9k_hif_usb_rx_stream (Íñigo Huguet) [2043454] - ath9k_htc: fix NULL pointer dereference at ath9k_htc_tx_get_packet() (Íñigo Huguet) [2043454] - ath9k_htc: fix NULL pointer dereference at ath9k_htc_rxep() (Íñigo Huguet) [2043454] - mac80211: fix FEC flag in radio tap header (Íñigo Huguet) [2043454] - mt76: mt7921: fix possible resume failure (Íñigo Huguet) [2043454] - mt76: mt7921: move mt76_connac_mcu_set_hif_suspend to bus-related files (Íñigo Huguet) [2043454] - mt76: mt7921: fix network buffer leak by txs missing (Íñigo Huguet) [2043454] - mt76: mt7615: clear mcu error interrupt status on mt7663 (Íñigo Huguet) [2043454] - mt76: connac: fix last_chan configuration in mt76_connac_mcu_rate_txpower_band (Íñigo Huguet) [2043454] - mt76: mt7921: use correct iftype data on 6GHz cap init (Íñigo Huguet) [2043454] - mt76: mt7921: fix boolreturn.cocci warning (Íñigo Huguet) [2043454] - mt76: mt7615: fix unused tx antenna mask in testmode (Íñigo Huguet) [2043454] - mt76: fix the wiphy's available antennas to the correct value (Íñigo Huguet) [2043454] - mt76: connac: fix a theoretical NULL pointer dereference in mt76_connac_get_phy_mode (Íñigo Huguet) [2043454] - mt76: mt7921: fix possible NULL pointer dereference in mt7921_mac_write_txwi (Íñigo Huguet) [2043454] - mt76: fix possible OOB issue in mt76_calculate_default_rate (Íñigo Huguet) [2043454] - mt76: debugfs: fix queue reporting for mt76-usb (Íñigo Huguet) [2043454] - mt76: mt7915: fix SMPS operation fail (Íñigo Huguet) [2043454] - mt76: mt7915: fix return condition in mt7915_tm_reg_backup_restore() (Íñigo Huguet) [2043454] - mt76: mt7921: fix MT7921E reset failure (Íñigo Huguet) [2043454] - mt76: mt7615: fix possible deadlock while mt7615_register_ext_phy() (Íñigo Huguet) [2043454] - mt76: mt7921: drop offload_flags overwritten (Íñigo Huguet) [2043454] - mt76: mt7915: get rid of mt7915_mcu_set_fixed_rate routine (Íñigo Huguet) [2043454] - bus: mhi: core: Use correctly sized arguments for bit field (Íñigo Huguet) [2043454] - bus: mhi: core: Fix race while handling SYS_ERR at power up (Íñigo Huguet) [2043454] - bus: mhi: core: Fix reading wake_capable channel configuration (Íñigo Huguet) [2043454] - bus: mhi: core: Minor style and comment fixes (Íñigo Huguet) [2043454] - bus: mhi: pci_generic: Graceful shutdown on freeze (Íñigo Huguet) [2043454] - ath11k: Fix a NULL pointer dereference in ath11k_mac_op_hw_scan() (Íñigo Huguet) [2043454] - mt76: remove variable set but not used (Íñigo Huguet) [2043454] - ath11k: Fix deleting uninitialized kernel timer during fragment cache flush (Íñigo Huguet) [2043454] - ath11k: add spectral/CFR buffer validation support (Íñigo Huguet) [2043454] - ath11k: Fix buffer overflow when scanning with extraie (Íñigo Huguet) [2043454] - mwifiex: Fix possible ABBA deadlock (Íñigo Huguet) [2043454] - ath10k: fix scan abort when duration is set for hw scan (Íñigo Huguet) [2043454] - ath10k: Fix tx hanging (Íñigo Huguet) [2043454] - iwlwifi: Fix FW name for gl (Íñigo Huguet) [2043454] - iwlwifi: add support for Bz-Z HW (Íñigo Huguet) [2043454] - iwlwifi: acpi: fix wgds rev 3 size (Íñigo Huguet) [2043454] - iwlwifi: mvm: avoid clearing a just saved session protection id (Íñigo Huguet) [2043454] - iwlwifi: mvm: Fix wrong documentation for scan request command (Íñigo Huguet) [2043454] - iwlwifi: mvm: synchronize with FW after multicast commands (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix delBA vs. NSSN queue sync race (Íñigo Huguet) [2043454] - ath11k: Fix QMI file type enum value (Íñigo Huguet) [2043454] - ath11k: add support for WCN6855 hw2.1 (Íñigo Huguet) [2043454] - ath10k: Fix the MTU size on QCA9377 SDIO (Íñigo Huguet) [2043454] - wireless: iwlwifi: Fix a double free in iwl_txq_dyn_alloc_dma (Íñigo Huguet) [2043454] - mwifiex: Ignore BTCOEX events from the 88W8897 firmware (Íñigo Huguet) [2043454] - mwifiex: Fix skb_over_panic in mwifiex_usb_recv() (Íñigo Huguet) [2043454] - ath11k: Use host CE parameters for CE interrupts configuration (Íñigo Huguet) [2043454] - ath11k: Fix mon status ring rx tlv processing (Íñigo Huguet) [2043454] - ath11k: skip sending vdev down for channel switch (Íñigo Huguet) [2043454] - ath11k: fix read fail for htt_stats and htt_peer_stats for single pdev (Íñigo Huguet) [2043454] - ath11k: Set IRQ affinity to CPU0 in case of one MSI vector (Íñigo Huguet) [2043454] - ath11k: do not restore ASPM in case of single MSI vector (Íñigo Huguet) [2043454] - ath11k: add support one MSI vector (Íñigo Huguet) [2043454] - ath11k: refactor multiple MSI vector implementation (Íñigo Huguet) [2043454] - ath11k: use ATH11K_PCI_IRQ_DP_OFFSET for DP IRQ (Íñigo Huguet) [2043454] - ath11k: add CE and ext IRQ flag to indicate irq_handler (Íñigo Huguet) [2043454] - ath11k: get msi_data again after request_irq is called (Íñigo Huguet) [2043454] - ath9k: fix intr_txqs setting (Íñigo Huguet) [2043454] - ath11k: add hw_param for wakeup_mhi (Íñigo Huguet) [2043454] - ath11k: reset RSN/WPA present state for open BSS (Íñigo Huguet) [2043454] - ath11k: clear the keys properly via DISABLE_KEY (Íñigo Huguet) [2043454] - ath11k: Fix crash caused by uninitialized TX ring (Íñigo Huguet) [2043454] - ath11k: use cache line aligned buffers for dbring (Íñigo Huguet) [2043454] - ath11k: Fix ETSI regd with weather radar overlap (Íñigo Huguet) [2043454] - ath11k: fix FCS_ERR flag in radio tap header (Íñigo Huguet) [2043454] - ath11k: fix the value of msecs_to_jiffies in ath11k_debugfs_fw_stats_request (Íñigo Huguet) [2043454] - ath11k: fix firmware crash during channel switch (Íñigo Huguet) [2043454] - ath11k: Fix 'unused-but-set-parameter' error (Íñigo Huguet) [2043454] - ath11k: fix DMA memory free in CE pipe cleanup (Íñigo Huguet) [2043454] - ath11k: fix error routine when fallback of add interface fails (Íñigo Huguet) [2043454] - ath11k: fix fw crash due to peer get authorized before key install (Íñigo Huguet) [2043454] - ath11k: Clear auth flag only for actual association in security mode (Íñigo Huguet) [2043454] - ath11k: Send PPDU_STATS_CFG with proper pdev mask to firmware (Íñigo Huguet) [2043454] - ath11k: set correct NL80211_FEATURE_DYNAMIC_SMPS for WCN6855 (Íñigo Huguet) [2043454] - mac80211: mesh: embedd mesh_paths and mpp_paths into ieee80211_if_mesh (Íñigo Huguet) [2043454] - mac80211: initialize variable have_higher_than_11mbit (Íñigo Huguet) [2043454] - mac80211: fix locking in ieee80211_start_ap error path (Íñigo Huguet) [2043454] - mac80211: do drv_reconfig_complete() before restarting all (Íñigo Huguet) [2043454] - mac80211: mark TX-during-stop for TX in in_reconfig (Íñigo Huguet) [2043454] - mac80211: update channel context before station state (Íñigo Huguet) [2043454] - mac80211: Fix the size used for building probe request (Íñigo Huguet) [2043454] - mac80211: fix lookup when adding AddBA extension element (Íñigo Huguet) [2043454] - mac80211: validate extended element ID is present (Íñigo Huguet) [2043454] - cfg80211: Acquire wiphy mutex on regulatory work (Íñigo Huguet) [2043454] - mac80211: agg-tx: don't schedule_and_wake_txq() under sta->lock (Íñigo Huguet) [2043454] - nl80211: remove reload flag from regulatory_request (Íñigo Huguet) [2043454] - mac80211: send ADDBA requests using the tid/queue of the aggregation session (Íñigo Huguet) [2043454] - bus: mhi: core: Add support for forced PM resume (Íñigo Huguet) [2043454] - mt76: mt7921: fix build regression (Íñigo Huguet) [2043454] - brcmsmac: rework LED dependencies (Íñigo Huguet) [2043454] - iwlwifi: fix LED dependencies (Íñigo Huguet) [2043454] - iwlwifi: mvm: don't crash on invalid rate w/o STA (Íñigo Huguet) [2043454] - bus: mhi: pci_generic: Fix device recovery failed issue (Íñigo Huguet) [2043454] - mt76: fix key pointer overwrite in mt7921s_write_txwi/mt7663_usb_sdio_write_txwi (Íñigo Huguet) [2043454] - rt2x00: do not mark device gone on EPROTO errors during start (Íñigo Huguet) [2043454] - nl80211: reset regdom when reloading regdb (Íñigo Huguet) [2043454] - mac80211: add docs for ssn in struct tid_ampdu_tx (Íñigo Huguet) [2043454] - mac80211: fix a memory leak where sta_info is not freed (Íñigo Huguet) [2043454] - mac80211: set up the fwd_skb->dev for mesh forwarding (Íñigo Huguet) [2043454] - mac80211: fix regression in SSN handling of addba tx (Íñigo Huguet) [2043454] - mac80211: fix rate control for retransmitted frames (Íñigo Huguet) [2043454] - mac80211: track only QoS data frames for admission control (Íñigo Huguet) [2043454] - mac80211: fix TCP performance on mesh interface (Íñigo Huguet) [2043454] - mt76: fix possible pktid leak (Íñigo Huguet) [2043454] - mt76: fix timestamp check in tx_status (Íñigo Huguet) [2043454] - mt76: mt7915: fix NULL pointer dereference in mt7915_get_phy_mode (Íñigo Huguet) [2043454] - rtw89: update partition size of firmware header on skb->data (Íñigo Huguet) [2043454] - iwlwifi: Fix memory leaks in error handling path (Íñigo Huguet) [2043454] - iwlwifi: fix warnings produced by kernel debug options (Íñigo Huguet) [2043454] - iwlwifi: mvm: retry init flow if failed (Íñigo Huguet) [2043454] - iwlwifi: Fix missing error code in iwl_pci_probe() (Íñigo Huguet) [2043454] - iwlwifi: pcie: fix constant-conversion warning (Íñigo Huguet) [2043454] - mac80211: fix throughput LED trigger (Íñigo Huguet) [2043454] - mac80211: fix monitor_sdata RCU/locking assertions (Íñigo Huguet) [2043454] - mac80211: drop check for DONT_REORDER in __ieee80211_select_queue (Íñigo Huguet) [2043454] - mac80211: fix radiotap header generation (Íñigo Huguet) [2043454] - mac80211: do not access the IV when it was stripped (Íñigo Huguet) [2043454] - nl80211: fix radio statistics in survey dump (Íñigo Huguet) [2043454] - cfg80211: call cfg80211_stop_ap when switch from P2P_GO type (Íñigo Huguet) [2043454] - mwifiex: fix division by zero in fw download path (Íñigo Huguet) [2043454] - iwlwifi: bump FW API to 67 for AX devices (Íñigo Huguet) [2043454] - iwlwifi: mvm: extend session protection on association (Íñigo Huguet) [2043454] - iwlwifi: rename CHANNEL_SWITCH_NOA_NOTIF to CHANNEL_SWITCH_START_NOTIF (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove session protection on disassoc (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix WGDS table print in iwl_mvm_chub_update_mcc() (Íñigo Huguet) [2043454] - iwlwifi: rename GEO_TX_POWER_LIMIT to PER_CHAIN_LIMIT_OFFSET_CMD (Íñigo Huguet) [2043454] - iwlwifi: mvm: d3: use internal data representation (Íñigo Huguet) [2043454] - iwlwifi: mvm: update RFI TLV (Íñigo Huguet) [2043454] - iwlwifi: mvm: don't get address of mvm->fwrt just to dereference as a pointer (Íñigo Huguet) [2043454] - iwlwifi: yoyo: support for ROM usniffer (Íñigo Huguet) [2043454] - iwlwifi: fw: uefi: add missing include guards (Íñigo Huguet) [2043454] - iwlwifi: dump host monitor data when NIC doesn't init (Íñigo Huguet) [2043454] - iwlwifi: pcie: simplify iwl_pci_find_dev_info() (Íñigo Huguet) [2043454] - iwlwifi: ACPI: support revision 3 WGDS tables (Íñigo Huguet) [2043454] - iwlwifi: pcie: update sw error interrupt for BZ family (Íñigo Huguet) [2043454] - iwlwifi: add new pci SoF with JF (Íñigo Huguet) [2043454] - iwlwifi: mvm: Use all Rx chains for roaming scan (Íñigo Huguet) [2043454] - iwlwifi: pcie: remove two duplicate PNJ device entries (Íñigo Huguet) [2043454] - iwlwifi: pcie: refactor dev_info lookup (Íñigo Huguet) [2043454] - iwlwifi: pcie: remove duplicate entry (Íñigo Huguet) [2043454] - iwlwifi: pcie: fix killer name matching for AX200 (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix some kerneldoc issues (Íñigo Huguet) [2043454] - ath10k: fix division by zero in send path (Íñigo Huguet) [2043454] - ath10k: fix control-message timeout (Íñigo Huguet) [2043454] - rtw89: Fix variable dereferenced before check 'sta' (Íñigo Huguet) [2043454] - rtw89: fix return value in hfc_pub_cfg_chk (Íñigo Huguet) [2043454] - rtw89: remove duplicate register definitions (Íñigo Huguet) [2043454] - rtw89: fix error function parameter (Íñigo Huguet) [2043454] - ath10k: fix module load regression with iram-recovery feature (Íñigo Huguet) [2043454] - ath10k: fix invalid dma_addr_t token assignment (Íñigo Huguet) [2043454] - ath11k: change return buffer manager for QCA6390 (Íñigo Huguet) [2043454] - mt76: connac: fix unresolved symbols when CONFIG_PM is unset (Íñigo Huguet) [2043454] - mt76: Make use of the helper macro kthread_run() (Íñigo Huguet) [2043454] - mt76: Print error message when reading EEPROM from mtd failed (Íñigo Huguet) [2043454] - mt76: mt7921: disable 4addr capability (Íñigo Huguet) [2043454] - mt76: mt7915: add debugfs knobs for MCU utilization (Íñigo Huguet) [2043454] - mt76: mt7915: add WA firmware log support (Íñigo Huguet) [2043454] - mt76: mt7915: fix endiannes warning mt7915_mcu_beacon_check_caps (Íñigo Huguet) [2043454] - mt76: mt7915: rework debugfs fixed-rate knob (Íñigo Huguet) [2043454] - mt76: mt7915: rework .set_bitrate_mask() to support more options (Íñigo Huguet) [2043454] - mt76: mt7915: remove mt7915_mcu_add_he() (Íñigo Huguet) [2043454] - mt76: mt7615: apply cached RF data for DBDC (Íñigo Huguet) [2043454] - mt76: mt7615: mt7622: fix ibss and meshpoint (Íñigo Huguet) [2043454] - mt76: mt7921: fix Wformat build warning (Íñigo Huguet) [2043454] - mt76: mt7921: fix mt7921s Kconfig (Íñigo Huguet) [2043454] - iwlwifi: pnvm: print out the version properly (Íñigo Huguet) [2043454] - iwlwifi: dbg: treat non active regions as unsupported regions (Íñigo Huguet) [2043454] - iwlwifi: mvm: Read acpi dsm to get channel activation bitmap (Íñigo Huguet) [2043454] - iwlwifi: add new device id 7F70 (Íñigo Huguet) [2043454] - iwlwifi: mvm: improve log when processing CSA (Íñigo Huguet) [2043454] - iwlwifi: mvm: set BT-coex high priority for 802.1X/4-way-HS (Íñigo Huguet) [2043454] - iwlwifi: dbg: treat dbgc allocation failure when tlv is missing (Íñigo Huguet) [2043454] - iwlwifi: add new killer devices to the driver (Íñigo Huguet) [2043454] - iwlwifi: mvm: set inactivity timeouts also for PS-poll (Íñigo Huguet) [2043454] - iwlwifi: pcie: try to grab NIC access early (Íñigo Huguet) [2043454] - iwlwifi: mvm: reduce WARN_ON() in TX status path (Íñigo Huguet) [2043454] - iwlwifi: allow rate-limited error messages (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove session protection after auth/assoc (Íñigo Huguet) [2043454] - iwlwifi: remove redundant iwl_finish_nic_init() argument (Íñigo Huguet) [2043454] - iwlwifi: mvm: Add RTS and CTS flags to iwl_tx_cmd_flags. (Íñigo Huguet) [2043454] - iwlwifi: mvm: remove csi from iwl_mvm_pass_packet_to_mac80211() (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new rate_n_flags for REPLY_RX_MPDU_CMD and RX_NO_DATA_NOTIF (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new TX_RSP and COMPRESSED_BA_RES versions (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new version of BEACON_TEMPLATE_CMD. (Íñigo Huguet) [2043454] - iwlwifi: mvm: Add support for new rate_n_flags in tx_cmd. (Íñigo Huguet) [2043454] - iwlwifi: BZ Family SW reset support (Íñigo Huguet) [2043454] - iwlwifi: BZ Family BUS_MASTER_DISABLE_REQ code duplication (Íñigo Huguet) [2043454] - iwlwifi: yoyo: fw debug config from context info and preset (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support new version of ranging response notification (Íñigo Huguet) [2043454] - iwlwifi: mvm: Support version 3 of tlc_update_notif. (Íñigo Huguet) [2043454] - iwlwifi: mvm: convert old rate & flags to the new format. (Íñigo Huguet) [2043454] - iwlwifi: mvm: add definitions for new rate & flags (Íñigo Huguet) [2043454] - iwlwifi: mvm: update definitions due to new rate & flags (Íñigo Huguet) [2043454] - iwlwifi: mvm: scrub key material in firmware dumps (Íñigo Huguet) [2043454] - iwlwifi: parse debug exclude data from firmware file (Íñigo Huguet) [2043454] - iwlwifi: fw dump: add infrastructure for dump scrubbing (Íñigo Huguet) [2043454] - iwlwifi: mvm: correct sta-state logic for TDLS (Íñigo Huguet) [2043454] - iwlwifi: api: fix struct iwl_wowlan_status_v7 kernel-doc (Íñigo Huguet) [2043454] - iwlwifi: fix fw/img.c license statement (Íñigo Huguet) [2043454] - iwlwifi: remove contact information (Íñigo Huguet) [2043454] - iwlwifi: remove MODULE_AUTHOR() statements (Íñigo Huguet) [2043454] - iwlwifi: api: remove unused RX status bits (Íñigo Huguet) [2043454] - iwlwifi: add some missing kernel-doc in struct iwl_fw (Íñigo Huguet) [2043454] - iwlwifi: mvm: Remove antenna c references (Íñigo Huguet) [2043454] - iwlwifi: mvm: add support for 160Mhz in ranging measurements (Íñigo Huguet) [2043454] - iwlwifi: add vendor specific capabilities for some RFs (Íñigo Huguet) [2043454] - iwlwifi: mvm: add lmac/umac PC info in case of error (Íñigo Huguet) [2043454] - iwlwifi: mvm: disable RX-diversity in powersave (Íñigo Huguet) [2043454] - iwlwifi: mvm: fix ieee80211_get_he_iftype_cap() iftype (Íñigo Huguet) [2043454] - iwlwifi: Start scratch debug register for Bz family (Íñigo Huguet) [2043454] - iwlwifi: Add support for more BZ HWs (Íñigo Huguet) [2043454] - iwlwifi: Add support for getting rf id with blank otp (Íñigo Huguet) [2043454] - cfg80211: fix kernel-doc for MBSSID EMA (Íñigo Huguet) [2043454] - mac80211: Prevent AP probing during suspend (Íñigo Huguet) [2043454] - nl80211: Add LC placeholder band definition to nl80211_band (Íñigo Huguet) [2043454] - mac80211: split beacon retrieval functions (Íñigo Huguet) [2043454] - cfg80211: separate get channel number from ies (Íñigo Huguet) [2043454] - mac80211: use ieee80211_bss_get_elem() in most places (Íñigo Huguet) [2043454] - cfg80211: scan: use element finding functions in easy cases (Íñigo Huguet) [2043454] - nl80211: use element finding functions (Íñigo Huguet) [2043454] - mac80211: fils: use cfg80211_find_ext_elem() (Íñigo Huguet) [2043454] - mac80211: fix memory leaks with element parsing (Íñigo Huguet) [2043454] - cfg80211: prepare for const netdev->dev_addr (Íñigo Huguet) [2043454] - mac80211: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - wireless: mac80211_hwsim: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - mac80211: debugfs: calculate free buffer size correctly (Íñigo Huguet) [2043454] - fq_codel: generalise ce_threshold marking for subset of traffic (Íñigo Huguet) [2043454] - wl3501_cs: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - ray_cs: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - wilc1000: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - brcmfmac: prepare for const netdev->dev_addr (Íñigo Huguet) [2043454] - wireless: use eth_hw_addr_set() instead of ether_addr_copy() (Íñigo Huguet) [2043454] - wireless: use eth_hw_addr_set() (Íñigo Huguet) [2043454] - iwlwifi: cfg: set low-latency-xtal for some integrated So devices (Íñigo Huguet) [2043454] - iwlwifi: pnvm: read EFI data only if long enough (Íñigo Huguet) [2043454] - iwlwifi: pnvm: don't kmemdup() more than we have (Íñigo Huguet) [2043454] - iwlwifi: change all JnP to NO-160 configuration (Íñigo Huguet) [2043454] - iwlwifi: mvm: reset PM state on unsuccessful resume (Íñigo Huguet) [2043454] - mwifiex: Deactive host sleep using HSCFG after it was activated manually (Íñigo Huguet) [2043454] - mwifiex: Send DELBA requests according to spec (Íñigo Huguet) [2043454] - mwifiex: Fix an incorrect comment (Íñigo Huguet) [2043454] - mwifiex: Log an error on command failure during key-material upload (Íñigo Huguet) [2043454] - mwifiex: Don't log error on suspend if wake-on-wlan is disabled (Íñigo Huguet) [2043454] - rtw89: remove unneeded semicolon (Íñigo Huguet) [2043454] - rtw89: fix return value check in rtw89_cam_send_sec_key_cmd() (Íñigo Huguet) [2043454] - mt76: mt7915: change max rx len limit of hw modules (Íñigo Huguet) [2043454] - mt76: mt7915: fix missing HE phy cap (Íñigo Huguet) [2043454] - mt76: mt7915: rework mt7915_mcu_sta_muru_tlv() (Íñigo Huguet) [2043454] - mt76: mt7915: enable HE UL MU-MIMO (Íñigo Huguet) [2043454] - mt76: mt7921: add per-vif counters in ethtool (Íñigo Huguet) [2043454] - mt76: mt7921: move tx amsdu stats in mib_stats (Íñigo Huguet) [2043454] - mt76: mt7921: add sta stats accounting in mt7921_mac_add_txs_skb (Íñigo Huguet) [2043454] - mt76: mt7921: introduce stats reporting through ethtool (Íñigo Huguet) [2043454] - mt76: mt7921: add some more MIB counters (Íñigo Huguet) [2043454] - mt76: do not reset MIB counters in get_stats callback (Íñigo Huguet) [2043454] - mt76: mt7915: move tx amsdu stats in mib_stats (Íñigo Huguet) [2043454] - mt76: mt7915: run mt7915_get_et_stats holding mt76 mutex (Íñigo Huguet) [2043454] - mt76: move mt76_ethtool_worker_info in mt76 module (Íñigo Huguet) [2043454] - mt76: move mt76_sta_stats in mt76.h (Íñigo Huguet) [2043454] - mt76: mt76x0: correct VHT MCS 8/9 tx power eeprom offset (Íñigo Huguet) [2043454] - mt76: mt7921s: add reset support (Íñigo Huguet) [2043454] - mt76: mt7921: introduce mt7921s support (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mt7921_mcu_send_message (Íñigo Huguet) [2043454] - mt76: mt7921: rely on mcu_get_nic_capability (Íñigo Huguet) [2043454] - mt76: connac: extend mcu_get_nic_capability (Íñigo Huguet) [2043454] - mt76: sdio: extend sdio module to support CONNAC2 (Íñigo Huguet) [2043454] - mt76: sdio: introduce parse_irq callback (Íñigo Huguet) [2043454] - mt76: sdio: move common code in mt76_sdio module (Íñigo Huguet) [2043454] - mt76: mt7921: use physical addr to unify register access (Íñigo Huguet) [2043454] - mt76: mt7921: make all event parser reusable between mt7921s and mt7921e (Íñigo Huguet) [2043454] - mt76: mt7663s: rely on mcu reg access utility (Íñigo Huguet) [2043454] - mt76: connac: move mcu reg access utility routines in mt76_connac_lib module (Íñigo Huguet) [2043454] - mt76: mt7921: add MT7921_COMMON module (Íñigo Huguet) [2043454] - mt76: mt7921: refactor init.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mcu.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: refactor dma.c to be pcie specific (Íñigo Huguet) [2043454] - mt76: mt7921: refactor mac.c to be bus independent (Íñigo Huguet) [2043454] - mt76: mt7921: add MU EDCA cmd support (Íñigo Huguet) [2043454] - mt76: mt7915: remove dead code in debugfs code (Íñigo Huguet) [2043454] - mt76: sdio: export mt76s_alloc_rx_queue and mt76s_alloc_tx routines (Íñigo Huguet) [2043454] - mt76: mt7915: improve code readability for xmit-queue handler (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt76 debugfs sub-dir for ext-phy (Íñigo Huguet) [2043454] - mt76: rely on phy pointer in mt76_register_debugfs_fops routine signature (Íñigo Huguet) [2043454] - mt76: mt7915: set muru platform type (Íñigo Huguet) [2043454] - mt76: mt7915: set VTA bit in tx descriptor (Íñigo Huguet) [2043454] - mt76: mt7915: fix muar_idx in mt7915_mcu_alloc_sta_req() (Íñigo Huguet) [2043454] - mt76: mt7915: rework starec TLV tags (Íñigo Huguet) [2043454] - mt76: mt7915: fix sta_rec_wtbl tag len (Íñigo Huguet) [2043454] - mt76: mt7915: improve starec readability of txbf (Íñigo Huguet) [2043454] - mt76: mt7915: fix txbf starec TLV issues (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2043454] - mt76: connac: fix possible NULL pointer dereference in mt76_connac_get_phy_mode_v2 (Íñigo Huguet) [2043454] - mt76: do not access 802.11 header in ccmp check for 802.3 rx skbs (Íñigo Huguet) [2043454] - mt76: use a separate CCMP PN receive counter for management frames (Íñigo Huguet) [2043454] - mt76: mt7921: add delay config for sched scan (Íñigo Huguet) [2043454] - mt76: mt7615: fix monitor mode tear down crash (Íñigo Huguet) [2043454] - mt76: debugfs: improve queue node readability (Íñigo Huguet) [2043454] - mt76: mt7915: add twt_stats knob in debugfs (Íñigo Huguet) [2043454] - mt76: mt7915: enable twt responder capability (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mac_add_twt_setup routine (Íñigo Huguet) [2043454] - mt76: mt7915: introduce mt7915_mcu_twt_agrt_update mcu command (Íñigo Huguet) [2043454] - mt76: mt7915: introduce __mt7915_get_tsf routine (Íñigo Huguet) [2043454] - mt76: drop MCU header size from buffer size in __mt76_mcu_send_firmware (Íñigo Huguet) [2043454] - mt76: introduce __mt76_mcu_send_firmware routine (Íñigo Huguet) [2043454] - mt76: support reading EEPROM data embedded in fdt (Íñigo Huguet) [2043454] - mt76: schedule status timeout at dma completion (Íñigo Huguet) [2043454] - mt76: substitute sk_buff_head status_list with spinlock_t status_lock (Íñigo Huguet) [2043454] - mt76: remove mt76_wcid pointer from mt76_tx_status_check signature (Íñigo Huguet) [2043454] - mt76: introduce packet_id idr (Íñigo Huguet) [2043454] - mt76: mt7921: add 6GHz support (Íñigo Huguet) [2043454] - mt76: add 6GHz support (Íñigo Huguet) [2043454] - mt76: connac: enable hw amsdu @ 6GHz (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_uni_add_bss (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_sta_tlv (Íñigo Huguet) [2043454] - mt76: connac: set 6G phymode in single-sku support (Íñigo Huguet) [2043454] - mt76: connac: add 6GHz support to mt76_connac_mcu_set_channel_domain (Íñigo Huguet) [2043454] - mt76: connac: enable 6GHz band for hw scan (Íñigo Huguet) [2043454] - mt76: connac: set 6G phymode in mt76_connac_get_phy_mode{,v2} (Íñigo Huguet) [2043454] - mt76: mt7915: add mib counters to ethtool stats (Íñigo Huguet) [2043454] - mt76: mt7915: add more MIB registers (Íñigo Huguet) [2043454] - mt76: mt7915: add tx mu/su counters to mib (Íñigo Huguet) [2043454] - mt76: mt7915: add some per-station tx stats to ethtool (Íñigo Huguet) [2043454] - mt76: mt7915: add tx stats gathered from tx-status callbacks (Íñigo Huguet) [2043454] - mt76: mt7915: add ethtool stats support (Íñigo Huguet) [2043454] - mt76: mt7921: fix retrying release semaphore without end (Íñigo Huguet) [2043454] - mt76: mt7921: robustify hardware initialization flow (Íñigo Huguet) [2043454] - mt76: mt7915: fix possible infinite loop release semaphore (Íñigo Huguet) [2043454] - mt76: mt7915: honor all possible error conditions in mt7915_mcu_init() (Íñigo Huguet) [2043454] - mt76: move spin_lock_bh to spin_lock in tasklet (Íñigo Huguet) [2043454] - mt76: mt7921: remove mt7921_sta_stats (Íñigo Huguet) [2043454] - mt76: mt7921: remove mcu rate reporting code (Íñigo Huguet) [2043454] - mt76: mt7921: report tx rate directly from tx status (Íñigo Huguet) [2043454] - mt76: mt7921: add support for tx status reporting (Íñigo Huguet) [2043454] - mt76: mt7921: start reworking tx rate reporting (Íñigo Huguet) [2043454] - mt76: mt7615: fix hwmon temp sensor mem use-after-free (Íñigo Huguet) [2043454] - mt76: mt7915: fix hwmon temp sensor mem use-after-free (Íñigo Huguet) [2043454] - mt76: mt7915: enable configured beacon tx rate (Íñigo Huguet) [2043454] - mt76: disable BH around napi_schedule() calls (Íñigo Huguet) [2043454] - mt76: fill boottime_ns in Rx path (Íñigo Huguet) [2043454] - mt76: switch from 'pci_' to 'dma_' API (Íñigo Huguet) [2043454] - mt76: fix boolreturn.cocci warnings (Íñigo Huguet) [2043454] - mt76: mt7921: update mib counters dumping phy stats (Íñigo Huguet) [2043454] - mt76: mt7921: always wake device if necessary in debugfs (Íñigo Huguet) [2043454] - mt76: mt7915: rename debugfs tx-queues (Íñigo Huguet) [2043454] - mt76: mt7915: rework debugfs queue info (Íñigo Huguet) [2043454] - mt76: mt7921: move mt7921_queue_rx_skb to mac.c (Íñigo Huguet) [2043454] - mt76: mt7915: fix WMM index on DBDC cards (Íñigo Huguet) [2043454] - mt76: mt7915: improve code readability in mt7915_mcu_sta_bfer_ht (Íñigo Huguet) [2043454] - mt76: mt7915: introduce bss coloring support (Íñigo Huguet) [2043454] - mt76: mt7915: add LED support (Íñigo Huguet) [2043454] - mt76: mt7915: fix potential NPE in TXS processing (Íñigo Huguet) [2043454] - mt76: mt7915: fix he_mcs capabilities for 160mhz (Íñigo Huguet) [2043454] - mt76: use IEEE80211_OFFLOAD_ENCAP_ENABLED instead of MT_DRV_AMSDU_OFFLOAD (Íñigo Huguet) [2043454] - mt76: mt7915: update mac timing settings (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness warnings in mt7921_mac_decode_he_mu_radiotap (Íñigo Huguet) [2043454] - mt76: mt7921: fix kernel warning from cfg80211_calculate_bitrate (Íñigo Huguet) [2043454] - mt76: mt7921: fix firmware usage of RA info using legacy rates (Íñigo Huguet) [2043454] - mt76: mt7915: add HE-LTF into fixed rate command (Íñigo Huguet) [2043454] - mt76: mt7921: report HE MU radiotap (Íñigo Huguet) [2043454] - mt76: mt7615: move mt7615_mcu_set_p2p_oppps in mt76_connac module (Íñigo Huguet) [2043454] - mt76: overwrite default reg_ops if necessary (Íñigo Huguet) [2043454] - mt76: mt7921: introduce mt7921_mcu_set_beacon_filter utility routine (Íñigo Huguet) [2043454] - mt76: mt7921: get rid of mt7921_mac_set_beacon_filter (Íñigo Huguet) [2043454] - mt76: mt7921: get rid of monitor_vif (Íñigo Huguet) [2043454] - mt76: connac: add support for limiting to maximum regulatory Tx power (Íñigo Huguet) [2043454] - mt76: connac: fix GTK rekey offload failure on WPA mixed mode (Íñigo Huguet) [2043454] - mt76: mt7921: fix dma hang in rmmod (Íñigo Huguet) [2043454] - mt76: add support for setting mcast rate (Íñigo Huguet) [2043454] - mt76: mt7915: fix bit fields for HT rate idx (Íñigo Huguet) [2043454] - mt76: mt7915: switch proper tx arbiter mode in testmode (Íñigo Huguet) [2043454] - mt76: mt7915: fix potential overflow of eeprom page index (Íñigo Huguet) [2043454] - mt76: mt7921: send EAPOL frames at lowest rate (Íñigo Huguet) [2043454] - mt76: mt7915: send EAPOL frames at lowest rate (Íñigo Huguet) [2043454] - mt76: mt7915: add control knobs for thermal throttling (Íñigo Huguet) [2043454] - mt76: mt7921: Add mt7922 support (Íñigo Huguet) [2043454] - mt76: mt7615: fix skb use-after-free on mac reset (Íñigo Huguet) [2043454] - mt76: mt7921: Fix out of order process by invalid event pkt (Íñigo Huguet) [2043454] - mt76: mt7915: fix mgmt frame using unexpected bitrate (Íñigo Huguet) [2043454] - mt76: mt7921: fix mgmt frame using unexpected bitrate (Íñigo Huguet) [2043454] - mt76: add mt76_default_basic_rate more devices can rely on (Íñigo Huguet) [2043454] - mt76: mt7921: introduce testmode support (Íñigo Huguet) [2043454] - mt76: mt7915: remove mt7915_sta_stats (Íñigo Huguet) [2043454] - mt76: mt7915: report tx rate directly from tx status (Íñigo Huguet) [2043454] - mt76: mt7915: cleanup -Wunused-but-set-variable (Íñigo Huguet) [2043454] - mt76: mt7915: take RCU read lock when calling ieee80211_bss_get_elem() (Íñigo Huguet) [2043454] - mt76: mt7915: fix an off-by-one bound check (Íñigo Huguet) [2043454] - mt76: mt7921: add .set_sar_specs support (Íñigo Huguet) [2043454] - mt76: mt7915: adapt new firmware to update BA winsize for Rx session (Íñigo Huguet) [2043454] - mt76: mt7915: report HE MU radiotap (Íñigo Huguet) [2043454] - mt76: mt76x02: fix endianness warnings in mt76x02_mac.c (Íñigo Huguet) [2043454] - mt76: mt7921: fix survey-dump reporting (Íñigo Huguet) [2043454] - mt76: fix build error implicit enumeration conversion (Íñigo Huguet) [2043454] - mt76: mt7921: enable aspm by default (Íñigo Huguet) [2043454] - mt76: connac: fix mt76_connac_gtk_rekey_tlv usage (Íñigo Huguet) [2043454] - mt76: mt7915: fix calling mt76_wcid_alloc with incorrect parameter (Íñigo Huguet) [2043454] - mt76: mt7915: fix info leak in mt7915_mcu_set_pre_cal() (Íñigo Huguet) [2043454] - mt76: mt7615: fix endianness warning in mt7615_mac_write_txwi (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness warning in mt7921_update_txs (Íñigo Huguet) [2043454] - mt76: mt7915: fix endianness warning in mt7915_mac_add_txs_skb (Íñigo Huguet) [2043454] - mt76: mt7921: avoid unnecessary spin_lock/spin_unlock in mt7921_mcu_tx_done_event (Íñigo Huguet) [2043454] - mt76: mt7921: fix endianness in mt7921_mcu_tx_done_event (Íñigo Huguet) [2043454] - rtw89: Remove redundant check of ret after call to rtw89_mac_enable_bb_rf (Íñigo Huguet) [2043454] - rtw89: Fix two spelling mistakes in debug messages (Íñigo Huguet) [2043454] - mwifiex: Try waking the firmware until we get an interrupt (Íñigo Huguet) [2043454] - mwifiex: Read a PCI register after writing the TX ring write pointer (Íñigo Huguet) [2043454] - fq_codel: implement L4S style ce_threshold_ect1 marking (Íñigo Huguet) [2043454] - net: add skb_get_dsfield() helper (Íñigo Huguet) [2043454] - wireless: Remove redundant 'flush_workqueue()' calls (Íñigo Huguet) [2043454] - mt7601u: Remove redundant initialization of variable ret (Íñigo Huguet) [2043454] - rtlwifi: rtl8192ee: Remove redundant initialization of variable version (Íñigo Huguet) [2043454] - rtw89: add Realtek 802.11ax driver (Íñigo Huguet) [2043454] - ath10k: fix max antenna gain unit (Íñigo Huguet) [2043454] - ath9k: fix an IS_ERR() vs NULL check (Íñigo Huguet) [2043454] - ath11k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2043454] - ath9k: support DT ieee80211-freq-limit property to limit channels (Íñigo Huguet) [2043454] - ath11k: Change number of TCL rings to one for QCA6390 (Íñigo Huguet) [2043454] - ath11k: Handle MSI enablement during rmmod and SSR (Íñigo Huguet) [2043454] - ath: dfs_pattern_detector: Fix possible null-pointer dereference in channel_detector_create() (Íñigo Huguet) [2043454] - ath11k: Use kcalloc() instead of kzalloc() (Íñigo Huguet) [2043454] - ath11k: Remove redundant assignment to variable fw_size (Íñigo Huguet) [2043454] - ath11k: fix m68k and xtensa build failure in ath11k_peer_assoc_h_smps() (Íñigo Huguet) [2043454] - ath11k: Fix spelling mistake "incompaitiblity" -> "incompatibility" (Íñigo Huguet) [2043454] - ath11k: Remove unused variable in ath11k_dp_rx_mon_merg_msdus() (Íñigo Huguet) [2043454] - ath9k: Fix potential interrupt storm on queue reset (Íñigo Huguet) [2043454] - ath9k: add option to reset the wifi chip via debugfs (Íñigo Huguet) [2043454] - ath10k: Don't always treat modem stop events as crashes (Íñigo Huguet) [2043454] - rtl8xxxu: Use lower tx rates for the ack packet (Íñigo Huguet) [2043454] - brcmfmac: Add DMI nvram filename quirk for Cyberbook T116 tablet (Íñigo Huguet) [2043454] - rtw88: refine fw_crash debugfs to show non-zero while triggering (Íñigo Huguet) [2043454] - rtw88: fix RX clock gate setting while fifo dump (Íñigo Huguet) [2043454] - net: qrtr: combine nameservice into main module (Íñigo Huguet) [2043454] - ath10k: sdio: Add missing BH locking around napi_schdule() (Íñigo Huguet) [2043454] - ath10k: Fix missing frame timestamp for beacon/probe-resp (Íñigo Huguet) [2043454] - ath10k: high latency fixes for beacon buffer (Íñigo Huguet) [2043454] - ath11k: Change DMA_FROM_DEVICE to DMA_TO_DEVICE when map reinjected packets (Íñigo Huguet) [2043454] - ath11k: support SMPS configuration for 6 GHz (Íñigo Huguet) [2043454] - ath11k: add 6 GHz params in peer assoc command (Íñigo Huguet) [2043454] - ieee80211: Add new A-MPDU factor macro for HE 6 GHz peer caps (Íñigo Huguet) [2043454] - ath11k: indicate to mac80211 scan complete with aborted flag for ATH11K_SCAN_STARTING state (Íñigo Huguet) [2043454] - ath11k: indicate scan complete for scan canceled when scan running (Íñigo Huguet) [2043454] - ath11k: add handler for scan event WMI_SCAN_EVENT_DEQUEUED (Íñigo Huguet) [2043454] - ath11k: add HTT stats support for new stats (Íñigo Huguet) [2043454] - ath11k: Change masking and shifting in htt stats (Íñigo Huguet) [2043454] - ath11k: Remove htt stats fixed size array usage (Íñigo Huguet) [2043454] - ath11k: Replace HTT_DBG_OUT with scnprintf (Íñigo Huguet) [2043454] - ath11k: Rename macro ARRAY_TO_STRING to PRINT_ARRAY_TO_BUF (Íñigo Huguet) [2043454] - ath11k: Fix memory leak in ath11k_qmi_driver_event_work (Íñigo Huguet) [2043454] - ath11k: Fix inaccessible debug registers (Íñigo Huguet) [2043454] - ath11k: Drop MSDU with length error in DP rx path (Íñigo Huguet) [2043454] - ath11k: copy cap info of 6G band under WMI_HOST_WLAN_5G_CAP for WCN6855 (Íñigo Huguet) [2043454] - ath11k: enable 6G channels for WCN6855 (Íñigo Huguet) [2043454] - ath11k: re-enable ht_cap/vht_cap for 5G band for WCN6855 (Íñigo Huguet) [2043454] - ath11k: fix survey dump collection in 6 GHz (Íñigo Huguet) [2043454] - ath11k: fix packet drops due to incorrect 6 GHz freq value in rx status (Íñigo Huguet) [2043454] - ath11k: add channel 2 into 6 GHz channel list (Íñigo Huguet) [2043454] - mac80211: MBSSID support in interface handling (Íñigo Huguet) [2043454] - ath11k: Add wmi peer create conf event in wmi_tlv_event_id (Íñigo Huguet) [2043454] - ath11k: Avoid "No VIF found" warning message (Íñigo Huguet) [2043454] - ath11k: Fix crash during firmware recovery on reo cmd ring access (Íñigo Huguet) [2043454] - ath11k: Assign free_vdev_map value before ieee80211_register_hw (Íñigo Huguet) [2043454] - ath11k: Add vdev start flag to disable hardware encryption (Íñigo Huguet) [2043454] - ath11k: Avoid race during regd updates (Íñigo Huguet) [2043454] - ath11k: Avoid reg rules update during firmware recovery (Íñigo Huguet) [2043454] - ath11k: Update pdev tx and rx firmware stats (Íñigo Huguet) [2043454] - ath11k: Fix pktlog lite rx events (Íñigo Huguet) [2043454] - ath11k: Add support for RX decapsulation offload (Íñigo Huguet) [2043454] - ath11k: fix some sleeping in atomic bugs (Íñigo Huguet) [2043454] - ath11k: qmi: avoid error messages when dma allocation fails (Íñigo Huguet) [2043454] - ath11k: Replace one-element array with flexible-array member (Íñigo Huguet) [2043454] - ath11k: add caldata download support from EEPROM (Íñigo Huguet) [2043454] - ath11k: add caldata file for multiple radios (Íñigo Huguet) [2043454] - ath11k: clean up BDF download functions (Íñigo Huguet) [2043454] - ath11k: use hw_params to access board_size and cal_offset (Íñigo Huguet) [2043454] - ath11k: Wstringop-overread warning (Íñigo Huguet) [2043454] - ath11k: Add spectral scan support for QCN9074 (Íñigo Huguet) [2043454] - ath11k: Fix the spectral minimum FFT bin count (Íñigo Huguet) [2043454] - ath11k: Introduce spectral hw configurable param (Íñigo Huguet) [2043454] - ath11k: Refactor spectral FFT bin size (Íñigo Huguet) [2043454] - ath11k: add support for 80P80 and 160 MHz bandwidth (Íñigo Huguet) [2043454] - ath11k: add support for setting fixed HE rate/gi/ltf (Íñigo Huguet) [2043454] - nl80211: MBSSID and EMA support in AP mode (Íñigo Huguet) [2043454] - nl80211: don't kfree() ERR_PTR() value (Íñigo Huguet) [2043454] - cfg80211: always free wiphy specific regdomain (Íñigo Huguet) [2043454] - mac80211: save transmit power envelope element and power constraint (Íñigo Huguet) [2043454] - mac80211: add parse regulatory info in 6 GHz operation information (Íñigo Huguet) [2043454] - ieee80211: add power type definition for 6 GHz (Íñigo Huguet) [2043454] - mac80211: twt: don't use potentially unaligned pointer (Íñigo Huguet) [2043454] - cfg80211: AP mode driver offload for FILS association crypto (Íñigo Huguet) [2043454] - ath11k: monitor mode clean up to use separate APIs (Íñigo Huguet) [2043454] - ath11k: add separate APIs for monitor mode (Íñigo Huguet) [2043454] - ath11k: move static function ath11k_mac_vdev_setup_sync to top (Íñigo Huguet) [2043454] - rtw88: 8821c: correct 2.4G tx power for type 2/4 NIC (Íñigo Huguet) [2043454] - rtw88: 8821c: support RFE type4 wifi NIC (Íñigo Huguet) [2043454] - brcmfmac: Replace zero-length array with flexible array member (Íñigo Huguet) [2043454] - mac80211_hwsim: enable 6GHz channels (Íñigo Huguet) [2043454] - mac80211: use ieee802_11_parse_elems() in ieee80211_prep_channel() (Íñigo Huguet) [2043454] - nl80211: don't put struct cfg80211_ap_settings on stack (Íñigo Huguet) [2043454] - mac80211: always allocate struct ieee802_11_elems (Íñigo Huguet) [2043454] - mac80211: mlme: find auth challenge directly (Íñigo Huguet) [2043454] - mac80211: move CRC into struct ieee802_11_elems (Íñigo Huguet) [2043454] - mac80211: mesh: clean up rx_bcn_presp API (Íñigo Huguet) [2043454] - mac80211: reduce stack usage in debugfs (Íñigo Huguet) [2043454] - nl80211: prefer struct_size over open coded arithmetic (Íñigo Huguet) [2043454] - mac80211: check hostapd configuration parsing twt requests (Íñigo Huguet) [2043454] - cfg80211: honour V=1 in certificate code generation (Íñigo Huguet) [2043454] - rt2x00: remove duplicate USB device ID (Íñigo Huguet) [2043454] - wilc1000: increase config packets response wait timeout limit (Íñigo Huguet) [2043454] - wilc1000: use correct write command sequence in wilc_spi_sync_ext() (Íñigo Huguet) [2043454] - wilc1000: add 'initialized' flag check before adding an element to TX queue (Íñigo Huguet) [2043454] - wilc1000: invoke chip reset register before firmware download (Íñigo Huguet) [2043454] - wilc1000: ignore clockless registers status response for SPI (Íñigo Huguet) [2043454] - wilc1000: handle read failure issue for clockless registers (Íñigo Huguet) [2043454] - wilc1000: add reset/terminate/repeat command support for SPI bus (Íñigo Huguet) [2043454] - wilc1000: configure registers to handle chip wakeup sequence (Íñigo Huguet) [2043454] - wilc1000: add new WID to pass wake_enable information to firmware (Íñigo Huguet) [2043454] - wilc1000: fix possible memory leak in cfg_scan_result() (Íñigo Huguet) [2043454] - wilc1000: move 'deinit_lock' lock init/destroy inside module probe (Íñigo Huguet) [2043454] - mwifiex: Fix copy-paste mistake when creating virtual interface (Íñigo Huguet) [2043454] - mwifiex: Properly initialize private structure on interface type changes (Íñigo Huguet) [2043454] - mwifiex: Handle interface type changes from AP to STATION (Íñigo Huguet) [2043454] - mwifiex: Allow switching interface type from P2P_CLIENT to P2P_GO (Íñigo Huguet) [2043454] - mwifiex: Update virtual interface counters right after setting bss_type (Íñigo Huguet) [2043454] - mwifiex: Use helper function for counting interface types (Íñigo Huguet) [2043454] - mwifiex: Run SET_BSS_MODE when changing from P2P to STATION vif-type (Íñigo Huguet) [2043454] - mwifiex: Use function to check whether interface type change is allowed (Íñigo Huguet) [2043454] - mwifiex: Small cleanup for handling virtual interface type changes (Íñigo Huguet) [2043454] - rtw88: move adaptivity mechanism to firmware (Íñigo Huguet) [2043454] - rtw88: support adaptivity for ETSI/JP DFS region (Íñigo Huguet) [2043454] - rtw88: add regulatory strategy by chip type (Íñigo Huguet) [2043454] - rtw88: upgrade rtw_regulatory mechanism and mapping (Íñigo Huguet) [2043454] - brcmfmac: fix incorrect error prints (Íñigo Huguet) [2043454] - ath11k: Align bss_chan_info structure with firmware (Íñigo Huguet) [2043454] - ath11k: add support in survey dump with bss_chan_info (Íñigo Huguet) [2043454] - ath11k: Rename atf_config to flag1 in target_resource_config (Íñigo Huguet) [2043454] - ath11k: fix 4addr multicast packet tx (Íñigo Huguet) [2043454] - ath11k: fix 4-addr tx failure for AP and STA modes (Íñigo Huguet) [2043454] - ath9k: owl-loader: fetch pci init values through nvmem (Íñigo Huguet) [2043454] - ath9k: fetch calibration data via nvmem subsystem (Íñigo Huguet) [2043454] Resolves: rhbz#2043454 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-23 23:44:41 +00:00
CONFIG_RTW89_DEBUGFS=y
CONFIG_RTW89_DEBUGMSG=y
CONFIG_RTW89=m
CONFIG_RUNTIME_TESTING_MENU=y
kernel-5.14.0-210.el9 * Fri Dec 09 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-210.el9] - NFSD: Mark exports of NFS as unsupported (Benjamin Coddington) [2150887] - bonding: get correct NA dest address (Jonathan Toppins) [2112748] - bonding: fix ICMPv6 header handling when receiving IPv6 messages (Jonathan Toppins) [2112748] - mmc: cqhci: Provide helper for resetting both SDHCI and CQHCI (Steve Best) [2122404] - mmc: sdhci-tegra: Fix SDHCI_RESET_ALL for CQHCI (Steve Best) [2122404] - mmc: sdhci-tegra: Use actual clock rate for SW tuning correction (Steve Best) [2122404] - mmc: sdhci-tegra: Use of_device_get_match_data() helper (Steve Best) [2122404] - mmc: sdhci-tegra: Enable wake on SD card event (Steve Best) [2122404] - mmc: sdhci-tegra: Add runtime PM and OPP support (Steve Best) [2122404] - mmc: sdhci-tegra: Fix switch to HS400ES mode (Steve Best) [2122404] - Enable LinuxRV in Config (Alessandro Carminati) [2129758] - rv/dot2c: Make automaton definition static (Alessandro Carminati) [2129758] - rv/monitor: Add __init/__exit annotations to module init/exit funcs (Alessandro Carminati) [2129758] - rv/dot2K: add 'static' qualifier for local variable (Alessandro Carminati) [2129758] - rv/monitors: add 'static' qualifier for local symbols (Alessandro Carminati) [2129758] - rv/reactor: add __init/__exit annotations to module init/exit funcs (Alessandro Carminati) [2129758] - rv: Unlock on error path in rv_unregister_reactor() (Alessandro Carminati) [2129758] - MAINTAINERS: Add Runtime Verification (RV) entry (Alessandro Carminati) [2129758] - rv/monitors: Make monitor's automata definition static (Alessandro Carminati) [2129758] - rv/reactor: Add the panic reactor (Alessandro Carminati) [2129758] - rv/reactor: Add the printk reactor (Alessandro Carminati) [2129758] - rv/monitor: Add the wwnr monitor (Alessandro Carminati) [2129758] - rv/monitor: Add the wip monitor (Alessandro Carminati) [2129758] - rv/monitor: Add the wip monitor skeleton created by dot2k (Alessandro Carminati) [2129758] - Documentation/rv: Add deterministic automata instrumentation documentation (Alessandro Carminati) [2129758] - Documentation/rv: Add deterministic automata monitor synthesis documentation (Alessandro Carminati) [2129758] - tools/rv: Add dot2k (Alessandro Carminati) [2129758] - Documentation/rv: Add deterministic automaton documentation (Alessandro Carminati) [2129758] - tools/rv: Add dot2c (Alessandro Carminati) [2129758] - Documentation/rv: Add a basic documentation (Alessandro Carminati) [2129758] - rv/include: Add instrumentation helper functions (Alessandro Carminati) [2129758] - rv/include: Add deterministic automata monitor definition via C macros (Alessandro Carminati) [2129758] - rv/include: Add helper functions for deterministic automata (Alessandro Carminati) [2129758] - rv: Add runtime reactors interface (Alessandro Carminati) [2129758] - rv: Add Runtime Verification (RV) interface (Alessandro Carminati) [2129758] - tracing: make tracer_init_tracefs initcall asynchronous (Alessandro Carminati) [2129758] - fs: dlm: fix race in lowcomms (Alexander Aring) [2110543] - EDAC/ghes: Set the DIMM label unconditionally (Aristeu Rozanski) [2109713] Resolves: rhbz#2150887, rhbz#2112748, rhbz#2122404, rhbz#2129758, rhbz#2110543, rhbz#2109713 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-09 16:30:00 +00:00
CONFIG_RV_MON_WWNR=y
CONFIG_RV_REACTORS=y
CONFIG_RV_REACT_PANIC=y
CONFIG_RV_REACT_PRINTK=y
CONFIG_RV=y
kernel-5.14.0-272.el9 * Wed Feb 15 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-272.el9] - Split partner modules into a sub-package (Alice Mitchell) [2039020] - Enable kAFS and it's dependancies in RHEL (Alice Mitchell) [2039020] - netfilter: ipset: Fix overflow before widen in the bitmap_ip_create() function. (Florian Westphal) [2161695] - netfilter: ipset: fix hash:net,port,net hang with /0 subnet (Florian Westphal) [2161695] - ipvs: use u64_stats_t for the per-cpu counters (Florian Westphal) [2161695] - netfilter: flowtable: really fix NAT IPv6 offload (Florian Westphal) [2161695] - netfilter: conntrack: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695] - netfilter: flowtable_offload: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695] - netfilter: nft_set_pipapo: Actually validate intervals in fields after the first one (Florian Westphal) [2161695] - netfilter: flowtable_offload: add missing locking (Florian Westphal) [2161695] - netfilter: ipset: restore allowing 64 clashing elements in hash:net,iface (Florian Westphal) [2161695] - netfilter: ipset: regression in ip_set_hash_ip.c (Florian Westphal) [2161695] - netfilter: Cleanup nft_net->module_list from nf_tables_exit_net() (Florian Westphal) [2161695] - netfilter: nfnetlink: fix potential dead lock in nfnetlink_rcv_msg() (Florian Westphal) [2161695] - netfilter: nf_tables: nft_parse_register can return a negative value (Florian Westphal) [2161695] - intel_th: msu: Use memset_startat() for clearing hw header (Eric Chanudet) [2159468] - redhat/configs: leave -Werror off for now (Eric Chanudet) [2159468] - gcc-12: disable '-Warray-bounds' universally for now (Eric Chanudet) [2159468] - kbuild: Fix -Wimplicit-fallthrough=5 error for GCC 5.x and 6.x (Eric Chanudet) [2159468] - s390: disable -Warray-bounds (Eric Chanudet) [2159468] - gcc-12: disable '-Wdangling-pointer' warning for now (Eric Chanudet) [2159468] - Makefile: fix 2 typos (Eric Chanudet) [2159468] - Makefile: Enable -Wzero-length-bounds (Eric Chanudet) [2159468] - Makefile: Enable -Warray-bounds (Eric Chanudet) [2159468] - sparc: Unbreak the build (Eric Chanudet) [2159468] - Makefile: Enable -Wcast-function-type (Eric Chanudet) [2159468] - kconfig: Add support for -Wimplicit-fallthrough (Eric Chanudet) [2159468] - Makefile: use -Wno-main in the full kernel tree (Eric Chanudet) [2159468] - s390: remove WARN_DYNAMIC_STACK (Eric Chanudet) [2159468] - Enable '-Werror' by default for all kernel builds (Eric Chanudet) [2159468] - kbuild: Shuffle blank line to improve comment meaning (Eric Chanudet) [2159468] - x86/boot: Wrap literal addresses in absolute_pointer() (Eric Chanudet) [2159468] - scsi: lpfc: Use struct_group() to initialize struct lpfc_cgn_info (Eric Chanudet) [2159468] - bnx2x: Use struct_group() for memcpy() region (Eric Chanudet) [2159468] - RDMA/cxgb4: fix accept failure due to increased cpl_t5_pass_accept_rpl size (Eric Chanudet) [2159468] - iw_cxgb4: Use memset_startat() for cpl_t5_pass_accept_rpl (Eric Chanudet) [2159468] - dm integrity: Use struct_group() to zero struct journal_sector (Eric Chanudet) [2159468] - bnxt_en: Use struct_group_attr() for memcpy() region (Eric Chanudet) [2159468] - ipv6: Use memset_after() to zero rt6_info (Eric Chanudet) [2159468] - xfrm: Use memset_after() to clear padding (Eric Chanudet) [2159468] - virtio-pci: Remove wrong address verification in vp_del_vqs() (Eric Chanudet) [2159468] - ipv4: ip_output.c: Fix out-of-bounds warning in ip_copy_addrs() (Eric Chanudet) [2159468] - skbuff: Switch structure bounds to struct_group() (Eric Chanudet) [2159468] - tracing: Use memset_startat() to zero struct trace_iterator (Eric Chanudet) [2159468] - kernel/sysctl.c: fixup printk sysctl constants (Eric Chanudet) [2159468] - crypto: dh - constify struct dh's pointer members (Eric Chanudet) [2159468] - cert host tools: Stop complaining about deprecated OpenSSL functions (Eric Chanudet) [2159468] - kernel/sysctl.c: remove unused variable ten_thousand (Eric Chanudet) [2159468] Resolves: rhbz#2039020, rhbz#2161695, rhbz#2159468 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-15 19:08:53 +00:00
CONFIG_RXKAD=y
kernel-5.14.0-296.el9 * Tue Apr 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-296.el9] - fs: hold writers when changing mount's idmapping (Alex Gladkov) [2179877] - fs: simplify check in mount_setattr_commit() (Alex Gladkov) [2179877] - filelocks: use mount idmapping for setlease permission check (Alex Gladkov) [2179877] - fs: require CAP_SYS_ADMIN in target namespace for idmapped mounts (Alex Gladkov) [2179877] - fs: account for group membership (Alex Gladkov) [2179877] - fs/mount_setattr: always cleanup mount_kattr (Alex Gladkov) [2179877] - fs: handle circular mappings correctly (Alex Gladkov) [2179877] - hvcs: Synchronize hotplug remove with port free (Mamatha Inamdar) [2182275] - hvcs: Use vhangup in hotplug remove (Mamatha Inamdar) [2182275] - hvcs: Get reference to tty in remove (Mamatha Inamdar) [2182275] - hvcs: Use driver groups to manage driver attributes (Mamatha Inamdar) [2182275] - hvcs: Use dev_groups to manage hvcs device attributes (Mamatha Inamdar) [2182275] - hvcs: Fix hvcs port reference counting (Mamatha Inamdar) [2182275] - thermal: intel: powerclamp: Fix cpumask and max_idle module parameters (David Arcari) [2180653] - Documentation: powerclamp: Fix numbered lists formatting (David Arcari) [2180653] - Documentation: powerclamp: Escape wildcard in cpumask description (David Arcari) [2180653] - Documentation: admin-guide: Add toctree entry for thermal docs (David Arcari) [2180653] - thermal: intel: powerclamp: Add two module parameters (David Arcari) [2180653] - Documentation: admin-guide: Move intel_powerclamp documentation (David Arcari) [2180653] - thermal: intel: powerclamp: Fix duration module parameter (David Arcari) [2180653] - thermal: intel: powerclamp: Return last requested state as cur_state (David Arcari) [2180653] - thermal: intel: powerclamp: Use powercap idle-inject feature (David Arcari) [2180653] - thermal: intel: powerclamp: Fix cur_state for multi package system (David Arcari) [2180653] - powercap: idle_inject: Add update callback (David Arcari) [2180653] - powercap: idle_inject: Export symbols (David Arcari) [2180653] - powercap: idle_inject: Support 100%% idle injection (David Arcari) [2180653] - powercap: fix possible name leak in powercap_register_zone() (David Arcari) [2180653] - powercap: idle_inject: Fix warnings with make W=1 (David Arcari) [2180653] - Turn on IDLE_INJECT for x86 (David Arcari) [2180653] - powercap: Use kstrtobool() instead of strtobool() (David Arcari) [2180653] - thermal: intel_powerclamp: Use first online CPU as control_cpu (David Arcari) [2180653] - powercap: intel_rapl: Use standard Energy Unit for SPR Dram RAPL domain (David Arcari) [2180653] - thermal: intel_powerclamp: Remove accounting for IRQ wakes (David Arcari) [2180653] - thermal: intel_powerclamp: Use get_cpu() instead of smp_processor_id() to avoid crash (David Arcari) [2180653] - powercap: intel_rapl: fix UBSAN shift-out-of-bounds issue (David Arcari) [2180653] - thermal: intel_powerclamp: don't use bitmap_weight() in end_power_clamp() (David Arcari) [2180653] - thermal: fix Documentation bullet list warning (David Arcari) [2180653] - thermal/drivers/intel_powerclamp: Constify static thermal_cooling_device_ops (David Arcari) [2180653] - thermal: Move ABI documentation to Documentation/ABI (David Arcari) [2180653] - thermal: intel_powerclamp: Use bitmap_zalloc/bitmap_free when applicable (David Arcari) [2180653] - thermal: Update information in MAINTAINERS (David Arcari) [2180653] - sched/rt: pick_next_rt_entity(): check list_entry (Phil Auld) [RHEL-303] - sched/deadline: Move __dl_clear_params out of dl_bw lock (Phil Auld) [RHEL-303] - sched/deadline: Add replenish_dl_new_period helper (Phil Auld) [RHEL-303] - sched/deadline: Add dl_task_is_earliest_deadline helper (Phil Auld) [RHEL-303] - sched/deadline: Use sched_dl_entity's dl_density in dl_task_fits_capacity() (Phil Auld) [RHEL-303] - sched/deadline: Make dl_cpuset_cpumask_can_shrink() capacity-aware (Phil Auld) [RHEL-303] - sched/core: Introduce sched_asym_cpucap_active() (Phil Auld) [RHEL-303] - i40e: Fix for VF MAC address 0 (Stefan Assmann) [2169053] - macsec: fix UAF bug for real_dev (Xin Long) [2180612] - xfrm: set dst dev to blackhole_netdev instead of loopback_dev in ifdown (Xin Long) [2180612] - Revert "vlan: move dev_put into vlan_dev_uninit" (Xin Long) [2180612] - net: avoid quadratic behavior in netdev_wait_allrefs_any() (Xin Long) [2180612] - net: allow out-of-order netdev unregistration (Xin Long) [2180612] - net: transition netdev reg state earlier in run_todo (Xin Long) [2180612] - ipv6: blackhole_netdev needs snmp6 counters (Xin Long) [2180612] - ipv4: add (struct uncached_list)->quarantine list (Xin Long) [2180612] - ipv6: add (struct uncached_list)->quarantine list (Xin Long) [2180612] - ipv6: give an IPv6 dev to blackhole_netdev (Xin Long) [2180612] - ipv6: get rid of net->ipv6.rt6_stats->fib_rt_uncache (Xin Long) [2180612] - Make RHJOBS container friendly (Don Zickus) - Use RHJOBS for create-tarball (Don Zickus) - rxrpc: Fix wrong error return in rxrpc_connect_call() (Marc Dionne) [2170099] - rxrpc: Fix incoming call setup race (Marc Dionne) [2170099] - rxrpc: Move client call connection to the I/O thread (Marc Dionne) [2170099] - rxrpc: Move the client conn cache management to the I/O thread (Marc Dionne) [2170099] - rxrpc: Remove call->state_lock (Marc Dionne) [2170099] - rxrpc: Move call state changes from recvmsg to I/O thread (Marc Dionne) [2170099] - rxrpc: Move call state changes from sendmsg to I/O thread (Marc Dionne) [2170099] - rxrpc: Wrap accesses to get call state to put the barrier in one place (Marc Dionne) [2170099] - rxrpc: Split out the call state changing functions into their own file (Marc Dionne) [2170099] - rxrpc: Set up a connection bundle from a call, not rxrpc_conn_parameters (Marc Dionne) [2170099] - rxrpc: Offload the completion of service conn security to the I/O thread (Marc Dionne) [2170099] - rxrpc: Make the set of connection IDs per local endpoint (Marc Dionne) [2170099] - rxrpc: Tidy up abort generation infrastructure (Marc Dionne) [2170099] - rxrpc: Clean up connection abort (Marc Dionne) [2170099] - rxrpc: Implement a mechanism to send an event notification to a connection (Marc Dionne) [2170099] - rxrpc: Only disconnect calls in the I/O thread (Marc Dionne) [2170099] - rxrpc: Only set/transmit aborts in the I/O thread (Marc Dionne) [2170099] - rxrpc: Separate call retransmission from other conn events (Marc Dionne) [2170099] - rxrpc: Make the local endpoint hold a ref on a connected call (Marc Dionne) [2170099] - rxrpc: Stash the network namespace pointer in rxrpc_local (Marc Dionne) [2170099] - rxrpc: Fix a couple of potential use-after-frees (Marc Dionne) [2170099] - rxrpc: Fix the return value of rxrpc_new_incoming_call() (Marc Dionne) [2170099] - rxrpc: rxperf: Fix uninitialised variable (Marc Dionne) [2170099] - rxrpc: Fix I/O thread stop (Marc Dionne) [2170099] - rxrpc: Fix switched parameters in peer tracing (Marc Dionne) [2170099] - rxrpc: Fix locking issues in rxrpc_put_peer_locked() (Marc Dionne) [2170099] - rxrpc: Fix I/O thread startup getting skipped (Marc Dionne) [2170099] - rxrpc: Fix NULL deref in rxrpc_unuse_local() (Marc Dionne) [2170099] - rxrpc: Fix security setting propagation (Marc Dionne) [2170099] - rxrpc: Fix missing unlock in rxrpc_do_sendmsg() (Marc Dionne) [2170099] - rxrpc: Transmit ACKs at the point of generation (Marc Dionne) [2170099] - rxrpc: Fold __rxrpc_unuse_local() into rxrpc_unuse_local() (Marc Dionne) [2170099] - rxrpc: Move the cwnd degradation after transmitting packets (Marc Dionne) [2170099] - rxrpc: Trace/count transmission underflows and cwnd resets (Marc Dionne) [2170099] - rxrpc: Remove the _bh annotation from all the spinlocks (Marc Dionne) [2170099] - rxrpc: Make the I/O thread take over the call and local processor work (Marc Dionne) [2170099] - rxrpc: Extract the peer address from an incoming packet earlier (Marc Dionne) [2170099] - rxrpc: Reduce the use of RCU in packet input (Marc Dionne) [2170099] - rxrpc: Simplify skbuff accounting in receive path (Marc Dionne) [2170099] - rxrpc: Remove RCU from peer->error_targets list (Marc Dionne) [2170099] - rxrpc: Move DATA transmission into call processor work item (Marc Dionne) [2170099] - rxrpc: Copy client call parameters into rxrpc_call earlier (Marc Dionne) [2170099] - rxrpc: Implement a mechanism to send an event notification to a call (Marc Dionne) [2170099] - rxrpc: Don't use sk->sk_receive_queue.lock to guard socket state changes (Marc Dionne) [2170099] - rxrpc: Remove call->input_lock (Marc Dionne) [2170099] - rxrpc: Move error processing into the local endpoint I/O thread (Marc Dionne) [2170099] - rxrpc: Move packet reception processing into I/O thread (Marc Dionne) [2170099] - rxrpc: Create a per-local endpoint receive queue and I/O thread (Marc Dionne) [2170099] - rxrpc: Split the receive code (Marc Dionne) [2170099] - rxrpc: Don't hold a ref for connection workqueue (Marc Dionne) [2170099] - rxrpc: Don't hold a ref for call timer or workqueue (Marc Dionne) [2170099] - rxrpc: trace: Don't use __builtin_return_address for sk_buff tracing (Marc Dionne) [2170099] - rxrpc: Trace rxrpc_bundle refcount (Marc Dionne) [2170099] - rxrpc: trace: Don't use __builtin_return_address for rxrpc_call tracing (Marc Dionne) [2170099] - rxrpc: trace: Don't use __builtin_return_address for rxrpc_conn tracing (Marc Dionne) [2170099] - rxrpc: trace: Don't use __builtin_return_address for rxrpc_peer tracing (Marc Dionne) [2170099] - rxrpc: trace: Don't use __builtin_return_address for rxrpc_local tracing (Marc Dionne) [2170099] - rxrpc: Extract the code from a received ABORT packet much earlier (Marc Dionne) [2170099] - rxrpc: Drop rxrpc_conn_parameters from rxrpc_connection and rxrpc_bundle (Marc Dionne) [2170099] - rxrpc: Remove the [_k]net() debugging macros (Marc Dionne) [2170099] - rxrpc: Remove the [k_]proto() debugging macros (Marc Dionne) [2170099] - rxrpc: Remove handling of duplicate packets in recvmsg_queue (Marc Dionne) [2170099] - rxrpc: Fix call leak (Marc Dionne) [2170099] - rxrpc: Enable rxperf test module (Marc Dionne) [2170099] - rxrpc: Implement an in-kernel rxperf server for testing purposes (Marc Dionne) [2170099] - rxrpc: Fix checker warning (Marc Dionne) [2170099] - rxrpc: Fix race between conn bundle lookup and bundle removal [ZDI-CAN-15975] (Marc Dionne) [2170099] - rxrpc: uninitialized variable in rxrpc_send_ack_packet() (Marc Dionne) [2170099] - rxrpc: fix rxkad_verify_response() (Marc Dionne) [2170099] - rxrpc: Fix network address validation (Marc Dionne) [2170099] - rxrpc: Fix oops from calling udpv6_sendmsg() on AF_INET socket (Marc Dionne) [2170099] - rxrpc: Allocate an skcipher each time needed rather than reusing (Marc Dionne) [2170099] - rxrpc: Fix congestion management (Marc Dionne) [2170099] - rxrpc: Remove the rxtx ring (Marc Dionne) [2170099] - rxrpc: Save last ACK's SACK table rather than marking txbufs (Marc Dionne) [2170099] - rxrpc: Remove call->lock (Marc Dionne) [2170099] - rxrpc: Don't use a ring buffer for call Tx queue (Marc Dionne) [2170099] - rxrpc: Get rid of the Rx ring (Marc Dionne) [2170099] - rxrpc: Clone received jumbo subpackets and queue separately (Marc Dionne) [2170099] - rxrpc: Split the rxrpc_recvmsg tracepoint (Marc Dionne) [2170099] - rxrpc: Clean up ACK handling (Marc Dionne) [2170099] - rxrpc: Allocate ACK records at proposal and queue for transmission (Marc Dionne) [2170099] - rxrpc: Define rxrpc_txbuf struct to carry data to be transmitted (Marc Dionne) [2170099] - rxrpc: Remove call->tx_phase (Marc Dionne) [2170099] - rxrpc: Remove the flags from the rxrpc_skb tracepoint (Marc Dionne) [2170099] - rxrpc: Remove unnecessary header inclusions (Marc Dionne) [2170099] - rxrpc: Call udp_sendmsg() directly (Marc Dionne) [2170099] - rxrpc: Fix ack.bufferSize to be 0 when generating an ack (Marc Dionne) [2170099] - rxrpc: Record stats for why the REQUEST-ACK flag is being set (Marc Dionne) [2170099] - rxrpc: Record statistics about ACK types (Marc Dionne) [2170099] - rxrpc: Add stats procfile and DATA packet stats (Marc Dionne) [2170099] - rxrpc: Track highest acked serial (Marc Dionne) [2170099] - rxrpc: Split call timer-expiration from call timer-set tracepoint (Marc Dionne) [2170099] - rxrpc: Trace setting of the request-ack flag (Marc Dionne) [2170099] - rxrpc: Automatically generate trace tag enums (Marc Dionne) [2170099] - rxrpc: remove rxrpc_max_call_lifetime declaration (Marc Dionne) [2170099] - rxrpc: Remove rxrpc_get_reply_time() which is no longer used (Marc Dionne) [2170099] - rxrpc: Fix calc of resend age (Marc Dionne) [2170099] - rxrpc: Fix local destruction being repeated (Marc Dionne) [2170099] - rxrpc: Fix an insufficiently large sglist in rxkad_verify_packet_2() (Marc Dionne) [2170099] - rxrpc: Fix locking in rxrpc's sendmsg (Marc Dionne) [2170099] - net: rxrpc: fix clang -Wformat warning (Marc Dionne) [2170099] - rxrpc: Fix decision on when to generate an IDLE ACK (Marc Dionne) [2170099] - rxrpc: Don't let ack.previousPacket regress (Marc Dionne) [2170099] - rxrpc: Fix overlapping ACK accounting (Marc Dionne) [2170099] - rxrpc: Don't try to resend the request if we're receiving the reply (Marc Dionne) [2170099] - rxrpc: Fix listen() setting the bar too high for the prealloc rings (Marc Dionne) [2170099] - rxrpc, afs: Fix selection of abort codes (Marc Dionne) [2170099] - rxrpc: Return an error to sendmsg if call failed (Marc Dionne) [2170099] - rxrpc: Fix locking issue (Marc Dionne) [2170099] - rxrpc: Use refcount_t rather than atomic_t (Marc Dionne) [2170099] - rxrpc: Allow list of in-use local UDP endpoints to be viewed in /proc (Marc Dionne) [2170099] - rxrpc: Enable IPv6 checksums on transport socket (Marc Dionne) [2170099] - rxrpc: Restore removed timer deletion (Marc Dionne) [2170099] - rxrpc: fix a race in rxrpc_exit_net() (Marc Dionne) [2170099] - rxrpc: fix some null-ptr-deref bugs in server_key.c (Marc Dionne) [2170099] - rxrpc: Fix call timer start racing with call destruction (Marc Dionne) [2170099] - rxrpc: Adjust retransmission backoff (Marc Dionne) [2170099] - rxrpc: Fix rxrpc_local leak in rxrpc_lookup_peer() (Marc Dionne) [2170099] - rxrpc: Fix rxrpc_peer leak in rxrpc_look_up_bundle() (Marc Dionne) [2170099] - rxrpc: Fix _usecs_to_jiffies() by using usecs_to_jiffies() (Marc Dionne) [2170099] - net: RxRPC: make dependent Kconfig symbols be shown indented (Marc Dionne) [2170099] Resolves: rhbz#2169053, rhbz#2179877, rhbz#2180612, rhbz#2180653, rhbz#2182275, RHEL-303 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-04 10:03:43 +00:00
CONFIG_RXPERF=m
# CONFIG_SAMPLES is not set
# CONFIG_SATA_ACARD_AHCI is not set
CONFIG_SATA_AHCI=m
CONFIG_SATA_AHCI_PLATFORM=m
# CONFIG_SATA_DWC is not set
# CONFIG_SATA_INIC162X is not set
CONFIG_SATA_MOBILE_LPM_POLICY=0
# CONFIG_SATA_MV is not set
# CONFIG_SATA_NV is not set
CONFIG_SATA_PMP=y
# CONFIG_SATA_PROMISE is not set
# CONFIG_SATA_QSTOR is not set
# CONFIG_SATA_SIL24 is not set
# CONFIG_SATA_SIL is not set
# CONFIG_SATA_SIS is not set
# CONFIG_SATA_SVW is not set
# CONFIG_SATA_SX4 is not set
# CONFIG_SATA_ULI is not set
# CONFIG_SATA_VIA is not set
# CONFIG_SATA_VITESSE is not set
# CONFIG_SATA_ZPODD is not set
# CONFIG_SBC_EPX_C3_WATCHDOG is not set
# CONFIG_SBNI is not set
# CONFIG_SC1200_WDT is not set
# CONFIG_SCA3000 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SCA3300 is not set
CONFIG_SCANLOG=y
# CONFIG_SCD30_CORE is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_SCD4X is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_SCF_TORTURE_TEST=m
CONFIG_SCHED_AUTOGROUP=y
kernel-5.14.0-37.el9 * Wed Dec 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-37.el9] - sched,x86: Don't use cluster topology for x86 hybrid CPUs (Phil Auld) [2020279] - sched/uclamp: Fix rq->uclamp_max not set on first enqueue (Phil Auld) [2020279] - preempt/dynamic: Fix setup_preempt_mode() return value (Phil Auld) [2020279] - sched/cputime: Fix getrusage(RUSAGE_THREAD) with nohz_full (Phil Auld) [2020279 2029640] - sched/scs: Reset task stack state in bringup_cpu() (Phil Auld) [2020279] - Enable CONFIG_SCHED_CLUSTER for RHEL (Phil Auld) [2020279] - arch_topology: Fix missing clear cluster_cpumask in remove_cpu_topology() (Phil Auld) [2020279] - mm: move node_reclaim_distance to fix NUMA without SMP (Phil Auld) [2020279] - sched/core: Mitigate race cpus_share_cache()/update_top_cache_domain() (Phil Auld) [2020279] - sched/fair: Prevent dead task groups from regaining cfs_rq's (Phil Auld) [2020279] - x86/smp: Factor out parts of native_smp_prepare_cpus() (Phil Auld) [2020279] - sched,x86: Fix L2 cache mask (Phil Auld) [2020279] - sched/fair: Cleanup newidle_balance (Phil Auld) [2020279] - sched/fair: Remove sysctl_sched_migration_cost condition (Phil Auld) [2020279] - sched/fair: Wait before decaying max_newidle_lb_cost (Phil Auld) [2020279] - sched/fair: Skip update_blocked_averages if we are defering load balance (Phil Auld) [2020279] - sched/fair: Account update_blocked_averages in newidle_balance cost (Phil Auld) [2020279] - sched/core: Remove rq_relock() (Phil Auld) [2020279] - sched: Improve wake_up_all_idle_cpus() take #2 (Phil Auld) [2020279] - sched: Disable -Wunused-but-set-variable (Phil Auld) [2020279] - irq_work: Handle some irq_work in a per-CPU thread on PREEMPT_RT (Phil Auld) [2020279] - irq_work: Also rcuwait for !IRQ_WORK_HARD_IRQ on PREEMPT_RT (Phil Auld) [2020279] - irq_work: Allow irq_work_sync() to sleep if irq_work() no IRQ support. (Phil Auld) [2020279] - sched/rt: Annotate the RT balancing logic irqwork as IRQ_WORK_HARD_IRQ (Phil Auld) [2020279] - sched: Fix DEBUG && !SCHEDSTATS warn (Phil Auld) [2020279] - sched/numa: Fix a few comments (Phil Auld) [2020279] - sched/numa: Remove the redundant member numa_group::fault_cpus (Phil Auld) [2020279] - sched/numa: Replace hard-coded number by a define in numa_task_group() (Phil Auld) [2020279] - sched: Remove pointless preemption disable in sched_submit_work() (Phil Auld) [2020279] - sched: Move mmdrop to RCU on RT (Phil Auld) [2020279] - sched: Move kprobes cleanup out of finish_task_switch() (Phil Auld) [2020279] - sched: Disable TTWU_QUEUE on RT (Phil Auld) [2020279] - sched: Limit the number of task migrations per batch on RT (Phil Auld) [2020279] - sched/fair: Removed useless update of p->recent_used_cpu (Phil Auld) [2020279] - sched: Add cluster scheduler level for x86 (Phil Auld) [1921343 2020279] - x86/cpu: Add get_llc_id() helper function (Phil Auld) [2020279] - x86/smp: Add a per-cpu view of SMT state (Phil Auld) [2020279] - sched: Add cluster scheduler level in core and related Kconfig for ARM64 (Phil Auld) [2020279] - topology: Represent clusters of CPUs within a die (Phil Auld) [2020279] - topology: use bin_attribute to break the size limitation of cpumap ABI (Phil Auld) [2020279] - cpumask: Omit terminating null byte in cpumap_print_{list,bitmask}_to_buf (Phil Auld) [2020279] - cpumask: introduce cpumap_print_list/bitmask_to_buf to support large bitmask and list (Phil Auld) [2020279] - sched: Make cookie functions static (Phil Auld) [2020279] - sched,livepatch: Use wake_up_if_idle() (Phil Auld) [2020279] - sched: Simplify wake_up_*idle*() (Phil Auld) [2020279] - sched,livepatch: Use task_call_func() (Phil Auld) [2020279] - sched,rcu: Rework try_invoke_on_locked_down_task() (Phil Auld) [2020279] - sched: Improve try_invoke_on_locked_down_task() (Phil Auld) [2020279] - kernel/sched: Fix sched_fork() access an invalid sched_task_group (Phil Auld) [2020279] - sched/topology: Remove unused numa_distance in cpu_attach_domain() (Phil Auld) [2020279] - sched: Remove unused inline function __rq_clock_broken() (Phil Auld) [2020279] - sched/fair: Consider SMT in ASYM_PACKING load balance (Phil Auld) [2020279] - sched/fair: Carve out logic to mark a group for asymmetric packing (Phil Auld) [2020279] - sched/fair: Provide update_sg_lb_stats() with sched domain statistics (Phil Auld) [2020279] - sched/fair: Optimize checking for group_asym_packing (Phil Auld) [2020279] - sched/topology: Introduce sched_group::flags (Phil Auld) [2020279] - sched/dl: Support schedstats for deadline sched class (Phil Auld) [2020279] - sched/dl: Support sched_stat_runtime tracepoint for deadline sched class (Phil Auld) [2020279] - sched/rt: Support schedstats for RT sched class (Phil Auld) [2020279] - sched/rt: Support sched_stat_runtime tracepoint for RT sched class (Phil Auld) [2020279] - sched: Introduce task block time in schedstats (Phil Auld) [2020279] - sched: Make schedstats helpers independent of fair sched class (Phil Auld) [2020279] - sched: Make struct sched_statistics independent of fair sched class (Phil Auld) [2020279] - sched/fair: Use __schedstat_set() in set_next_entity() (Phil Auld) [2020279] - kselftests/sched: cleanup the child processes (Phil Auld) [2020279] - sched/fair: Add document for burstable CFS bandwidth (Phil Auld) [2020279] - sched/fair: Add cfs bandwidth burst statistics (Phil Auld) [2020279] - fs/proc/uptime.c: Fix idle time reporting in /proc/uptime (Phil Auld) [2020279] - sched: Switch wait_task_inactive to HRTIMER_MODE_REL_HARD (Phil Auld) [2020279] - sched/core: Simplify core-wide task selection (Phil Auld) [2020279] - sched/fair: Trigger nohz.next_balance updates when a CPU goes NOHZ-idle (Phil Auld) [2020279] - sched/fair: Add NOHZ balancer flag for nohz.next_balance updates (Phil Auld) [2020279] - sched: adjust sleeper credit for SCHED_IDLE entities (Phil Auld) [2020279] - sched: reduce sched slice for SCHED_IDLE entities (Phil Auld) [2020279] - sched: Account number of SCHED_IDLE entities on each cfs_rq (Phil Auld) [2020279] - wait: use LIST_HEAD_INIT() to initialize wait_queue_head (Phil Auld) [2020279] - kthread: Move prio/affinite change into the newly created thread (Phil Auld) [2020279] Resolves: rhbz#1921343, rhbz#2020279, rhbz#2029640 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-12-22 15:20:23 +00:00
CONFIG_SCHED_CLUSTER=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
CONFIG_SCHED_CORE=y
CONFIG_SCHED_DEBUG=y
# CONFIG_SCHED_MC is not set
CONFIG_SCHED_MC_PRIO=y
CONFIG_SCHED_OMIT_FRAME_POINTER=y
CONFIG_SCHED_SMT=y
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_SCHED_STACK_END_CHECK=y
CONFIG_SCHEDSTATS=y
CONFIG_SCHED_TRACER=y
CONFIG_SCOM_DEBUGFS=y
# CONFIG_SCSI_3W_9XXX is not set
# CONFIG_SCSI_3W_SAS is not set
CONFIG_SCSI_AACRAID=m
# CONFIG_SCSI_ACARD is not set
# CONFIG_SCSI_ADVANSYS is not set
# CONFIG_SCSI_AIC79XX is not set
# CONFIG_SCSI_AIC7XXX is not set
# CONFIG_SCSI_AIC94XX is not set
# CONFIG_SCSI_AM53C974 is not set
# CONFIG_SCSI_ARCMSR is not set
# CONFIG_SCSI_BFA_FC is not set
CONFIG_SCSI_BNX2_ISCSI=m
CONFIG_SCSI_BNX2X_FCOE=m
# CONFIG_SCSI_BUSLOGIC is not set
CONFIG_SCSI_CHELSIO_FCOE=m
CONFIG_SCSI_CONSTANTS=y
# CONFIG_SCSI_CXGB3_ISCSI is not set
CONFIG_SCSI_CXGB4_ISCSI=m
# CONFIG_SCSI_DC395x is not set
CONFIG_SCSI_DEBUG=m
CONFIG_SCSI_DH_ALUA=y
CONFIG_SCSI_DH_EMC=y
CONFIG_SCSI_DH_HP_SW=y
CONFIG_SCSI_DH_RDAC=y
CONFIG_SCSI_DH=y
# CONFIG_SCSI_DMX3191D is not set
# CONFIG_SCSI_DPT_I2O is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SCSI_EFCT is not set
CONFIG_SCSI_ENCLOSURE=m
# CONFIG_SCSI_ESAS2R is not set
CONFIG_SCSI_FC_ATTRS=m
# CONFIG_SCSI_FDOMAIN_PCI is not set
# CONFIG_SCSI_HISI_SAS_DEBUGFS_DEFAULT_ENABLE is not set
CONFIG_SCSI_HPSA=m
# CONFIG_SCSI_HPTIOP is not set
CONFIG_SCSI_IBMVFC=m
CONFIG_SCSI_IBMVFC_TRACE=y
CONFIG_SCSI_IBMVSCSI=m
CONFIG_SCSI_IBMVSCSIS=m
# CONFIG_SCSI_IMM is not set
# CONFIG_SCSI_INIA100 is not set
# CONFIG_SCSI_INITIO is not set
CONFIG_SCSI_IPR_DUMP=y
CONFIG_SCSI_IPR=m
CONFIG_SCSI_IPR_TRACE=y
# CONFIG_SCSI_IPS is not set
# CONFIG_SCSI_ISCI is not set
CONFIG_SCSI_ISCSI_ATTRS=m
CONFIG_SCSI_LOGGING=y
CONFIG_SCSI_LOWLEVEL=y
# CONFIG_SCSI_LPFC_DEBUG_FS is not set
CONFIG_SCSI_LPFC=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SCSI_MPI3MR=m
CONFIG_SCSI_MPT2SAS=m
CONFIG_SCSI_MPT2SAS_MAX_SGE=128
CONFIG_SCSI_MPT3SAS=m
CONFIG_SCSI_MPT3SAS_MAX_SGE=128
# CONFIG_SCSI_MVSAS is not set
# CONFIG_SCSI_MVUMI is not set
# CONFIG_SCSI_MYRB is not set
# CONFIG_SCSI_MYRS is not set
# CONFIG_SCSI_PM8001 is not set
# CONFIG_SCSI_PMCRAID is not set
# CONFIG_SCSI_PPA is not set
CONFIG_SCSI_PROC_FS=y
CONFIG_SCSI_QLA_FC=m
CONFIG_SCSI_QLA_ISCSI=m
# CONFIG_SCSI_QLOGIC_1280 is not set
CONFIG_SCSI_SAS_ATA=y
CONFIG_SCSI_SAS_ATTRS=m
CONFIG_SCSI_SAS_HOST_SMP=y
CONFIG_SCSI_SAS_LIBSAS=m
CONFIG_SCSI_SCAN_ASYNC=y
CONFIG_SCSI_SMARTPQI=m
# CONFIG_SCSI_SNIC is not set
CONFIG_SCSI_SPI_ATTRS=m
CONFIG_SCSI_SRP_ATTRS=m
# CONFIG_SCSI_STEX is not set
# CONFIG_SCSI_SYM53C8XX_2 is not set
# CONFIG_SCSI_UFSHCD is not set
CONFIG_SCSI_VIRTIO=m
# CONFIG_SCSI_WD719X is not set
CONFIG_SCSI=y
CONFIG_SCTP_COOKIE_HMAC_MD5=y
CONFIG_SCTP_COOKIE_HMAC_SHA1=y
# CONFIG_SCTP_DBG_OBJCNT is not set
# CONFIG_SCTP_DEFAULT_COOKIE_HMAC_MD5 is not set
# CONFIG_SCTP_DEFAULT_COOKIE_HMAC_NONE is not set
CONFIG_SCTP_DEFAULT_COOKIE_HMAC_SHA1=y
CONFIG_SDIO_UART=m
# CONFIG_SDMA_VERBOSITY is not set
# CONFIG_SECCOMP_CACHE_DEBUG is not set
CONFIG_SECCOMP=y
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
CONFIG_SECONDARY_TRUSTED_KEYRING=y
CONFIG_SECTION_MISMATCH_WARN_ONLY=y
# CONFIG_SECURITY_APPARMOR is not set
# CONFIG_SECURITY_DMESG_RESTRICT is not set
CONFIG_SECURITYFS=y
CONFIG_SECURITY_INFINIBAND=y
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SECURITY_LANDLOCK is not set
# CONFIG_SECURITY_LOADPIN is not set
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_SECURITY_LOCKDOWN_LSM_EARLY=y
CONFIG_SECURITY_LOCKDOWN_LSM=y
CONFIG_SECURITY_NETWORK_XFRM=y
CONFIG_SECURITY_NETWORK=y
kernel-5.14.0-245.el9 * Thu Jan 26 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-245.el9] - RDMA/bnxt_re: Fix endianness warning for req.pkey (Kamal Heib) [2142686] - RDMA/bnxt_re: Use bitmap_zalloc() when applicable (Kamal Heib) [2142686] - RDMA/bnxt_re: Remove dynamic pkey table (Kamal Heib) [2142686] - RDMA/bnxt_re: Scan the whole bitmap when checking if "disabling RCFW with pending cmd-bit" (Kamal Heib) [2142686] - RDMA/bnxt_re: Remove unneeded variable (Kamal Heib) [2142686] - RDMA/bnxt_re: Remove unsupported bnxt_re_modify_ah callback (Kamal Heib) [2142686] - RDMA/bnxt_re: Use helper function to set GUIDs (Kamal Heib) [2142686] - RDMA/bnxt_re: Check if the vlan is valid before reporting (Kamal Heib) [2142686] - RDMA/bnxt_re: Correct FRMR size calculation (Kamal Heib) [2142686] - RDMA/bnxt_re: Use GFP_KERNEL in non atomic context (Kamal Heib) [2142686] - RDMA/bnxt_re: Fix FRMR issue with single page MR allocation (Kamal Heib) [2142686] - RDMA/bnxt_re: Fix query SRQ failure (Kamal Heib) [2142686] - RDMA/bnxt_re: Suppress unwanted error messages (Kamal Heib) [2142686] - RDMA/bnxt_re: Support multiple page sizes (Kamal Heib) [2142686] - RDMA/bnxt_re: Reduce the delay in polling for hwrm command completion (Kamal Heib) [2142686] - RDMA/bnxt_re: Use separate response buffer for stat_ctx_free (Kamal Heib) [2142686] - RDMA/bnxt_re: Prefer kcalloc over open coded arithmetic (Kamal Heib) [2142686] - config: Enable Security Path (Ricardo Robaina) [2161307] - nvme: fix SRCU protection of nvme_ns_head list (Ewan D. Milne) [2160573] - PCI/MSI: Move descriptor counting on allocation fail to the legacy code (Myron Stowe) [2151246] - genirq/msi: Handle PCI/MSI allocation fail in core code (Myron Stowe) [2151246] - PCI/MSI: Make pci_msi_domain_check_cap() static (Myron Stowe) [2151246] - PCI/MSI: Move msi_lock to struct pci_dev (Myron Stowe) [2151246] - PCI/MSI: Sanitize MSI-X table map handling (Myron Stowe) [2151246] - PCI/MSI: Split out irqdomain code (Myron Stowe) [2151246] - PCI/MSI: Split out !IRQDOMAIN code (Myron Stowe) [2151246] - PCI/MSI: Split out CONFIG_PCI_MSI independent part (Myron Stowe) [2151246] - PCI/MSI: Move code into a separate directory (Myron Stowe) [2151246] - PCI/MSI: Make msix_update_entries() smarter (Myron Stowe) [2151246] - PCI/MSI: Cleanup include zoo (Myron Stowe) [2151246] - PCI/MSI: Make arch_restore_msi_irqs() less horrible. (Myron Stowe) [2151246] - genirq/msi, treewide: Use a named struct for PCI/MSI attributes (Myron Stowe) [2151246] - MIPS: Octeon: Use arch_setup_msi_irq() (Myron Stowe) [2151246] - PCI/sysfs: Use pci_irq_vector() (Myron Stowe) [2151246] - PCI/MSI: Remove msi_desc_to_pci_sysdata() (Myron Stowe) [2151246] - PCI/MSI: Make pci_msi_domain_write_msg() static (Myron Stowe) [2151246] - genirq/msi: Fixup includes (Myron Stowe) [2151246] - genirq/msi: Remove unused domain callbacks (Myron Stowe) [2151246] - genirq/msi: Guard sysfs code (Myron Stowe) [2151246] - PCI/MSI: Fix pci_irq_vector()/pci_irq_get_affinity() (Myron Stowe) [2151246] - powerpc/4xx: Complete removal of MSI support (Myron Stowe) [2151246] - powerpc/4xx: Remove MSI support which never worked (Myron Stowe) [2151246] - PCI/MSI: Clear PCI_MSIX_FLAGS_MASKALL on error (Myron Stowe) [2151246] - PCI/MSI: Mask MSI-X vectors only on success (Myron Stowe) [2151246] - genirq: Fix kernel-doc warnings in pm.c, msi.c and ipi.c (Myron Stowe) [2151246] - efi/cper, cxl: Decode CXL Error Log (Lenny Szubowicz) [2143734] - efi/cper, cxl: Decode CXL Protocol Error Section (Lenny Szubowicz) [2143734] Resolves: rhbz#2142686, rhbz#2161307, rhbz#2160573, rhbz#2151246, rhbz#2143734 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-26 15:02:34 +00:00
CONFIG_SECURITY_PATH=y
# CONFIG_SECURITY_SAFESETID is not set
CONFIG_SECURITY_SELINUX_AVC_STATS=y
CONFIG_SECURITY_SELINUX_BOOTPARAM=y
CONFIG_SECURITY_SELINUX_CHECKREQPROT_VALUE=0
CONFIG_SECURITY_SELINUX_DEVELOP=y
# CONFIG_SECURITY_SELINUX_DISABLE is not set
CONFIG_SECURITY_SELINUX_SID2STR_CACHE_SIZE=256
CONFIG_SECURITY_SELINUX_SIDTAB_HASH_BITS=9
CONFIG_SECURITY_SELINUX=y
# CONFIG_SECURITY_SMACK is not set
# CONFIG_SECURITY_TOMOYO is not set
CONFIG_SECURITY=y
CONFIG_SECURITY_YAMA=y
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_SENSEAIR_SUNRISE_CO2 is not set
# CONFIG_SENSIRION_SGP30 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_SENSIRION_SGP40 is not set
CONFIG_SENSORS_ABITUGURU3=m
CONFIG_SENSORS_ABITUGURU=m
CONFIG_SENSORS_ACPI_POWER=m
# CONFIG_SENSORS_AD7314 is not set
CONFIG_SENSORS_AD7414=m
CONFIG_SENSORS_AD7418=m
# CONFIG_SENSORS_ADC128D818 is not set
# CONFIG_SENSORS_ADCXX is not set
CONFIG_SENSORS_ADM1021=m
CONFIG_SENSORS_ADM1025=m
CONFIG_SENSORS_ADM1026=m
CONFIG_SENSORS_ADM1029=m
CONFIG_SENSORS_ADM1031=m
# CONFIG_SENSORS_ADM1177 is not set
# CONFIG_SENSORS_ADM1266 is not set
CONFIG_SENSORS_ADM1275=m
CONFIG_SENSORS_ADM9240=m
CONFIG_SENSORS_ADS7828=m
# CONFIG_SENSORS_ADS7871 is not set
CONFIG_SENSORS_ADT7310=m
CONFIG_SENSORS_ADT7410=m
CONFIG_SENSORS_ADT7411=m
CONFIG_SENSORS_ADT7462=m
CONFIG_SENSORS_ADT7470=m
CONFIG_SENSORS_ADT7475=m
# CONFIG_SENSORS_AHT10 is not set
CONFIG_SENSORS_AMC6821=m
CONFIG_SENSORS_APDS990X=m
CONFIG_SENSORS_APPLESMC=m
# CONFIG_SENSORS_AS370 is not set
CONFIG_SENSORS_ASB100=m
CONFIG_SENSORS_ASC7621=m
# CONFIG_SENSORS_ASPEED is not set
CONFIG_SENSORS_ATK0110=m
CONFIG_SENSORS_ATXP1=m
# CONFIG_SENSORS_AXI_FAN_CONTROL is not set
# CONFIG_SENSORS_BEL_PFE is not set
CONFIG_SENSORS_BH1770=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SENSORS_BPA_RS600 is not set
CONFIG_SENSORS_CORETEMP=m
# CONFIG_SENSORS_CORSAIR_CPRO is not set
# CONFIG_SENSORS_CORSAIR_PSU is not set
# CONFIG_SENSORS_DELL_SMM is not set
CONFIG_SENSORS_DME1737=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SENSORS_DPS920AB is not set
# CONFIG_SENSORS_DRIVETEMP is not set
CONFIG_SENSORS_DS1621=m
CONFIG_SENSORS_DS620=m
CONFIG_SENSORS_EMC1403=m
# CONFIG_SENSORS_EMC2103 is not set
CONFIG_SENSORS_EMC6W201=m
CONFIG_SENSORS_F71805F=m
CONFIG_SENSORS_F71882FG=m
CONFIG_SENSORS_F75375S=m
CONFIG_SENSORS_FSCHMD=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SENSORS_FSP_3Y is not set
# CONFIG_SENSORS_FTSTEUTATES is not set
CONFIG_SENSORS_G760A=m
# CONFIG_SENSORS_G762 is not set
CONFIG_SENSORS_GL518SM=m
CONFIG_SENSORS_GL520SM=m
# CONFIG_SENSORS_GPIO_FAN is not set
# CONFIG_SENSORS_HIH6130 is not set
# CONFIG_SENSORS_HMC5843_I2C is not set
# CONFIG_SENSORS_HMC5843_SPI is not set
# CONFIG_SENSORS_I5K_AMB is not set
CONFIG_SENSORS_IBMAEM=m
# CONFIG_SENSORS_IBM_CFFPS is not set
CONFIG_SENSORS_IBMPEX=m
CONFIG_SENSORS_IBMPOWERNV=m
# CONFIG_SENSORS_IIO_HWMON is not set
CONFIG_SENSORS_INA209=m
CONFIG_SENSORS_INA2XX=m
# CONFIG_SENSORS_INA3221 is not set
# CONFIG_SENSORS_INSPUR_IPSPS is not set
# CONFIG_SENSORS_IR35221 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SENSORS_IR36021 is not set
# CONFIG_SENSORS_IR38064 is not set
# CONFIG_SENSORS_IRPS5401 is not set
# CONFIG_SENSORS_ISL29018 is not set
# CONFIG_SENSORS_ISL29028 is not set
# CONFIG_SENSORS_ISL68137 is not set
CONFIG_SENSORS_IT87=m
CONFIG_SENSORS_JC42=m
CONFIG_SENSORS_K10TEMP=m
CONFIG_SENSORS_K8TEMP=m
CONFIG_SENSORS_LINEAGE=m
CONFIG_SENSORS_LIS3_I2C=m
CONFIG_SENSORS_LM25066=m
CONFIG_SENSORS_LM63=m
# CONFIG_SENSORS_LM70 is not set
CONFIG_SENSORS_LM73=m
CONFIG_SENSORS_LM75=m
CONFIG_SENSORS_LM77=m
CONFIG_SENSORS_LM78=m
CONFIG_SENSORS_LM80=m
CONFIG_SENSORS_LM83=m
CONFIG_SENSORS_LM85=m
CONFIG_SENSORS_LM87=m
CONFIG_SENSORS_LM90=m
CONFIG_SENSORS_LM92=m
CONFIG_SENSORS_LM93=m
CONFIG_SENSORS_LM95234=m
CONFIG_SENSORS_LM95241=m
CONFIG_SENSORS_LM95245=m
# CONFIG_SENSORS_LTC2945 is not set
# CONFIG_SENSORS_LTC2947_I2C is not set
# CONFIG_SENSORS_LTC2947_SPI is not set
CONFIG_SENSORS_LTC2978=m
# CONFIG_SENSORS_LTC2990 is not set
# CONFIG_SENSORS_LTC2992 is not set
# CONFIG_SENSORS_LTC3815 is not set
CONFIG_SENSORS_LTC4151=m
CONFIG_SENSORS_LTC4215=m
# CONFIG_SENSORS_LTC4222 is not set
CONFIG_SENSORS_LTC4245=m
# CONFIG_SENSORS_LTC4260 is not set
CONFIG_SENSORS_LTC4261=m
# CONFIG_SENSORS_MAX1111 is not set
# CONFIG_SENSORS_MAX127 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SENSORS_MAX15301 is not set
CONFIG_SENSORS_MAX16064=m
CONFIG_SENSORS_MAX16065=m
CONFIG_SENSORS_MAX1619=m
# CONFIG_SENSORS_MAX16601 is not set
CONFIG_SENSORS_MAX1668=m
CONFIG_SENSORS_MAX197=m
# CONFIG_SENSORS_MAX20730 is not set
# CONFIG_SENSORS_MAX20751 is not set
# CONFIG_SENSORS_MAX31722 is not set
# CONFIG_SENSORS_MAX31730 is not set
# CONFIG_SENSORS_MAX31785 is not set
# CONFIG_SENSORS_MAX31790 is not set
CONFIG_SENSORS_MAX34440=m
# CONFIG_SENSORS_MAX6621 is not set
CONFIG_SENSORS_MAX6639=m
CONFIG_SENSORS_MAX6642=m
CONFIG_SENSORS_MAX6650=m
CONFIG_SENSORS_MAX6697=m
CONFIG_SENSORS_MAX8688=m
CONFIG_SENSORS_MCP3021=m
kernel-5.14.0-73.el9 * Sun Mar 20 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-73.el9] - CI: Use 9.0-rt branch for rhel-9 realtime_check (Juri Lelli) - redhat: enable zstream release numbering for rhel 9.0 (Herton R. Krzesinski) - redhat: change kabi tarballs to use the package release (Herton R. Krzesinski) - redhat: generate distgit changelog in genspec.sh as well (Herton R. Krzesinski) - redhat: make genspec prefer metadata from git notes (Herton R. Krzesinski) - redhat: use tags from git notes for zstream to generate changelog (Herton R. Krzesinski) - CI: Add disttag setting (Veronika Kabatova) - CI: Drop c9s config (Veronika Kabatova) - virtio-net: fix pages leaking when building skb in big mode (Laurent Vivier) [2042559] - lib/iov_iter: initialize "flags" in new pipe_buffer (Carlos Maiolino) [2060869] {CVE-2022-0847} - redhat/configs: Make CRYPTO_ECDH algos built-in (Simo Sorce) [2062199] - crypto: api - Move cryptomgr soft dependency into algapi (Vladis Dronov) [2062199] - crypto: api - Fix boot-up crash when crypto manager is disabled (Vladis Dronov) [2062199] - crypto: api - Do not create test larvals if manager is disabled (Vladis Dronov) [2062199] - crypto: api - Export crypto_boot_test_finished (Vladis Dronov) [2062199] - crypto: api - Fix built-in testing dependency failures (Vladis Dronov) [2062199] - redhat/configs: Add CRYPTO_AES_ARM64 config explicitly (Vladis Dronov) [2062199] - crypto: arm64/aes-ce - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - crypto: arm64/aes-neonbs - stop using SIMD helper for skciphers (Vladis Dronov) [2062199] - redhat: change default dist suffix for RHEL 9.0 (Herton R. Krzesinski) - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2050813] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2050813] - block: kabi: reserve space for block layer public structure (Ming Lei) [2057238] - block: kabi: reserve space for blk-mq related structure (Ming Lei) [2057238] - block: kabi: reserve space for bsg related structure (Ming Lei) [2057238] - block: kabi: reserve space for integrity related structure (Ming Lei) [2057238] - scsi: lpfc: Fix pt2pt NVMe PRLI reject LOGO loop (Dick Kennedy) [2054866] - x86/MCE/AMD, EDAC/mce_amd: Support non-uniform MCA bank type enumeration (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD, EDAC/mce_amd: Add new SMCA bank types (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC/amd64: Add support for AMD Family 19h Models 10h-1Fh and A0h-AFh (Aristeu Rozanski) [1898165 2047430 2047446] - EDAC: Add RDDR5 and LRDDR5 memory types (Aristeu Rozanski) [1898165 2047430 2047446] - drm/amdgpu: Register MCE notifier for Aldebaran RAS (Aristeu Rozanski) [1898165 2047430 2047446] - x86/MCE/AMD: Export smca_get_bank_type symbol (Aristeu Rozanski) [1898165 2047430 2047446] - PCI/ACPI: Fix acpi_pci_osc_control_set() kernel-doc comment (Mark Langsdorf) [2049635] - PCI/ACPI: Check for _OSC support in acpi_pci_osc_control_set() (Mark Langsdorf) [2049635] - PCI/ACPI: Move _OSC query checks to separate function (Mark Langsdorf) [2049635] - PCI/ACPI: Move supported and control calculations to separate functions (Mark Langsdorf) [2049635] - PCI/ACPI: Remove OSC_PCI_SUPPORT_MASKS and OSC_PCI_CONTROL_MASKS (Mark Langsdorf) [2049635] - nvmet-tcp: fix missing unmainted messages (Chris Leech) [2054441] - dm stats: fix too short end duration_ns when using precise_timestamps (Benjamin Marzinski) [2051798] - dm: fix double accounting of flush with data (Benjamin Marzinski) [2051798] - dm: interlock pending dm_io and dm_wait_for_bios_completion (Benjamin Marzinski) [2051798] - dm: properly fix redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - dm: revert partial fix for redundant bio-based IO accounting (Benjamin Marzinski) [2051798] - block: add bio_start_io_acct_time() to control start_time (Benjamin Marzinski) [2051798] - scsi: mpt3sas: Fix incorrect system timestamp (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix system going into read-only mode (Tomas Henzl) [2049631] - scsi: mpt3sas: Fix kernel panic during drive powercycle test (Tomas Henzl) [2049631] - redhat/configs: enable mellanox platform drivers to support LED, fan & watchdog devices (Ivan Vecera) [2057491] - x86/cpu: Drop spurious underscore from RAPTOR_LAKE #define (David Arcari) [2040022] - x86/cpu: Add Raptor Lake to Intel family (David Arcari) [2040022] - powerpc/64: Move paca allocation later in boot (Desnes A. Nunes do Rosario) [2055566] - powerpc: Set crashkernel offset to mid of RMA region (Desnes A. Nunes do Rosario) [2055566] - selftests: kvm: Check whether SIDA memop fails for normal guests (Thomas Huth) [2060814] - KVM: s390: Return error on SIDA memop on normal guest (Thomas Huth) [2060814] - igb: refactor XDP registration (Corinna Vinschen) [2054379] - igc: avoid kernel warning when changing RX ring parameters (Corinna Vinschen) [2054379] - redhat/configs: Enable CONFIG_ACER_WIRELESS (Peter Georg) [2025985] Resolves: rhbz#2025985, rhbz#2054379, rhbz#2060814, rhbz#2055566, rhbz#2040022 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-20 08:42:21 +00:00
CONFIG_SENSORS_MLXREG_FAN=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SENSORS_MP2888 is not set
# CONFIG_SENSORS_MP2975 is not set
# CONFIG_SENSORS_MR75203 is not set
# CONFIG_SENSORS_NCT6683 is not set
CONFIG_SENSORS_NCT6775=m
# CONFIG_SENSORS_NCT7802 is not set
# CONFIG_SENSORS_NCT7904 is not set
# CONFIG_SENSORS_NPCM7XX is not set
CONFIG_SENSORS_NTC_THERMISTOR=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SENSORS_NZXT_KRAKEN2 is not set
# CONFIG_SENSORS_OCC_P8_I2C is not set
CONFIG_SENSORS_PC87360=m
CONFIG_SENSORS_PC87427=m
CONFIG_SENSORS_PCF8591=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SENSORS_PIM4328 is not set
# CONFIG_SENSORS_PM6764TR is not set
CONFIG_SENSORS_PMBUS=m
# CONFIG_SENSORS_POWR1220 is not set
# CONFIG_SENSORS_PWM_FAN is not set
# CONFIG_SENSORS_PXE1610 is not set
# CONFIG_SENSORS_Q54SJ108A2 is not set
# CONFIG_SENSORS_RM3100_I2C is not set
# CONFIG_SENSORS_RM3100_SPI is not set
# CONFIG_SENSORS_SBTSI is not set
CONFIG_SENSORS_SCH5627=m
CONFIG_SENSORS_SCH5636=m
CONFIG_SENSORS_SHT15=m
CONFIG_SENSORS_SHT21=m
# CONFIG_SENSORS_SHT3x is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SENSORS_SHT4x is not set
# CONFIG_SENSORS_SHTC1 is not set
CONFIG_SENSORS_SIS5595=m
# CONFIG_SENSORS_SMM665 is not set
CONFIG_SENSORS_SMSC47B397=m
CONFIG_SENSORS_SMSC47M192=m
CONFIG_SENSORS_SMSC47M1=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SENSORS_STPDDC60 is not set
# CONFIG_SENSORS_STTS751 is not set
# CONFIG_SENSORS_TC654 is not set
# CONFIG_SENSORS_TC74 is not set
CONFIG_SENSORS_THMC50=m
CONFIG_SENSORS_TMP102=m
# CONFIG_SENSORS_TMP103 is not set
# CONFIG_SENSORS_TMP108 is not set
CONFIG_SENSORS_TMP401=m
CONFIG_SENSORS_TMP421=m
# CONFIG_SENSORS_TMP513 is not set
# CONFIG_SENSORS_TPS23861 is not set
# CONFIG_SENSORS_TPS40422 is not set
# CONFIG_SENSORS_TPS53679 is not set
CONFIG_SENSORS_TSL2550=m
# CONFIG_SENSORS_TSL2563 is not set
CONFIG_SENSORS_UCD9000=m
CONFIG_SENSORS_UCD9200=m
CONFIG_SENSORS_VIA686A=m
CONFIG_SENSORS_VIA_CPUTEMP=m
CONFIG_SENSORS_VT1211=m
CONFIG_SENSORS_VT8231=m
CONFIG_SENSORS_W83627EHF=m
CONFIG_SENSORS_W83627HF=m
# CONFIG_SENSORS_W83773G is not set
CONFIG_SENSORS_W83781D=m
CONFIG_SENSORS_W83791D=m
CONFIG_SENSORS_W83792D=m
CONFIG_SENSORS_W83793=m
# CONFIG_SENSORS_W83795_FANCTRL is not set
CONFIG_SENSORS_W83795=m
CONFIG_SENSORS_W83L785TS=m
CONFIG_SENSORS_W83L786NG=m
# CONFIG_SENSORS_XDPE122 is not set
# CONFIG_SENSORS_XGENE is not set
CONFIG_SENSORS_ZL6100=m
# CONFIG_SERIAL_8250_16550A_VARIANTS is not set
# CONFIG_SERIAL_8250_ASPEED_VUART is not set
CONFIG_SERIAL_8250_CONSOLE=y
# CONFIG_SERIAL_8250_DEPRECATED_OPTIONS is not set
# CONFIG_SERIAL_8250_DETECT_IRQ is not set
# CONFIG_SERIAL_8250_DW is not set
CONFIG_SERIAL_8250_EXAR=y
CONFIG_SERIAL_8250_EXTENDED=y
# CONFIG_SERIAL_8250_FINTEK is not set
CONFIG_SERIAL_8250_MANY_PORTS=y
CONFIG_SERIAL_8250_MID=y
CONFIG_SERIAL_8250_NR_UARTS=32
CONFIG_SERIAL_8250_PCI=y
CONFIG_SERIAL_8250_RSA=y
# CONFIG_SERIAL_8250_RT288X is not set
CONFIG_SERIAL_8250_RUNTIME_UARTS=4
CONFIG_SERIAL_8250_SHARE_IRQ=y
CONFIG_SERIAL_8250=y
# CONFIG_SERIAL_ALTERA_JTAGUART is not set
# CONFIG_SERIAL_ALTERA_UART is not set
CONFIG_SERIAL_ARC=m
CONFIG_SERIAL_ARC_NR_PORTS=1
# CONFIG_SERIAL_BCM63XX is not set
# CONFIG_SERIAL_CONEXANT_DIGICOLOR is not set
CONFIG_SERIAL_CORE_CONSOLE=y
CONFIG_SERIAL_CORE=y
# CONFIG_SERIAL_DEV_BUS is not set
# CONFIG_SERIAL_FSL_LINFLEXUART is not set
# CONFIG_SERIAL_FSL_LPUART is not set
CONFIG_SERIAL_ICOM=m
CONFIG_SERIAL_JSM=m
# CONFIG_SERIAL_KGDB_NMI is not set
# CONFIG_SERIAL_LANTIQ is not set
# CONFIG_SERIAL_MAX3100 is not set
# CONFIG_SERIAL_MAX310X is not set
CONFIG_SERIAL_NONSTANDARD=y
CONFIG_SERIAL_OF_PLATFORM=m
# CONFIG_SERIAL_RP2 is not set
# CONFIG_SERIAL_SC16IS7XX is not set
# CONFIG_SERIAL_SCCNXP is not set
# CONFIG_SERIAL_SIFIVE is not set
# CONFIG_SERIAL_SPRD is not set
CONFIG_SERIAL_UARTLITE=m
CONFIG_SERIAL_UARTLITE_NR_UARTS=1
# CONFIG_SERIAL_XILINX_PS_UART is not set
CONFIG_SERIO_ALTERA_PS2=m
# CONFIG_SERIO_APBPS2 is not set
CONFIG_SERIO_ARC_PS2=m
# CONFIG_SERIO_CT82C710 is not set
# CONFIG_SERIO_GPIO_PS2 is not set
# CONFIG_SERIO_I8042 is not set
# CONFIG_SERIO_LIBPS2 is not set
# CONFIG_SERIO_PARKBD is not set
# CONFIG_SERIO_PCIPS2 is not set
# CONFIG_SERIO_PS2MULT is not set
CONFIG_SERIO_RAW=m
CONFIG_SERIO_SERPORT=m
# CONFIG_SERIO_XILINX_XPS_PS2 is not set
CONFIG_SERIO=y
# CONFIG_SFC_FALCON is not set
CONFIG_SFC=m
CONFIG_SFC_MCDI_LOGGING=y
CONFIG_SFC_MCDI_MON=y
CONFIG_SFC_MTD=y
kernel-5.14.0-214.el9 * Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-214.el9] - sfc: move unmaintained message to sfc_siena (Íñigo Huguet) [2112206 2139802] - sfc/siena: add entries for new CONFIG_SFC_SIENA* configs (Íñigo Huguet) [2112206 2139802] - sfc: Fix an error handling path in efx_pci_probe() (Íñigo Huguet) [2112206] - sfc: support PTP over Ethernet (Íñigo Huguet) [2112206 2139802] - sfc: support PTP over IPv6/UDP (Íñigo Huguet) [2112206 2139802] - sfc: allow more flexible way of adding filters for PTP (Íñigo Huguet) [2112206 2139802] - sfc: correct filter_table_remove method for EF10 PFs (Íñigo Huguet) [2112206] - sfc/siena: fix null pointer dereference in efx_hard_start_xmit (Íñigo Huguet) [2112206] - sfc/siena: fix TX channel offset when using legacy interrupts (Íñigo Huguet) [2112206] - sfc: implement ethtool get/set RX ring size for EF100 reps (Íñigo Huguet) [2112206] - sfc: use a dynamic m-port for representor RX and set it promisc (Íñigo Huguet) [2112206] - sfc: move table locking into filter_table_{probe,remove} methods (Íñigo Huguet) [2112206] - sfc: insert default MAE rules to connect VFs to representors (Íñigo Huguet) [2112206] - sfc: receive packets from EF100 VFs into representors (Íñigo Huguet) [2112206] - sfc: check ef100 RX packets are from the wire (Íñigo Huguet) [2112206] - sfc: determine wire m-port at EF100 PF probe time (Íñigo Huguet) [2112206] - sfc: ef100 representor RX top half (Íñigo Huguet) [2112206] - sfc: ef100 representor RX NAPI poll (Íñigo Huguet) [2112206] - sfc: plumb ef100 representor stats (Íñigo Huguet) [2112206] - sfc: disable softirqs for ptp TX (Íñigo Huguet) [2112206] - sfc/siena: fix repeated words in comments (Íñigo Huguet) [2112206] - sfc: attach/detach EF100 representors along with their owning PF (Íñigo Huguet) [2112206] - sfc: hook up ef100 representor TX (Íñigo Huguet) [2112206] - sfc: support passing a representor to the EF100 TX path (Íñigo Huguet) [2112206] - sfc: determine representee m-port for EF100 representors (Íñigo Huguet) [2112206] - sfc: phys port/switch identification for ef100 reps (Íñigo Huguet) [2112206] - sfc: add basic ethtool ops to ef100 reps (Íñigo Huguet) [2112206] - sfc: add skeleton ef100 VF representors (Íñigo Huguet) [2112206] - sfc: detect ef100 MAE admin privilege/capability at probe time (Íñigo Huguet) [2112206] - sfc: update EF100 register descriptions (Íñigo Huguet) [2112206] - sfc: update MCDI protocol headers (Íñigo Huguet) [2112206] - sfc/siena: Use the bitmap API to allocate bitmaps (Íñigo Huguet) [2112206] - sfc: Separate netdev probe/remove from PCI probe/remove (Íñigo Huguet) [2112206] - sfc: replace function name in string with __func__ (Íñigo Huguet) [2112206] - sfc: Unsplit literal string. (Íñigo Huguet) [2112206] - sfc: Move EF100 efx_nic_type structs to the end of the file (Íñigo Huguet) [2112206] - sfc: Separate efx_nic memory from net_device memory (Íñigo Huguet) [2112206] - sfc: Encapsulate access to netdev_priv() (Íñigo Huguet) [2112206] - sfc: Change BUG_ON to WARN_ON and recovery code. (Íñigo Huguet) [2112206] - sfc: Remove netdev init from efx_init_struct (Íñigo Huguet) [2112206] - sfc: Add a PROBED state for EF100 VDPA use. (Íñigo Huguet) [2112206] - sfc: Split STATE_READY in to STATE_NET_DOWN and STATE_NET_UP. (Íñigo Huguet) [2112206] - sfc: fix repeated words in comments (Íñigo Huguet) [2112206] - sfc: siena: fix repeated words in comments (Íñigo Huguet) [2112206] - sfc/siena: Fix typo in comment (Íñigo Huguet) [2112206] - sfc: Fix typo in comment (Íñigo Huguet) [2112206] - sfc/siena: fix wrong tx channel offset with efx_separate_tx_channels (Íñigo Huguet) [2112206] - sfc/siena: fix considering that all channels have TX queues (Íñigo Huguet) [2112206] - sfc/siena: Remove duplicate check on segments (Íñigo Huguet) [2112206] - sfc: siena: Have a unique wrapper ifndef for efx channels header (Íñigo Huguet) [2112206] - eth: sfc: remove remnants of the out-of-tree napi_weight module param (Íñigo Huguet) [2112206] - sfc: siena: Fix Kconfig dependencies (Íñigo Huguet) [2112206] - sfc/siena: Reinstate SRIOV init/fini function calls (Íñigo Huguet) [2112206] - sfc/siena: Make PTP and reset support specific for Siena (Íñigo Huguet) [2112206] - sfc/siena: Make MCDI logging support specific for Siena (Íñigo Huguet) [2112206] - siena: Make HWMON support specific for Siena (Íñigo Huguet) [2112206] - siena: Make SRIOV support specific for Siena (Íñigo Huguet) [2112206] - siena: Make MTD support specific for Siena (Íñigo Huguet) [2112206] - sfc: Add a basic Siena module (Íñigo Huguet) [2112206] - sfc/siena: Inline functions in sriov.h to avoid conflicts with sfc (Íñigo Huguet) [2112206] - sfc/siena: Rename functions in nic_common.h to avoid conflicts with sfc (Íñigo Huguet) [2112206] - sfc/siena: Rename functions in mcdi headers to avoid conflicts with sfc (Íñigo Huguet) [2112206] - sfc/siena: Rename peripheral functions to avoid conflicts with sfc (Íñigo Huguet) [2112206] - sfc/siena: Rename RX/TX functions to avoid conflicts with sfc (Íñigo Huguet) [2112206] - sfc/siena: Rename functions in efx headers to avoid conflicts with sfc (Íñigo Huguet) [2112206] - sfc/siena: Remove build references to missing functionality (Íñigo Huguet) [2112206] - sfc: Copy shared files needed for Siena (part 2) (Íñigo Huguet) [2112206] - sfc: Copy shared files needed for Siena (part 1) (Íñigo Huguet) [2112206] - sfc: Move Siena specific files (Íñigo Huguet) [2112206] - sfc: Copy a subset of mcdi_pcol.h to siena (Íñigo Huguet) [2112206] - sfc: Disable Siena support (Íñigo Huguet) [2112206] - sfc: add EF100 VF support via a write to sriov_numvfs (Íñigo Huguet) [2112206] - sfc: Remove global definition of efx_reset_type_names (Íñigo Huguet) [2112206] - sfc: Remove duplicate definition of efx_xmit_done (Íñigo Huguet) [2112206] - sfc: efx_default_channel_type APIs can be static (Íñigo Huguet) [2112206] - sfc: Fix spelling mistake "writting" -> "writing" (Íñigo Huguet) [2112206] - sfc: ef10: Fix assigning negative value to unsigned variable (Íñigo Huguet) [2112206] - sfc: use hardware tx timestamps for more than PTP (Íñigo Huguet) [2112206 2139802] - drm/vc4: hdmi: Fix build error for implicit function declaration (Adrien Thierry) [2138240] - drm/vc4: Use pm_runtime_resume_and_get to fix pm_runtime_get_sync() usage (Adrien Thierry) [2138240] - drm/vc4: Fix build error when CONFIG_DRM_VC4=y && CONFIG_RASPBERRYPI_FIRMWARE=m (Adrien Thierry) [2138240] - drm/vc4: Make use of the helper component_compare_dev (Adrien Thierry) [2138240] - drm/vc4: Use drm_mode_copy() (Adrien Thierry) [2138240] - drm/vc4: crtc: Fix runtime_pm reference counting (Adrien Thierry) [2138240] - drm/vc4: hdmi: Unregister codec device on unbind (Adrien Thierry) [2138240] - drm/vc4: Use of_device_get_match_data() (Adrien Thierry) [2138240] - drm/vc4: crtc: Fix redundant variable assignment (Adrien Thierry) [2138240] - drm/vc4: hdmi: Allow DBLCLK modes even if horz timing is odd. (Adrien Thierry) [2138240] - drm/vc4: hdmi: Don't try disabling SCDC on Pi0-3. (Adrien Thierry) [2138240] - drm/vc4: hdmi: Ensure we don't use 2711 HPD registers on Pi0-3 (Adrien Thierry) [2138240] - drm/vc4: hdmi: Simplify the connector state retrieval (Adrien Thierry) [2138240] - drm/vc4: hdmi: Change CSC callback prototype (Adrien Thierry) [2138240] - drm/vc4: hdmi: Define colorspace matrices (Adrien Thierry) [2138240] - drm/vc4: hdmi: Replace CSC_CTL hardcoded value by defines (Adrien Thierry) [2138240] - drm/vc4: hdmi: Move XBAR setup to csc_setup (Adrien Thierry) [2138240] - drm/vc4: hdmi: Use full range helper in csc functions (Adrien Thierry) [2138240] - drm/vc4: hdmi: Add full range RGB helper (Adrien Thierry) [2138240] - drm/edid: Rename drm_hdmi_avi_infoframe_colorspace to _colorimetry (Adrien Thierry) [2138240] - drm/vc4: Fix deadlock on DSI device attach error (Adrien Thierry) [2138240] - drm/vc4: remove redundant assignment of variable size (Adrien Thierry) [2138240] - drm/vc4: Notify the firmware when DRM is in charge (Adrien Thierry) [2138240] - drm/vc4: Remove conflicting framebuffers before callind bind_all (Adrien Thierry) [2138240] - drm/vc4: Support nomodeset (Adrien Thierry) [2138240] - firmware: raspberrypi: Add RPI_FIRMWARE_NOTIFY_DISPLAY_DONE (Adrien Thierry) [2138240] - drm/vc4: plane: Add support for YUV color encodings and ranges (Adrien Thierry) [2138240] - drm/vc4: plane: Add support for DRM_FORMAT_P030 (Adrien Thierry) [2138240] - drm/vc4: kms: Wait for the commit before increasing our clock rate (Adrien Thierry) [2138240] - drm: Remove CONFIG_DRM_KMS_CMA_HELPER option (Adrien Thierry) [2138240] - drm/cma-helper: Pass GEM CMA object in public interfaces (Adrien Thierry) [2138240] - drm/cma-helper: Export dedicated wrappers for GEM object functions (Adrien Thierry) [2138240] - drm/vc4: kms: Fix previous HVS commit wait (Adrien Thierry) [2138240] - drm/vc4: kms: Don't duplicate pending commit (Adrien Thierry) [2138240] - drm/vc4: kms: Clear the HVS FIFO commit pointer once done (Adrien Thierry) [2138240] - drm/vc4: kms: Add missing drm_crtc_commit_put (Adrien Thierry) [2138240] - drm/vc4: kms: Fix return code check (Adrien Thierry) [2138240] - drm/vc4: fix error code in vc4_create_object() (Adrien Thierry) [2138240] - drm/vc4: hdmi: Introduce a scdc_enabled flag (Adrien Thierry) [2138240] - drm/vc4: hdmi: Introduce an output_enabled flag (Adrien Thierry) [2138240] - drm/vc4: hdmi: Check the device state in prepare() (Adrien Thierry) [2138240] - drm/vc4: hdmi: Prevent access to crtc->state outside of KMS (Adrien Thierry) [2138240] - drm/vc4: hdmi: Use a mutex to prevent concurrent framework access (Adrien Thierry) [2138240] - drm/vc4: hdmi: Add a spinlock to protect register access (Adrien Thierry) [2138240] - drm/vc4: crtc: Copy assigned channel to the CRTC (Adrien Thierry) [2138240] - drm/vc4: Fix non-blocking commit getting stuck forever (Adrien Thierry) [2138240] - drm/vc4: crtc: Drop feed_txp from state (Adrien Thierry) [2138240] - drm/vc4: Increase the core clock based on HVS load (Adrien Thierry) [2138240] - drm/vc4: hdmi: Enable the scrambler on reconnection (Adrien Thierry) [2138240] - drm/vc4: hdmi: Raise the maximum clock rate (Adrien Thierry) [2138240] - drm/vc4: Leverage the load tracker on the BCM2711 (Adrien Thierry) [2138240] - drm/vc4: crtc: Add some logging (Adrien Thierry) [2138240] - drm/vc4: crtc: Rework the encoder retrieval code (again) (Adrien Thierry) [2138240] - drm/vc4: crtc: Add encoder to vc4_crtc_config_pv prototype (Adrien Thierry) [2138240] - drm/vc4: Make vc4_crtc_get_encoder public (Adrien Thierry) [2138240] - drm/vc4: hdmi: Fix HPD GPIO detection (Adrien Thierry) [2138240] - drm/vc4: hdmi: Remove the DDC probing for status detection (Adrien Thierry) [2138240] - drm/vc4: crtc: Make sure the HDMI controller is powered when disabling (Adrien Thierry) [2138240] - drm/vc4: hdmi: Warn if we access the controller while disabled (Adrien Thierry) [2138240] - drm/vc4: hdmi: Make sure the device is powered with CEC (Adrien Thierry) [2138240] - drm/vc4: hdmi: Split the CEC disable / enable functions in two (Adrien Thierry) [2138240] - drm/vc4: hdmi: Rework the pre_crtc_configure error handling (Adrien Thierry) [2138240] - drm/vc4: hdmi: Make sure the controller is powered up during bind (Adrien Thierry) [2138240] - drm/vc4: hdmi: Make sure the controller is powered in detect (Adrien Thierry) [2138240] - drm/vc4: hdmi: Move the HSM clock enable to runtime_pm (Adrien Thierry) [2138240] - drm/vc4: hdmi: Set a default HSM rate (Adrien Thierry) [2138240] - drm/vc4: hdmi: Remove unused struct (Adrien Thierry) [2138240] - drm/vc4: hdmi: Actually check for the connector status in hotplug (Adrien Thierry) [2138240] - Revert "drm/vc4: hdmi: Remove drm_encoder->crtc usage" (Adrien Thierry) [2138240] - Revert drm/vc4 hdmi runtime PM changes (Adrien Thierry) [2138240] - drm/vc4: dsi: Switch to devm_drm_of_get_bridge (Adrien Thierry) [2138240] - drm/vc4: dpi: Switch to devm_drm_of_get_bridge (Adrien Thierry) [2138240] - drm/vc4: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2138240] - drm/vc4: hdmi: make vc4_hdmi_codec_pdata static (Adrien Thierry) [2138240] - drm/vc4: Convert to Linux IRQ interfaces (Adrien Thierry) [2138240] - drm/vc4: hdmi: Remove unused struct (Adrien Thierry) [2138240] - drm/vc4: hdmi: Remove redundant variables (Adrien Thierry) [2138240] - drm/bridge: Centralize error message when bridge attach fails (Adrien Thierry) [2138240] - drm/vc4: hdmi: Remove drm_encoder->crtc usage (Adrien Thierry) [2138240] - drm/vc4: hdmi: Only call into DRM framework if registered (Adrien Thierry) [2138240] - drm/vc4: hdmi: Drop devm interrupt handler for hotplug interrupts (Adrien Thierry) [2138240] - drm: vc4: Fix pixel-wrap issue with DVP teardown (Adrien Thierry) [2138240] - drm/vc4: hdmi: Limit noise when deferring snd card registration (Adrien Thierry) [2138240] - drm/aperture: Pass DRM driver structure instead of driver name (Adrien Thierry) [2138240] - drm/vc4: Don't set struct drm_device.irq_enabled (Adrien Thierry) [2138240] - drm/vc4: hdmi: Register HDMI codec (Adrien Thierry) [2138240] - drm/vc4: hdmi: Remove firmware logic for MAI threshold setting (Adrien Thierry) [2138240] - drm/vc4: hdmi: Set VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE (Adrien Thierry) [2138240] - drm/vc4: hdmi: Set HDMI_MAI_FMT (Adrien Thierry) [2138240] - drm/vc4: hdmi: Set HD_CTL_WHOLSMP and HD_CTL_CHALIGN_SET (Adrien Thierry) [2138240] - drm/vc4: hdmi: Rely on interrupts to handle hotplug (Adrien Thierry) [2138240] Resolves: rhbz#2112206, rhbz#2139802, rhbz#2138240 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 23:28:37 +00:00
CONFIG_SFC_SIENA=m
CONFIG_SFC_SIENA_MCDI_LOGGING=y
CONFIG_SFC_SIENA_MCDI_MON=y
CONFIG_SFC_SIENA_MTD=y
CONFIG_SFC_SIENA_SRIOV=y
CONFIG_SFC_SRIOV=y
# CONFIG_SF_PDMA is not set
CONFIG_SGETMASK_SYSCALL=y
CONFIG_SGI_PARTITION=y
kernel-5.14.0-293.el9 * Wed Mar 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-293.el9] - redhat: fix trivial syntax error in 64k-debug modules signing (Herton R. Krzesinski) - redhat/configs: Revert "enable DAMON configs" (Vladis Dronov) - block: bio-integrity: Copy flags when bio_integrity_payload is cloned (Ming Lei) [2164903] - afs: remove afs_cache_netfs and afs_zap_permits() declarations (Marc Dionne) [2166628] - afs: remove variable nr_servers (Marc Dionne) [2166628] - afs: Fix lost servers_outstanding count (Marc Dionne) [2166628] - afs: Fix server->active leak in afs_put_server (Marc Dionne) [2166628] - afs: Fix fileserver probe RTT handling (Marc Dionne) [2166628] - afs: Return -EAGAIN, not -EREMOTEIO, when a file already locked (Marc Dionne) [2166628] - afs: Use the operation issue time instead of the reply time for callbacks (Marc Dionne) [2166628] - afs: Enable multipage folio support (Marc Dionne) [2166628] - afs: Fix access after dec in put functions (Marc Dionne) [2166628] - afs: Use refcount_t rather than atomic_t (Marc Dionne) [2166628] - afs: Fix dynamic root getattr (Marc Dionne) [2166628] - afs: Remove check of PageError (Marc Dionne) [2166628] - afs: Fix some checker issues (Marc Dionne) [2166628] - afs: Fix infinite loop found by xfstest generic/676 (Marc Dionne) [2166628] - afs: Adjust ACK interpretation to try and cope with NAT (Marc Dionne) [2166628] - afs: Prefer struct_size over open coded arithmetic (Marc Dionne) [2166628] - afs: Convert invalidatepage to invalidate_folio (Marc Dionne) [2166628] - afs: Convert directory aops to invalidate_folio (Marc Dionne) [2166628] - blk-mq: fix "bad unlock balance detected" on q->srcu in __blk_mq_run_dispatch_ops (Chris Leech) [2170101] - KVM: VMX: Execute IBPB on emulated VM-exit when guest has IBRS (Jon Maloy) [2160029] {CVE-2022-2196} - ice: fix lost multicast packets in promisc mode (Petr Oros) [2170694] - net: use indirect calls helpers for sk_exit_memory_pressure() (Florian Westphal) [2155182] - bpf: pull before calling skb_postpull_rcsum() (Felix Maurer) [2164806] - bpf, sockmap: fix race in sock_map_free() (Felix Maurer) [2164806] - bpf, sock_map: Move cancel_work_sync() out of sock lock (Felix Maurer) [2164806] - xsk: Inherit need_wakeup flag for shared sockets (Felix Maurer) [2164806] - xsk: Fix backpressure mechanism on Tx (Felix Maurer) [2164806] - redhat: Fix kernel-rt-kvm scripts (Juri Lelli) [2179874] - bpf/selftests: disable get_branch_snapshot test (Artem Savkov) [2161527] - scsi: qla2xxx: Perform lockless command completion in abort path (Nilesh Javali) [2093878] - platform/x86: ISST: PUNIT device mapping with Sub-NUMA clustering (Prarit Bhargava) [2139964] - net: openvswitch: add missing .resv_start_op (Ivan Vecera) [2175249] - genetlink: limit the use of validation workarounds to old ops (Ivan Vecera) [2175249] - genetlink: piggy back on resv_op to default to a reject policy (Ivan Vecera) [2175249] - genetlink: reject use of nlmsg_flags for new commands (Ivan Vecera) [2175249] - net: ieee802154: Fix compilation error when CONFIG_IEEE802154_NL802154_EXPERIMENTAL is disabled (Ivan Vecera) [2175249] - genetlink: start to validate reserved header bytes (Ivan Vecera) [2175249] - net: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175236] - net: ethernet: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175236] - Add CONFIG_SHRINKER_DEBUG to RHEL configs (Chris von Recklinghausen) [2160210] - Add CONFIG_DAMON_LRU_SORT to configs collection (Chris von Recklinghausen) [2160210] - arm64: Reset KASAN tag in copy_highpage with HW tags only (Chris von Recklinghausen) [2160210] - mm: shrinkers: fix deadlock in shrinker debugfs (Chris von Recklinghausen) [2160210] - dm: improve shrinker debug names (Chris von Recklinghausen) [2160210] - KVM: arm64: Pass the actual page address to mte_clear_page_tags() (Chris von Recklinghausen) [2160210] - mm/uffd: detect pgtable allocation failures (Chris von Recklinghausen) [2160210] - mm/mprotect: use long for page accountings and retval (Chris von Recklinghausen) [2160210] - mm/mprotect: drop pgprot_t parameter from change_protection() (Chris von Recklinghausen) [2160210] - mm/userfaultfd: rely on vma->vm_page_prot in uffd_wp_range() (Chris von Recklinghausen) [2160210] - mm/hugetlb: pre-allocate pgtable pages for uffd wr-protects (Chris von Recklinghausen) [2160210] - mm/userfaultfd: enable writenotify while userfaultfd-wp is enabled for a VMA (Chris von Recklinghausen) [2160210] - mm/hugetlb: fix uffd-wp handling for migration entries in hugetlb_change_protection() (Chris von Recklinghausen) [2160210] - mm/hugetlb: fix PTE marker handling in hugetlb_change_protection() (Chris von Recklinghausen) [2160210] - mm/gup: disallow FOLL_FORCE|FOLL_WRITE on hugetlb mappings (Chris von Recklinghausen) [2160210] - mm: remove unused savedwrite infrastructure (Chris von Recklinghausen) [2160210] - mm/autonuma: use can_change_(pte|pmd)_writable() to replace savedwrite (Chris von Recklinghausen) [2160210] - mm/mprotect: factor out check whether manual PTE write upgrades are required (Chris von Recklinghausen) [2160210] - mm/huge_memory: try avoiding write faults when changing PMD protection (Chris von Recklinghausen) [2160210] - mm/mprotect: minor can_change_pte_writable() cleanups (Chris von Recklinghausen) [2160210] - mm/mprotect: allow clean exclusive anon pages to be writable (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: fix wrong empty schemes assumption under online tuning in damon_sysfs_set_schemes() (Chris von Recklinghausen) [2160210] - arm64: mte: Lock a page for MTE tag initialisation (Chris von Recklinghausen) [2160210] - mm: Add PG_arch_3 page flag (Chris von Recklinghausen) [2160210] - arm64: mte: Fix/clarify the PG_mte_tagged semantics (Chris von Recklinghausen) [2160210] - mm: Do not enable PG_arch_2 for all 64-bit architectures (Chris von Recklinghausen) [2160210] - mm: fix unexpected changes to {failslab|fail_page_alloc}.attr (Chris von Recklinghausen) [2160210] - arch/x86/mm/hugetlbpage.c: pud_huge() returns 0 when using 2-level paging (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: include missing linux/moduleparam.h (Chris von Recklinghausen) [2160210] - mm/uffd: fix vma check on userfault for wp (Chris von Recklinghausen) [2160210] - mm/uffd: fix warning without PTE_MARKER_UFFD_WP compiled in (Chris von Recklinghausen) [2160210] - arm64: mte: Avoid setting PG_mte_tagged if no tags cleared or restored (Chris von Recklinghausen) [2160210] - mm/damon: introduce struct damos_access_pattern (Chris von Recklinghausen) [2160210] - iomap: iomap: fix memory corruption when recording errors during writeback (Chris von Recklinghausen) [2160210] - damon/sysfs: fix possible memleak on damon_sysfs_add_target (Chris von Recklinghausen) [2160210] - mm: fix BUG splat with kvmalloc + GFP_ATOMIC (Chris von Recklinghausen) [2160210] - powerpc/64s: Allow double call of kernel_[un]map_linear_page() (Chris von Recklinghausen) [2160210] - powerpc/64s: Remove unneeded #ifdef CONFIG_DEBUG_PAGEALLOC in hash_utils (Chris von Recklinghausen) [2160210] - powerpc/64s: Add DEBUG_PAGEALLOC for radix (Chris von Recklinghausen) [2160210] - x86/uaccess: avoid check_object_size() in copy_from_user_nmi() (Chris von Recklinghausen) [2160210] - mm/page_isolation: fix isolate_single_pageblock() isolation behavior (Chris von Recklinghausen) [2160210] - mm,hwpoison: check mm when killing accessing process (Chris von Recklinghausen) [2160210] - mm: bring back update_mmu_cache() to finish_fault() (Chris von Recklinghausen) [2160210] - um: Prevent KASAN splats in dump_stack() (Chris von Recklinghausen) [2160210] - kasan: call kasan_malloc() from __kmalloc_*track_caller() (Chris von Recklinghausen) [2160210] - mm/vmalloc: extend find_vmap_lowest_match_check with extra arguments (Chris von Recklinghausen) [2160210] - mm, hwpoison: fix extra put_page() in soft_offline_page() (Chris von Recklinghausen) [2160210] - memory tiering: hot page selection with hint page fault latency (Chris von Recklinghausen) [2160210] - vmscan: check folio_test_private(), not folio_get_private() (Chris von Recklinghausen) [2160210] - mm: fix VM_BUG_ON in __delete_from_swap_cache() (Chris von Recklinghausen) [2160210] - mm/damon/dbgfs: fix memory leak when using debugfs_lookup() (Chris von Recklinghausen) [2160210] - mm/damon/dbgfs: avoid duplicate context directory creation (Chris von Recklinghausen) [2160210] - mm/zsmalloc: do not attempt to free IS_ERR handle (Chris von Recklinghausen) [2160210] - mm: re-allow pinning of zero pfns (again) (Chris von Recklinghausen) [2160210] - shmem: update folio if shmem_replace_page() updates the page (Chris von Recklinghausen) [2160210] - mm/shmem: shmem_replace_page() remember NR_SHMEM (Chris von Recklinghausen) [2160210] - mm/shmem: tmpfs fallocate use file_modified() (Chris von Recklinghausen) [2160210] - mm/shmem: fix chattr fsflags support in tmpfs (Chris von Recklinghausen) [2160210] - mm/uffd: reset write protection when unregister with wp-mode (Chris von Recklinghausen) [2160210] - mm: add DEVICE_ZONE to FOR_ALL_ZONES (Chris von Recklinghausen) [2160210] - Revert "zram: remove double compression logic" (Chris von Recklinghausen) [2160210] - mm, hwpoison: enable memory error handling on 1GB hugepage (Chris von Recklinghausen) [2160210] - mm, hwpoison: skip raw hwpoison page in freeing 1GB hugepage (Chris von Recklinghausen) [2160210] - mm, hwpoison: make __page_handle_poison returns int (Chris von Recklinghausen) [2160210] - mm, hwpoison: make unpoison aware of raw error info in hwpoisoned hugepage (Chris von Recklinghausen) [2160210] - mm, hwpoison, hugetlb: support saving mechanism of raw error pages (Chris von Recklinghausen) [2160210] - mm/hugetlb: make pud_huge() and follow_huge_pud() aware of non-present pud entry (Chris von Recklinghausen) [2160210] - mm/hugetlb: check gigantic_page_runtime_supported() in return_unused_surplus_pages() (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: use PTRS_PER_PTE instead of PMD_SIZE / PAGE_SIZE (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: improve hugetlb_vmemmap code readability (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: replace early_param() with core_param() (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: move vmemmap code related to HugeTLB to hugetlb_vmemmap.c (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: introduce the name HVO (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: optimize vmemmap_optimize_mode handling (Chris von Recklinghausen) [2160210] - mm: hugetlb_vmemmap: delete hugetlb_optimize_vmemmap_enabled() (Chris von Recklinghausen) [2160210] - tools/testing/selftests/vm/hmm-tests.c: fix build (Chris von Recklinghausen) [2160210] - ext4: fix reading leftover inlined symlinks (Chris von Recklinghausen) [2160210] - mm/folio-compat: Remove migration compatibility functions (Chris von Recklinghausen) [2160210] - fs: Remove aops->migratepage() (Chris von Recklinghausen) [2160210] - secretmem: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - hugetlb: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - aio: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - mm/migrate: Add filemap_migrate_folio() (Chris von Recklinghausen) [2160210] - mm/migrate: Convert migrate_page() to migrate_folio() (Chris von Recklinghausen) [2160210] - nfs: Convert to migrate_folio (Chris von Recklinghausen) [2160210] - mm/migrate: Convert expected_page_refs() to folio_expected_refs() (Chris von Recklinghausen) [2160210] - mm/migrate: Convert buffer_migrate_page() to buffer_migrate_folio() (Chris von Recklinghausen) [2160210] - mm/migrate: Convert writeout() to take a folio (Chris von Recklinghausen) [2160210] - mm/migrate: Convert fallback_migrate_page() to fallback_migrate_folio() (Chris von Recklinghausen) [2160210] - fs: Add aops->migrate_folio (Chris von Recklinghausen) [2160210] - mm: Convert all PageMovable users to movable_operations (Chris von Recklinghausen) [2160210] - secretmem: Remove isolate_page (Chris von Recklinghausen) [2160210] - mm: Kconfig: fix typo (Chris von Recklinghausen) [2160210] - mm: memory-failure: convert to pr_fmt() (Chris von Recklinghausen) [2160210] - mm: use is_zone_movable_page() helper (Chris von Recklinghausen) [2160210] - mm/hmm: add a test for cross device private faults (Chris von Recklinghausen) [2160210] - mm/gup.c: fix formatting in check_and_migrate_movable_page() (Chris von Recklinghausen) [2160210] - mm/cma_debug.c: align the name buffer length as struct cma (Chris von Recklinghausen) [2160210] - mm/mempolicy: remove unneeded out label (Chris von Recklinghausen) [2160210] - mm/page_alloc: correct the wrong cpuset file path in comment (Chris von Recklinghausen) [2160210] - mm: remove unneeded PageAnon check in restore_exclusive_pte() (Chris von Recklinghausen) [2160210] - mm/shmem: support FS_IOC_[SG]ETFLAGS in tmpfs (Chris von Recklinghausen) [2160210] - mm: vmpressure: don't count proactive reclaim in vmpressure (Chris von Recklinghausen) [2160210] - zsmalloc: zs_malloc: return ERR_PTR on failure (Chris von Recklinghausen) [2160210] - memblock,arm64: expand the static memblock memory table (Chris von Recklinghausen) [2160210] - mm: remove obsolete comment in do_fault_around() (Chris von Recklinghausen) [2160210] - mm: compaction: include compound page count for scanning in pageblock isolation (Chris von Recklinghausen) [2160210] - mm: memcontrol: do not miss MEMCG_MAX events for enforced allocations (Chris von Recklinghausen) [2160210] - filemap: minor cleanup for filemap_write_and_wait_range (Chris von Recklinghausen) [2160210] - mm: shrinkers: fix double kfree on shrinker name (Chris von Recklinghausen) [2160210] - memblock tests: fix compilation errors (Chris von Recklinghausen) [2160210] - highmem: Make __kunmap_{local,atomic}() take const void pointer (Chris von Recklinghausen) [2160210] - mm: honor FGP_NOWAIT for page cache page allocation (Chris von Recklinghausen) [2160210] - mm: Add balance_dirty_pages_ratelimited_flags() function (Chris von Recklinghausen) [2160210] - mm: Move updates of dirty_exceeded into one place (Chris von Recklinghausen) [2160210] - mm: Move starting of background writeback into the main balancing loop (Chris von Recklinghausen) [2160210] - mm/slab_common: move generic bulk alloc/free functions to SLOB (Chris von Recklinghausen) [2160210] - mm/sl[au]b: use own bulk free function when bulk alloc failed (Chris von Recklinghausen) [2160210] - arm64: enable THP_SWAP for arm64 (Chris von Recklinghausen) [2160210] - hugetlb: fix memoryleak in hugetlb_mcopy_atomic_pte (Chris von Recklinghausen) [2160210] - secretmem: fix unhandled fault in truncate (Chris von Recklinghausen) [2160210] - tmpfs: fix the issue that the mount and remount results are inconsistent. (Chris von Recklinghausen) [2160210] - mm/mmap: fix obsolete comment of find_extend_vma (Chris von Recklinghausen) [2160210] - mm/page_vma_mapped.c: use helper function huge_pte_lock (Chris von Recklinghausen) [2160210] - mm/page_alloc: use try_cmpxchg in set_pfnblock_flags_mask (Chris von Recklinghausen) [2160210] - mm, hugetlb: skip irrelevant nodes in show_free_areas() (Chris von Recklinghausen) [2160210] - mm/mprotect: remove the redundant initialization for error (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper macro IS_ERR_OR_NULL in split_huge_pages_pid (Chris von Recklinghausen) [2160210] - mm/huge_memory: comment the subtly logic in __split_huge_pmd (Chris von Recklinghausen) [2160210] - mm/huge_memory: correct comment of prep_transhuge_page (Chris von Recklinghausen) [2160210] - mm/huge_memory: minor cleanup for split_huge_pages_all (Chris von Recklinghausen) [2160210] - mm/huge_memory: try to free subpage in swapcache when possible (Chris von Recklinghausen) [2160210] - mm/huge_memory: fix comment in zap_huge_pud (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper function vma_lookup in split_huge_pages_pid (Chris von Recklinghausen) [2160210] - mm/huge_memory: rename mmun_start to haddr in remove_migration_pmd (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper touch_pmd in huge_pmd_set_accessed (Chris von Recklinghausen) [2160210] - mm/huge_memory: use helper touch_pud in huge_pud_set_accessed (Chris von Recklinghausen) [2160210] - mm/huge_memory: fix comment of __pud_trans_huge_lock (Chris von Recklinghausen) [2160210] - mm/huge_memory: access vm_page_prot with READ_ONCE in remove_migration_pmd (Chris von Recklinghausen) [2160210] - mm/huge_memory: use flush_pmd_tlb_range in move_huge_pmd (Chris von Recklinghausen) [2160210] - mm/mmap: build protect protection_map[] with ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2160210] - x86/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210] - arm64/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210] - powerpc/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210] - mm/mmap: define DECLARE_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2160210] - mm/mmap: build protect protection_map[] with __P000 (Chris von Recklinghausen) [2160210] - mm: nommu: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm: gup: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm: kfence: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm/highmem: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210] - mm/memcontrol.c: replace cgroup_memory_nokmem with mem_cgroup_kmem_disabled() (Chris von Recklinghausen) [2160210] - mm/page_alloc: replace local_lock with normal spinlock (Chris von Recklinghausen) [2160210] - mm/page_alloc: remotely drain per-cpu lists (Chris von Recklinghausen) [2160210] - mm/page_alloc: protect PCP lists with a spinlock (Chris von Recklinghausen) [2160210] - mm/page_alloc: remove mistaken page == NULL check in rmqueue (Chris von Recklinghausen) [2160210] - mm/page_alloc: split out buddy removal code from rmqueue into separate helper (Chris von Recklinghausen) [2160210] - mm/page_alloc: use only one PCP list for THP-sized allocations (Chris von Recklinghausen) [2160210] - mm/page_alloc: add page->buddy_list and page->pcp_list (Chris von Recklinghausen) [2160210] - hugetlb: lazy page table copies in fork() (Chris von Recklinghausen) [2160210] - hugetlb: do not update address in huge_pmd_unshare (Chris von Recklinghausen) [2160210] - hugetlb: skip to end of PT page mapping when pte not present (Chris von Recklinghausen) [2160210] - kasan: separate double free case from invalid free (Chris von Recklinghausen) [2160210] - mm: khugepaged: reorg some khugepaged helpers (Chris von Recklinghausen) [2160210] - mm: thp: kill __transhuge_page_enabled() (Chris von Recklinghausen) [2160210] - mm: thp: kill transparent_hugepage_active() (Chris von Recklinghausen) [2160210] - mm: khugepaged: better comments for anon vma check in hugepage_vma_revalidate (Chris von Recklinghausen) [2160210] - mm: thp: consolidate vma size check to transhuge_vma_suitable (Chris von Recklinghausen) [2160210] - mm: khugepaged: check THP flag in hugepage_vma_check() (Chris von Recklinghausen) [2160210] - mm: factor helpers for memory_failure_dev_pagemap (Chris von Recklinghausen) [2160210] - tools: add selftests to hmm for COW in device memory (Chris von Recklinghausen) [2160210] - tools: add hmm gup tests for device coherent type (Chris von Recklinghausen) [2160210] - tools: update hmm-test to support device coherent type (Chris von Recklinghausen) [2160210] - lib: add support for device coherent type in test_hmm (Chris von Recklinghausen) [2160210] - lib: test_hmm add module param for zone device type (Chris von Recklinghausen) [2160210] - lib: test_hmm add ioctl to get zone device type (Chris von Recklinghausen) [2160210] - mm/gup: migrate device coherent pages when pinning instead of failing (Chris von Recklinghausen) [2160210] - mm: add device coherent vma selection for memory migration (Chris von Recklinghausen) [2160210] - mm: add zone device coherent type memory support (Chris von Recklinghausen) [2160210] - mm: move page zone helpers from mm.h to mmzone.h (Chris von Recklinghausen) [2160210] - mm: rename is_pinnable_page() to is_longterm_pinnable_page() (Chris von Recklinghausen) [2160210] - mm/damon/lru_sort: fix potential memory leak in damon_lru_sort_init() (Chris von Recklinghausen) [2160210] - UML: add support for KASAN under x86_64 (Chris von Recklinghausen) [2160210] - mm: Add PAGE_ALIGN_DOWN macro (Chris von Recklinghausen) [2160210] - docs: zh_CN/vm/zsmalloc.rst: fix a typo (Chris von Recklinghausen) [2160210] - docs: zh_CN/vm: fix a typo for page reporting ReST file (Chris von Recklinghausen) [2160210] - docs: zh_CN: page_frags.rst: fix a broken reference (Chris von Recklinghausen) [2160210] - arm64: kasan: Revert "arm64: mte: reset the page tag in page->flags" (Chris von Recklinghausen) [2160210] - mm: kasan: Skip page unpoisoning only if __GFP_SKIP_KASAN_UNPOISON (Chris von Recklinghausen) [2160210] - mm: kasan: Skip unpoisoning of user pages (Chris von Recklinghausen) [2160210] - mm: kasan: Ensure the tags are visible before the tag in page->flags (Chris von Recklinghausen) [2160210] - first_iovec_segment(): just return address (Chris von Recklinghausen) [2160210] - iov_iter: massage calling conventions for first_{iovec,bvec}_segment() (Chris von Recklinghausen) [2160210] - iov_iter: first_{iovec,bvec}_segment() - simplify a bit (Chris von Recklinghausen) [2160210] - iov_iter: lift dealing with maxpages out of first_{iovec,bvec}_segment() (Chris von Recklinghausen) [2160210] - mm: slab: optimize memcg_slab_free_hook() (Chris von Recklinghausen) [2160210] - mm/tracing: add 'accounted' entry into output of allocation tracepoints (Chris von Recklinghausen) [2160210] - mm/slub: Simplify __kmem_cache_alias() (Chris von Recklinghausen) [2160210] - mm, slab: fix bad alignments (Chris von Recklinghausen) [2160210] - mm/khugepaged: try to free transhuge swapcache when possible (Chris von Recklinghausen) [2160210] - mm/khugepaged: remove unneeded return value of khugepaged_add_pte_mapped_thp() (Chris von Recklinghausen) [2160210] - mm/khugepaged: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210] - mm/khugepaged: minor cleanup for collapse_file (Chris von Recklinghausen) [2160210] - mm/khugepaged: trivial typo and codestyle cleanup (Chris von Recklinghausen) [2160210] - mm/khugepaged: stop swapping in page when VM_FAULT_RETRY occurs (Chris von Recklinghausen) [2160210] - mm/khugepaged: remove unneeded shmem_huge_enabled() check (Chris von Recklinghausen) [2160210] - mm: sparsemem: drop unexpected word 'a' in comments (Chris von Recklinghausen) [2160210] - mm: hugetlb: kill set_huge_swap_pte_at() (Chris von Recklinghausen) [2160210] - mm/page_alloc: make the annotations of available memory more accurate (Chris von Recklinghausen) [2160210] - mm, docs: fix comments that mention mem_hotplug_end() (Chris von Recklinghausen) [2160210] - mm: rmap: simplify the hugetlb handling when unmapping or migration (Chris von Recklinghausen) [2160210] - mm/madvise: minor cleanup for swapin_walk_pmd_entry() (Chris von Recklinghausen) [2160210] - mm: hugetlb: remove minimum_order variable (Chris von Recklinghausen) [2160210] - mm: memory_hotplug: make hugetlb_optimize_vmemmap compatible with memmap_on_memory (Chris von Recklinghausen) [2160210] - mm: memory_hotplug: enumerate all supported section flags (Chris von Recklinghausen) [2160210] - mm/swap: convert __delete_from_swap_cache() to a folio (Chris von Recklinghausen) [2160210] - mm/swap: convert delete_from_swap_cache() to take a folio (Chris von Recklinghausen) [2160210] - mm: convert page_swap_flags to folio_swap_flags (Chris von Recklinghausen) [2160210] - mm: convert destroy_compound_page() to destroy_large_folio() (Chris von Recklinghausen) [2160210] - mm/swap: convert __page_cache_release() to use a folio (Chris von Recklinghausen) [2160210] - mm/swap: convert __put_compound_page() to __folio_put_large() (Chris von Recklinghausen) [2160210] - mm/swap: convert __put_single_page() to __folio_put_small() (Chris von Recklinghausen) [2160210] - mm/swap: convert __put_page() to __folio_put() (Chris von Recklinghausen) [2160210] - mm/swap: convert put_pages_list to use folios (Chris von Recklinghausen) [2160210] - mm/swap: convert release_pages to use a folio internally (Chris von Recklinghausen) [2160210] - mm/swap: convert try_to_free_swap to use a folio (Chris von Recklinghausen) [2160210] - mm/swap: optimise lru_add_drain_cpu() (Chris von Recklinghausen) [2160210] - mm/swap: pull the CPU conditional out of __lru_add_drain_all() (Chris von Recklinghausen) [2160210] - mm/swap: rename lru_pvecs to cpu_fbatches (Chris von Recklinghausen) [2160210] - mm/swap: convert activate_page to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_lazyfree to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_deactivate to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_deactivate_file to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: convert lru_add to a folio_batch (Chris von Recklinghausen) [2160210] - mm/swap: make __pagevec_lru_add static (Chris von Recklinghausen) [2160210] - mm/swap: add folio_batch_move_lru() (Chris von Recklinghausen) [2160210] - mm: add folios_put() (Chris von Recklinghausen) [2160210] - mm/vmscan: convert reclaim_pages() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert shrink_active_list() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert move_pages_to_lru() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert isolate_lru_pages() to use a folio (Chris von Recklinghausen) [2160210] - mm/vmscan: convert reclaim_clean_pages_from_list() to folios (Chris von Recklinghausen) [2160210] - mm/damon: introduce DAMON-based LRU-lists Sorting (Chris von Recklinghausen) [2160210] - mm/damon/schemes: add 'LRU_DEPRIO' action (Chris von Recklinghausen) [2160210] - mm/damon/schemes: add 'LRU_PRIO' DAMOS action (Chris von Recklinghausen) [2160210] - mm/damon/paddr: use a separate function for 'DAMOS_PAGEOUT' handling (Chris von Recklinghausen) [2160210] - mm/damon/dbgfs: add and use mappings between 'schemes' action inputs and 'damos_action' values (Chris von Recklinghausen) [2160210] - mm/swap: remove swap_cache_info statistics (Chris von Recklinghausen) [2160210] - mm/swapfile: fix possible data races of inuse_pages (Chris von Recklinghausen) [2160210] - mm/vmalloc: extend __find_vmap_area() with one more argument (Chris von Recklinghausen) [2160210] - mm/vmalloc: initialize VA's list node after unlink (Chris von Recklinghausen) [2160210] - mm/vmalloc: extend __alloc_vmap_area() with extra arguments (Chris von Recklinghausen) [2160210] - mm/vmalloc: make link_va()/unlink_va() common to different rb_root (Chris von Recklinghausen) [2160210] - mm: shrinkers: add scan interface for shrinker debugfs (Chris von Recklinghausen) [2160210] - mm: docs: document shrinker debugfs (Chris von Recklinghausen) [2160210] - mm: shrinkers: provide shrinkers with names (Chris von Recklinghausen) [2160210] - mm: shrinkers: introduce debugfs interface for memory shrinkers (Chris von Recklinghausen) [2160210] - mm/hugetlb: remove unnecessary huge_ptep_set_access_flags() in hugetlb_mcopy_atomic_pte() (Chris von Recklinghausen) [2160210] - mm: introduce clear_highpage_kasan_tagged (Chris von Recklinghausen) [2160210] - mm: rename kernel_init_free_pages to kernel_init_pages (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: add 'damon_reclaim_' prefix to 'enabled_store()' (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: make 'enabled' checking timer simpler (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: deduplicate inputs applying (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: deduplicate 'commit_inputs' handling (Chris von Recklinghausen) [2160210] - mm/damon/{dbgfs,sysfs}: move target_has_pid() from dbgfs to damon.h (Chris von Recklinghausen) [2160210] - mm/page_vma_mapped.c: check possible huge PMD map with transhuge_vma_suitable() (Chris von Recklinghausen) [2160210] - Documentation: highmem: use literal block for code example in highmem.h comment (Chris von Recklinghausen) [2160210] - usercopy: use unsigned long instead of uintptr_t (Chris von Recklinghausen) [2160210] - memblock: avoid some repeat when add new range (Chris von Recklinghausen) [2160210] - filemap: Use filemap_read_folio() in do_read_cache_folio() (Chris von Recklinghausen) [2160210] - filemap: Handle AOP_TRUNCATED_PAGE in do_read_cache_folio() (Chris von Recklinghausen) [2160210] - filemap: Move 'filler' case to the end of do_read_cache_folio() (Chris von Recklinghausen) [2160210] - filemap: Remove find_get_pages_range() and associated functions (Chris von Recklinghausen) [2160210] - shmem: Convert shmem_unlock_mapping() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - vmscan: Add check_move_unevictable_folios() (Chris von Recklinghausen) [2160210] - hugetlbfs: Convert remove_inode_hugepages() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - ext4: Convert mpage_map_and_submit_buffers() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - ext4: Convert mpage_release_unused_pages() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - buffer: Convert clean_bdev_aliases() to use filemap_get_folios() (Chris von Recklinghausen) [2160210] - filemap: Add filemap_get_folios() (Chris von Recklinghausen) [2160210] - filemap: Remove add_to_page_cache() and add_to_page_cache_locked() (Chris von Recklinghausen) [2160210] - hugetlb: Convert huge_add_to_page_cache() to use a folio (Chris von Recklinghausen) [2160210] - mm: Remove __delete_from_page_cache() (Chris von Recklinghausen) [2160210] - arch/*/: remove CONFIG_VIRT_TO_BUS (Chris von Recklinghausen) [2160210] - docs: rename Documentation/vm to Documentation/mm (Chris von Recklinghausen) [2160210] - mm: ioremap: Add ioremap/iounmap_allowed() (Chris von Recklinghausen) [2160210] - mm: ioremap: Setup phys_addr of struct vm_struct (Chris von Recklinghausen) [2160210] - mm: ioremap: Use more sensible name in ioremap_prot() (Chris von Recklinghausen) [2160210] - filemap: Handle sibling entries in filemap_get_read_batch() (Chris von Recklinghausen) [2160210] - filemap: Correct the conditions for marking a folio as accessed (Chris von Recklinghausen) [2160210] - powerpc/mm: Move CMA reservations after initmem_init() (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap.c: remove unwanted initialization in vmemmap_populate_compound_pages() (Chris von Recklinghausen) [2160210] - mm: kmem: make mem_cgroup_from_obj() vmalloc()-safe (Chris von Recklinghausen) [2160210] - lib/test_hmm: avoid accessing uninitialized pages (Chris von Recklinghausen) [2160210] - mm/memremap: fix wrong function name above memremap_pages() (Chris von Recklinghausen) [2160210] - mm/mempool: use might_alloc() (Chris von Recklinghausen) [2160210] - mm/slab: delete cache_alloc_debugcheck_before() (Chris von Recklinghausen) [2160210] - mm/page_alloc: use might_alloc() (Chris von Recklinghausen) [2160210] - mm/vmalloc: add code comment for find_vmap_area_exceed_addr() (Chris von Recklinghausen) [2160210] - mm/vmalloc: fix typo in local variable name (Chris von Recklinghausen) [2160210] - mm/vmalloc: remove the redundant boundary check (Chris von Recklinghausen) [2160210] - mm/vmalloc: invoke classify_va_fit_type() in adjust_va_to_fit_type() (Chris von Recklinghausen) [2160210] - mm/memory_hotplug: drop 'reason' argument from check_pfn_span() (Chris von Recklinghausen) [2160210] - mm/shmem.c: clean up comment of shmem_swapin_folio (Chris von Recklinghausen) [2160210] - mm: use PAGE_ALIGNED instead of IS_ALIGNED (Chris von Recklinghausen) [2160210] - mm: memcontrol: reference to tools/cgroup/memcg_slabinfo.py (Chris von Recklinghausen) [2160210] - mm: re-allow pinning of zero pfns (Chris von Recklinghausen) [2160210] - mm/kfence: select random number before taking raw lock (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: schedule 'damon_reclaim_timer' only after 'system_wq' is initialized (Chris von Recklinghausen) [2160210] - mm/page_isolation.c: fix one kernel-doc comment (Chris von Recklinghausen) [2160210] - NFSv4: Add FMODE_CAN_ODIRECT after successful open of a NFS4.x file (Chris von Recklinghausen) [2160210] - memblock: Disable mirror feature if kernelcore is not specified (Chris von Recklinghausen) [2160210] - mm: Limit warning message in vmemmap_verify() to once (Chris von Recklinghausen) [2160210] - mm: Ratelimited mirrored memory related warning messages (Chris von Recklinghausen) [2160210] - mm: create security context for memfd_secret inodes (Chris von Recklinghausen) [2160210] - usercopy: Make usercopy resilient against ridiculously large copies (Chris von Recklinghausen) [2160210] - usercopy: Cast pointer to an integer once (Chris von Recklinghausen) [2160210] - usercopy: Handle vm_map_ram() areas (Chris von Recklinghausen) [2160210] - filemap: Don't release a locked folio (Chris von Recklinghausen) [2160210] - mm/vmstat: replace cpumask_weight with cpumask_empty where appropriate (Chris von Recklinghausen) [2160210] - mm/oom_kill.c: fix vm_oom_kill_table[] ifdeffery (Chris von Recklinghausen) [2160210] - mm: page_isolation: use compound_nr() correctly in isolate_single_pageblock() (Chris von Recklinghausen) [2160210] - delayacct: track delays from write-protect copy (Chris von Recklinghausen) [2160210] - mm/shmem.c: suppress shift warning (Chris von Recklinghausen) [2160210] - mm: fix is_pinnable_page against a cma page (Chris von Recklinghausen) [2160210] - mm: filter out swapin error entry in shmem mapping (Chris von Recklinghausen) [2160210] - mm/shmem: fix infinite loop when swap in shmem error at swapoff time (Chris von Recklinghausen) [2160210] - mm/madvise: free hwpoison and swapin error entry in madvise_free_pte_range (Chris von Recklinghausen) [2160210] - mm/swapfile: fix lost swap bits in unuse_pte() (Chris von Recklinghausen) [2160210] - mm/swapfile: unuse_pte can map random data if swap read fails (Chris von Recklinghausen) [2160210] - mm: split free page with properly free memory accounting and without race (Chris von Recklinghausen) [2160210] - mm: page-isolation: skip isolated pageblock in start_isolate_page_range() (Chris von Recklinghausen) [2160210] - mm: kfence: use PAGE_ALIGNED helper (Chris von Recklinghausen) [2160210] - ksm: fix typo in comment (Chris von Recklinghausen) [2160210] - Revert "mm/vmscan: never demote for memcg reclaim" (Chris von Recklinghausen) [2160210] - mm/kfence: print disabling or re-enabling message (Chris von Recklinghausen) [2160210] - include/trace/events/percpu.h: cleanup for "percpu: improve percpu_alloc_percpu event trace" (Chris von Recklinghausen) [2160210] - include/trace/events/mmflags.h: cleanup for "tracing: incorrect gfp_t conversion" (Chris von Recklinghausen) [2160210] - mm: fix a potential infinite loop in start_isolate_page_range() (Chris von Recklinghausen) [2160210] - MAINTAINERS: add Muchun as co-maintainer for HugeTLB (Chris von Recklinghausen) [2160210] - mm/shmem: fix shmem folio swapoff hang (Chris von Recklinghausen) [2160210] - powerpc/kasan: Disable address sanitization in kexec paths (Chris von Recklinghausen) [2160210] - kasan: Document support on 32-bit powerpc (Chris von Recklinghausen) [2160210] - mm: damon: use HPAGE_PMD_SIZE (Chris von Recklinghausen) [2160210] - mm: fix missing handler for __GFP_NOWARN (Chris von Recklinghausen) [2160210] - mm/page_alloc: fix tracepoint mm_page_alloc_zone_locked() (Chris von Recklinghausen) [2160210] - mm/page_owner.c: add missing __initdata attribute (Chris von Recklinghausen) [2160210] - tmpfs: fix undefined-behaviour in shmem_reconfigure() (Chris von Recklinghausen) [2160210] - mm/mempolicy: fix uninit-value in mpol_rebind_policy() (Chris von Recklinghausen) [2160210] - mm: don't be stuck to rmap lock on reclaim path (Chris von Recklinghausen) [2160210] - mm/swap: fix comment about swap extent (Chris von Recklinghausen) [2160210] - mm/swap: fix the comment of get_kernel_pages (Chris von Recklinghausen) [2160210] - mm/swap: add helper swap_offset_available() (Chris von Recklinghausen) [2160210] - mm/swap: avoid calling swp_swap_info when try to check SWP_STABLE_WRITES (Chris von Recklinghausen) [2160210] - mm/swap: make page_swapcount and __lru_add_drain_all static (Chris von Recklinghausen) [2160210] - mm/swap: remove unneeded p != NULL check in __swap_duplicate (Chris von Recklinghausen) [2160210] - mm/swap: remove buggy cache->nr check in refill_swap_slots_cache (Chris von Recklinghausen) [2160210] - mm/swap: print bad swap offset entry in get_swap_device (Chris von Recklinghausen) [2160210] - mm/swap: remove unneeded return value of free_swap_slot (Chris von Recklinghausen) [2160210] - mm/swap: fold __swap_info_get() into its sole caller (Chris von Recklinghausen) [2160210] - mm/swap: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210] - mm/swap: use helper is_swap_pte() in swap_vma_readahead (Chris von Recklinghausen) [2160210] - mm: mmap: register suitable readonly file vmas for khugepaged (Chris von Recklinghausen) [2160210] - mm: khugepaged: introduce khugepaged_enter_vma() helper (Chris von Recklinghausen) [2160210] - mm: khugepaged: make hugepage_vma_check() non-static (Chris von Recklinghausen) [2160210] - mm: khugepaged: make khugepaged_enter() void function (Chris von Recklinghausen) [2160210] - mm: thp: only regular file could be THP eligible (Chris von Recklinghausen) [2160210] - mm: khugepaged: skip DAX vma (Chris von Recklinghausen) [2160210] - mm: khugepaged: remove redundant check for VM_NO_KHUGEPAGED (Chris von Recklinghausen) [2160210] - ext4: convert symlink external data block mapping to bdev (Chris von Recklinghausen) [2160210] - ext4: add nowait mode for ext4_getblk() (Chris von Recklinghausen) [2160210] - mm: usercopy: move the virt_addr_valid() below the is_vmalloc_addr() (Chris von Recklinghausen) [2160210] - mm/memcontrol: export memcg->watermark via sysfs for v2 memcg (Chris von Recklinghausen) [2160210] - mm: rmap: fix CONT-PTE/PMD size hugetlb issue when unmapping (Chris von Recklinghausen) [2160210] - mm: rmap: fix CONT-PTE/PMD size hugetlb issue when migration (Chris von Recklinghausen) [2160210] - Documentation/vm: rework "Temporary Virtual Mappings" section (Chris von Recklinghausen) [2160210] - Documentation/vm: move "Using kmap-atomic" to highmem.h (Chris von Recklinghausen) [2160210] - Documentation/vm: include kdocs from highmem*.h into highmem.rst (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: simplify num_poisoned_pages_inc/dec (Chris von Recklinghausen) [2160210] - mm/hwpoison: disable hwpoison filter during removing (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: add hwpoison_filter for soft offline (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: simplify num_poisoned_pages_dec (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: move clear_hwpoisoned_pages (Chris von Recklinghausen) [2160210] - kasan: give better names to shadow values (Chris von Recklinghausen) [2160210] - kasan: use tabs to align shadow values (Chris von Recklinghausen) [2160210] - kasan: clean up comments in internal kasan.h (Chris von Recklinghausen) [2160210] - tracing: incorrect gfp_t conversion (Chris von Recklinghausen) [2160210] - zram: remove double compression logic (Chris von Recklinghausen) [2160210] - percpu: improve percpu_alloc_percpu event trace (Chris von Recklinghausen) [2160210] - docs: vm/page_owner: tweak literal block in STANDARD FORMAT SPECIFIERS (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: use resource_size function on resource object (Chris von Recklinghausen) [2160210] - mm: page_table_check: move pxx_user_accessible_page into x86 (Chris von Recklinghausen) [2160210] - mm: page_table_check: using PxD_SIZE instead of PxD_PAGE_SIZE (Chris von Recklinghausen) [2160210] - mm/migrate: convert move_to_new_page() into move_to_new_folio() (Chris von Recklinghausen) [2160210] - mm: add folio_test_movable() (Chris von Recklinghausen) [2160210] - mm: add folio_mapping_flags() (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_swapin_page() to shmem_swapin_folio() (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_getpage_gfp to use a folio (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_alloc_and_acct_page to use a folio (Chris von Recklinghausen) [2160210] - mm/shmem: add shmem_alloc_folio() (Chris von Recklinghausen) [2160210] - mm/shmem: turn shmem_should_replace_page into shmem_should_replace_folio (Chris von Recklinghausen) [2160210] - mm/shmem: convert shmem_add_to_page_cache to take a folio (Chris von Recklinghausen) [2160210] - mm/swap: add folio_throttle_swaprate (Chris von Recklinghausen) [2160210] - mm/shmem: use a folio in shmem_unused_huge_shrink (Chris von Recklinghausen) [2160210] - vmscan: remove remaining uses of page in shrink_page_list (Chris von Recklinghausen) [2160210] - mm: allow can_split_folio() to be called when THP are disabled (Chris von Recklinghausen) [2160210] - vmscan: convert the activate_locked portion of shrink_page_list to folios (Chris von Recklinghausen) [2160210] - vmscan: move initialisation of mapping down (Chris von Recklinghausen) [2160210] - vmscan: convert lazy freeing to folios (Chris von Recklinghausen) [2160210] - vmscan: convert page buffer handling to use folios (Chris von Recklinghausen) [2160210] - vmscan: convert dirty page handling to folios (Chris von Recklinghausen) [2160210] - swap: convert add_to_swap() to take a folio (Chris von Recklinghausen) [2160210] - swap: turn get_swap_page() into folio_alloc_swap() (Chris von Recklinghausen) [2160210] - vmscan: convert the writeback handling in shrink_page_list() to folios (Chris von Recklinghausen) [2160210] - vmscan: use folio_mapped() in shrink_page_list() (Chris von Recklinghausen) [2160210] - mm: remove alloc_pages_vma() (Chris von Recklinghausen) [2160210] - mm/huge_memory: convert do_huge_pmd_anonymous_page() to use vma_alloc_folio() (Chris von Recklinghausen) [2160210] - shmem: convert shmem_alloc_hugepage() to use vma_alloc_folio() (Chris von Recklinghausen) [2160210] - mm/shmem: remove duplicate include in memory.c (Chris von Recklinghausen) [2160210] - mm/vmscan: don't use NUMA_NO_NODE as indicator of page on different node (Chris von Recklinghausen) [2160210] - mm/vmscan: filter empty page_list at the beginning (Chris von Recklinghausen) [2160210] - mm/vmscan: use helper folio_is_file_lru() (Chris von Recklinghausen) [2160210] - mm/vmscan: remove obsolete comment in kswapd_run (Chris von Recklinghausen) [2160210] - mm/vmscan: take all base pages of THP into account when race with speculative reference (Chris von Recklinghausen) [2160210] - mm/vmscan: introduce helper function reclaim_page_list() (Chris von Recklinghausen) [2160210] - mm/vmscan: add a comment about MADV_FREE pages check in folio_check_dirty_writeback (Chris von Recklinghausen) [2160210] - mm/vmscan: not necessary to re-init the list for each iteration (Chris von Recklinghausen) [2160210] - mm: convert sysfs input to bool using kstrtobool() (Chris von Recklinghausen) [2160210] - mm/vmscan: take min_slab_pages into account when try to call shrink_node (Chris von Recklinghausen) [2160210] - mm: cma: use pageblock_order as the single alignment (Chris von Recklinghausen) [2160210] - mm: page_isolation: enable arbitrary range page isolation. (Chris von Recklinghausen) [2160210] - mm: make alloc_contig_range work at pageblock granularity (Chris von Recklinghausen) [2160210] - mm: page_isolation: check specified range for unmovable pages (Chris von Recklinghausen) [2160210] - mm: page_isolation: move has_unmovable_pages() to mm/page_isolation.c (Chris von Recklinghausen) [2160210] - mm/uffd: enable write protection for shmem & hugetlbfs (Chris von Recklinghausen) [2160210] - mm/khugepaged: don't recycle vma pgtable if uffd-wp registered (Chris von Recklinghausen) [2160210] - mm/hugetlb: only drop uffd-wp special pte if required (Chris von Recklinghausen) [2160210] - mm/hugetlb: allow uffd wr-protect none ptes (Chris von Recklinghausen) [2160210] - mm/hugetlb: handle UFFDIO_WRITEPROTECT (Chris von Recklinghausen) [2160210] - mm/hugetlb: take care of UFFDIO_COPY_MODE_WP (Chris von Recklinghausen) [2160210] - mm/hugetlb: hook page faults for uffd write protection (Chris von Recklinghausen) [2160210] - mm/shmem: allows file-back mem to be uffd wr-protected on thps (Chris von Recklinghausen) [2160210] - mm/shmem: allow uffd wr-protect none pte for file-backed mem (Chris von Recklinghausen) [2160210] - mm/shmem: persist uffd-wp bit across zapping for file-backed (Chris von Recklinghausen) [2160210] - mm/shmem: handle uffd-wp special pte in page fault handler (Chris von Recklinghausen) [2160210] - mm/shmem: take care of UFFDIO_COPY_MODE_WP (Chris von Recklinghausen) [2160210] - mm: check against orig_pte for finish_fault() (Chris von Recklinghausen) [2160210] - mm: teach core mm about pte markers (Chris von Recklinghausen) [2160210] - mm/page_alloc: cache the result of node_dirty_ok() (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: support online inputs update (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: support online inputs update (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: update schemes stat in the kdamond context (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: use enum for 'state' input handling (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: reuse damon_set_regions() for regions setting (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: move targets setup code to a separated function (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: prohibit multiple physical address space monitoring targets (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: remove damon_va_apply_three_regions() (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: move 'damon_set_regions()' to core (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: generalize damon_va_apply_three_regions() (Chris von Recklinghausen) [2160210] - mm/damon/core: finish kdamond as soon as any callback returns an error (Chris von Recklinghausen) [2160210] - mm/damon/core: add a new callback for watermarks checks (Chris von Recklinghausen) [2160210] - mm: rmap: use flush_cache_range() to flush cache for hugetlb pages (Chris von Recklinghausen) [2160210] - mm: rmap: move the cache flushing to the correct place for hugetlb PMD sharing (Chris von Recklinghausen) [2160210] - mm/memory_hotplug: use pgprot_val to get value of pgprot (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: support fixed virtual address ranges monitoring (Chris von Recklinghausen) [2160210] - mm/damon/vaddr: register a damon_operations for fixed virtual address ranges monitoring (Chris von Recklinghausen) [2160210] - mm/damon/sysfs: add a file for listing available monitoring ops (Chris von Recklinghausen) [2160210] - mm/damon/core: add a function for damon_operations registration checks (Chris von Recklinghausen) [2160210] - kfence: enable check kfence canary on panic via boot param (Chris von Recklinghausen) [2160210] - mm: avoid unnecessary flush on change_huge_pmd() (Chris von Recklinghausen) [2160210] - mm/mprotect: do not flush when not required architecturally (Chris von Recklinghausen) [2160210] - fs: Remove aops->freepage (Chris von Recklinghausen) [2160210] - secretmem: Convert to free_folio (Chris von Recklinghausen) [2160210] - nfs: Convert to free_folio (Chris von Recklinghausen) [2160210] - fs: Add free_folio address space operation (Chris von Recklinghausen) [2160210] - fs: Change try_to_free_buffers() to take a folio (Chris von Recklinghausen) [2160210] - jbd2: Convert release_buffer_page() to use a folio (Chris von Recklinghausen) [2160210] - jbd2: Convert jbd2_journal_try_to_free_buffers to take a folio (Chris von Recklinghausen) [2160210] - fs: Remove last vestiges of releasepage (Chris von Recklinghausen) [2160210] - nfs: Convert to release_folio (Chris von Recklinghausen) [2160210] - gfs2: Convert to release_folio (Chris von Recklinghausen) [2160210] - ext4: Convert to release_folio (Chris von Recklinghausen) [2160210] - cifs: Convert to release_folio (Chris von Recklinghausen) [2160210] - ceph: Convert to release_folio (Chris von Recklinghausen) [2160210] - afs: Convert to release_folio (Chris von Recklinghausen) [2160210] - iomap: Convert to release_folio (Chris von Recklinghausen) [2160210] - fs: Add aops->release_folio (Chris von Recklinghausen) [2160210] - VFS: add FMODE_CAN_ODIRECT file flag (Chris von Recklinghausen) [2160210] - MM: handle THP in swap_*page_fs() - count_vm_events() (Chris von Recklinghausen) [2160210] - mm: handle THP in swap_*page_fs() (Chris von Recklinghausen) [2160210] - mm: submit multipage write for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: submit multipage reads for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: perform async writes to SWP_FS_OPS swap-space using ->swap_rw (Chris von Recklinghausen) [2160210] - mm: introduce ->swap_rw and use it for reads from SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: reclaim mustn't enter FS for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210] - mm: move responsibility for setting SWP_FS_OPS to ->swap_activate (Chris von Recklinghausen) [2160210] - mm: drop swap_dirty_folio (Chris von Recklinghausen) [2160210] - mm: create new mm/swap.h header file (Chris von Recklinghausen) [2160210] - mm/gup: fix comments to pin_user_pages_*() (Chris von Recklinghausen) [2160210] - mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2160210] - mm,doc: Add new documentation structure (Chris von Recklinghausen) [2160210] - mm/filemap: Hoist filler_t decision to the top of do_read_cache_folio() (Chris von Recklinghausen) [2160210] - fs: Change the type of filler_t (Chris von Recklinghausen) [2160210] - nfs: Pass the file pointer to nfs_symlink_filler() (Chris von Recklinghausen) [2160210] - mm,fs: Remove aops->readpage (Chris von Recklinghausen) [2160210] - mm: Convert swap_readpage to call read_folio instead of readpage (Chris von Recklinghausen) [2160210] - udf: Convert adinicb and symlinks to read_folio (Chris von Recklinghausen) [2160210] - squashfs: Convert squashfs to read_folio (Chris von Recklinghausen) [2160210] - nfs: Convert nfs to read_folio (Chris von Recklinghausen) [2160210] - isofs: Convert symlinks and zisofs to read_folio (Chris von Recklinghausen) [2160210] - fuse: Convert fuse to read_folio (Chris von Recklinghausen) [2160210] - ext4: Convert ext4 to read_folio (Chris von Recklinghausen) [2160210] - cifs: Convert cifs to read_folio (Chris von Recklinghausen) [2160210] - afs: Convert afs_symlink_readpage to afs_symlink_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert simple_readpage to simple_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert mpage_readpage to mpage_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert block_read_full_page() to block_read_full_folio() (Chris von Recklinghausen) [2160210] - fs: Convert iomap_readpage to iomap_read_folio (Chris von Recklinghausen) [2160210] - fs: Convert netfs_readpage to netfs_read_folio (Chris von Recklinghausen) [2160210] - fs: Add read_folio documentation (Chris von Recklinghausen) [2160210] - fs: Introduce aops->read_folio (Chris von Recklinghausen) [2160210] - buffer: Rewrite nobh_truncate_page() to use folios (Chris von Recklinghausen) [2160210] - fs: Convert is_dirty_writeback() to take a folio (Chris von Recklinghausen) [2160210] - readahead: Use a folio in read_pages() (Chris von Recklinghausen) [2160210] - filemap: Update the folio_mark_dirty documentation (Chris von Recklinghausen) [2160210] - fs: Remove pagecache_write_begin() and pagecache_write_end() (Chris von Recklinghausen) [2160210] - i915: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - ext4: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - namei: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - buffer: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210] - fs: Remove flags parameter from aops->write_begin (Chris von Recklinghausen) [2160210] - fs: Remove aop flags parameter from grab_cache_page_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove aop flags parameter from cont_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove aop flags parameter from block_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove aop_flags parameter from netfs_write_begin() (Chris von Recklinghausen) [2160210] - fs: Remove AOP_FLAG_NOFS (Chris von Recklinghausen) [2160210] - f2fs: Convert f2fs_grab_cache_page() to use scoped memory APIs (Chris von Recklinghausen) [2160210] - namei: Convert page_symlink() to use memalloc_nofs_save() (Chris von Recklinghausen) [2160210] - kfence: test: use new suite_{init/exit} support, add .kunitconfig (Chris von Recklinghausen) [2160210] - mm/slub: remove unused kmem_cache_order_objects max (Chris von Recklinghausen) [2160210] - taskstats: version 12 with thread group and exe info (Chris von Recklinghausen) [2160210] - mm/damon/reclaim: fix the timer always stays active (Chris von Recklinghausen) [2160210] - mm/damon: remove unnecessary type castings (Chris von Recklinghausen) [2160210] - mm/damon/core-test: add a kunit test case for ops registration (Chris von Recklinghausen) [2160210] - damon: vaddr-test: tweak code to make the logic clearer (Chris von Recklinghausen) [2160210] - mm/page_alloc: simplify update of pgdat in wake_all_kswapds (Chris von Recklinghausen) [2160210] - kasan: fix sleeping function called from invalid context on RT kernel (Chris von Recklinghausen) [2160210] - mm/khugepaged: use vma_is_anonymous (Chris von Recklinghausen) [2160210] - mm: compaction: make sure highest is above the min_pfn (Chris von Recklinghausen) [2160210] - mm: compaction: simplify the code in __compact_finished (Chris von Recklinghausen) [2160210] - mm: compaction: make compaction_zonelist_suitable return false when COMPACT_SUCCESS (Chris von Recklinghausen) [2160210] - mm: compaction: avoid possible NULL pointer dereference in kcompactd_cpu_online (Chris von Recklinghausen) [2160210] - mm: compaction: clean up comment about async compaction in isolate_migratepages (Chris von Recklinghausen) [2160210] - mm: compaction: use helper compound_nr in isolate_migratepages_block (Chris von Recklinghausen) [2160210] - mm: compaction: use COMPACT_CLUSTER_MAX in compaction.c (Chris von Recklinghausen) [2160210] - mm: compaction: clean up comment about suitable migration target recheck (Chris von Recklinghausen) [2160210] - mm: compaction: clean up comment for sched contention (Chris von Recklinghausen) [2160210] - mm: compaction: remove unneeded assignment to isolate_start_pfn (Chris von Recklinghausen) [2160210] - mm: compaction: remove unneeded pfn update (Chris von Recklinghausen) [2160210] - mm: compaction: remove unneeded return value of kcompactd_run (Chris von Recklinghausen) [2160210] - ksm: count ksm merging pages for each process (Chris von Recklinghausen) [2160210] - mm/page_alloc: reuse tail struct pages for compound devmaps (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap: improve memory savings for compound devmaps (Chris von Recklinghausen) [2160210] - mm/hugetlb_vmemmap: move comment block to Documentation/vm (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap: refactor core of vmemmap_populate_basepages() to helper (Chris von Recklinghausen) [2160210] - mm/sparse-vmemmap: add a pgmap argument to section activation (Chris von Recklinghausen) [2160210] - mm/page_alloc.c: calc the right pfn if page size is not 4K (Chris von Recklinghausen) [2160210] - mm/mremap: avoid unneeded do_munmap call (Chris von Recklinghausen) [2160210] - mm/mremap: use helper mlock_future_check() (Chris von Recklinghausen) [2160210] - mm/mmap: drop arch_vm_get_page_pgprot() (Chris von Recklinghausen) [2160210] - mm/mmap: drop arch_filter_pgprot() (Chris von Recklinghausen) [2160210] - mm/mmap.c: use helper mlock_future_check() (Chris von Recklinghausen) [2160210] - mm/debug_vm_pgtable: drop protection_map[] usage (Chris von Recklinghausen) [2160210] - mm/mmu_gather: limit free batch count and add schedule point in tlb_batch_pages_flush (Chris von Recklinghausen) [2160210] - mm/mmap.c: use mmap_assert_write_locked() instead of open coding it (Chris von Recklinghausen) [2160210] - mm: untangle config dependencies for demote-on-reclaim (Chris von Recklinghausen) [2160210] - mm: migrate: simplify the refcount validation when migrating hugetlb mapping (Chris von Recklinghausen) [2160210] - mm/migration: remove some duplicated codes in migrate_pages (Chris von Recklinghausen) [2160210] - mm/migration: avoid unneeded nodemask_t initialization (Chris von Recklinghausen) [2160210] - mm/migration: use helper macro min in do_pages_stat (Chris von Recklinghausen) [2160210] - mm/migration: use helper function vma_lookup() in add_page_for_migration (Chris von Recklinghausen) [2160210] - mm/migration: remove unneeded local variable page_lru (Chris von Recklinghausen) [2160210] - mm/migration: remove unneeded local variable mapping_locked (Chris von Recklinghausen) [2160210] - mm/vmscan: fix comment for isolate_lru_pages (Chris von Recklinghausen) [2160210] - mm/vmscan: fix comment for current_may_throttle (Chris von Recklinghausen) [2160210] - mm/vmscan: remove obsolete comment in get_scan_count (Chris von Recklinghausen) [2160210] - mm/vmscan: sc->reclaim_idx must be a valid zone index (Chris von Recklinghausen) [2160210] - mm/vmscan: make sure wakeup_kswapd with managed zone (Chris von Recklinghausen) [2160210] - mm/vmscan: reclaim only affects managed_zones (Chris von Recklinghausen) [2160210] - hugetlb: remove use of list iterator variable after loop (Chris von Recklinghausen) [2160210] - mm, hugetlb, hwpoison: separate branch for free and in-use hugepage (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: dissolve truncated hugetlb page (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: minor cleanup for HWPoisonHandlable (Chris von Recklinghausen) [2160210] - mm/hwpoison: put page in already hwpoisoned case with MF_COUNT_INCREASED (Chris von Recklinghausen) [2160210] - mm/memory-failure.c: remove unnecessary (void*) conversions (Chris von Recklinghausen) [2160210] - mm: wrap __find_buddy_pfn() with a necessary buddy page validation (Chris von Recklinghausen) [2160210] - mm: page_alloc: simplify pageblock migratetype check in __free_one_page() (Chris von Recklinghausen) [2160210] - mm/page_alloc: adding same penalty is enough to get round-robin order (Chris von Recklinghausen) [2160210] - vmap(): don't allow invalid pages (Chris von Recklinghausen) [2160210] - mm/vmalloc: fix a comment (Chris von Recklinghausen) [2160210] - mm/memcontrol.c: remove unused private flag of memory.oom_control (Chris von Recklinghausen) [2160210] - mm/memcontrol.c: make cgroup_memory_noswap static (Chris von Recklinghausen) [2160210] - mm/memcg: non-hierarchical mode is deprecated (Chris von Recklinghausen) [2160210] - mm/memcg: move generation assignment and comparison together (Chris von Recklinghausen) [2160210] - mm/memcg: set pos explicitly for reclaim and !reclaim (Chris von Recklinghausen) [2160210] - mm/memcg: set memcg after css verified and got reference (Chris von Recklinghausen) [2160210] - mm/memcg: mz already removed from rb_tree if not NULL (Chris von Recklinghausen) [2160210] - mm/memcg: remove unneeded nr_scanned (Chris von Recklinghausen) [2160210] - mm: shmem: make shmem_init return void (Chris von Recklinghausen) [2160210] - mm: rework calculation of bdi_min_ratio in bdi_set_min_ratio (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: provide allocator labelling and update --cull and --sort options (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting blocks by multiple keys (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support for multi-value selection in single argument (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: use fprintf() to send error messages to stderr (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm numa translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm hmm translation (Chris von Recklinghausen) [2160210] - docs: vm/page_owner: use literal blocks for param description (Chris von Recklinghausen) [2160210] - riscv: compat: syscall: Add compat_sys_call_table implementation (Chris von Recklinghausen) [2160210] - mm: Add fault_in_subpage_writeable() to probe at sub-page granularity (Chris von Recklinghausen) [2160210] - mm: fix unused variable kernel warning when SYSCTL=n (Chris von Recklinghausen) [2160210] - xfs: convert shutdown reasons to unsigned. (Chris von Recklinghausen) [2160210] - mm/slub: remove unneeded return value of slab_pad_check (Chris von Recklinghausen) [2160210] - Documentation: arch_pgtable_helpers: demote pgtable list headings (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm hugetlbfs_reserv translation (Chris von Recklinghausen) [2160210] - usercopy: Remove HARDENED_USERCOPY_PAGESPAN (Chris von Recklinghausen) [2160210] - mm/usercopy: Detect large folio overruns (Chris von Recklinghausen) [2160210] - mm/usercopy: Detect vmalloc overruns (Chris von Recklinghausen) [2160210] - mm/usercopy: Check kmap addresses properly (Chris von Recklinghausen) [2160210] - mm/slab_common: move dma-kmalloc caches creation into new_kmalloc_cache() (Chris von Recklinghausen) [2160210] - mm/slub: remove meaningless node check in ___slab_alloc() (Chris von Recklinghausen) [2160210] - mm/slub: remove duplicate flag in allocate_slab() (Chris von Recklinghausen) [2160210] - mm/slab.c: fix comments (Chris von Recklinghausen) [2160210] - mm: move page-writeback sysctls to their own file (Chris von Recklinghausen) [2160210] - mm: move oom_kill sysctls to their own file (Chris von Recklinghausen) [2160210] - slab, documentation: add description of debugfs files for SLUB caches (Chris von Recklinghausen) [2160210] - mm/slub: sort debugfs output by frequency of stack traces (Chris von Recklinghausen) [2160210] - mm/slub: distinguish and print stack traces in debugfs files (Chris von Recklinghausen) [2160210] - mm/slub, kunit: Make slub_kunit unaffected by user specified flags (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm zsmalloc translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm z3fold translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm split_page_table_lock translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm remap_file_pages translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm page_table_check translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm page_owner translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm page_frags translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm overcommit-accounting translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm mmu_notifier translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm memory-model translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm hwpoison translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm frontswap translation (Chris von Recklinghausen) [2160210] - docs/zh_CN/damon: add sysfs interface (Chris von Recklinghausen) [2160210] - docs/zh_CN/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2160210] - mm/slab: remove some unused functions (Chris von Recklinghausen) [2160210] - doc/vm/page_owner.rst: remove content related to -c option (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: remove -c option (Chris von Recklinghausen) [2160210] - filemap: Remove AOP_FLAG_CONT_EXPAND (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support for user-defined culling rules (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support for selecting by PID, TGID or task command name (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort: support for sorting by task command name (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort: fix three trivival places (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting by tgid and update documentation (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: add a security check (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: fix comments (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: fix the instructions for use (Chris von Recklinghausen) [2160210] - Documentation/vm/page_owner.rst: fix unexpected indentation warns (Chris von Recklinghausen) [2160210] - Documentation/vm/page_owner.rst: update the documentation (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: delete invalid duplicate code (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: two trivial fixes (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting pid and time (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: add switch between culling by stacktrace and txt (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: support sorting by stack trace (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: sort by stacktrace before culling (Chris von Recklinghausen) [2160210] - usercopy: Disable CONFIG_HARDENED_USERCOPY_PAGESPAN (Chris von Recklinghausen) [2160210] - fs: Remove aops->launder_page (Chris von Recklinghausen) [2160210] - nfs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2160210] - cifs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2160210] - fs: Remove aops->invalidatepage (Chris von Recklinghausen) [2160210] - nfs: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - gfs2: Convert invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - cifs: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - afs: Convert invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210] - afs: Convert directory aops to invalidate_folio (Chris von Recklinghausen) [2160210] - iomap: Remove iomap_invalidatepage() (Chris von Recklinghausen) [2160210] - ceph: Use folio_invalidate() (Chris von Recklinghausen) [2160210] - iomap: Fix iomap_invalidatepage tracepoint (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon reclaim translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon usage translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add admin-guide damon start translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add admin-guide damon index translation (Chris von Recklinghausen) [2160210] - zh_CN: Add translation for admin-guide/mm/index.rst (Chris von Recklinghausen) [2160210] - Add Chinese translation for vm/ksm.rst (Chris von Recklinghausen) [2160210] - docs/zh_CN: add peci index translation (Chris von Recklinghausen) [2160210] - mm: remove pointless includes from <linux/hmm.h> (Chris von Recklinghausen) [2160210] - docs: fix RST error in vm/page_owner.rst (Chris von Recklinghausen) [2160210] - Documentation/vm/page_owner.rst: fix language (Chris von Recklinghausen) [2160210] - x86/mce: Remove the tolerance level control (Chris von Recklinghausen) [2160210] - docs/translations: Skip CJK contents if suitable fonts not found (Chris von Recklinghausen) [2160210] - xfs: move xfs_update_prealloc_flags() to xfs_pnfs.c (Chris von Recklinghausen) [2160210] - docs/zh_CN: add highmem translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add free_page_reporting translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon api translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon design translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon faq translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add damon index tronslation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add balance translation (Chris von Recklinghausen) [2160210] - docs_zh_CN: add active_mm translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add vm/index translation (Chris von Recklinghausen) [2160210] - Documentation/accounting/delay-accounting.rst: add thrashing page cache and direct compact (Chris von Recklinghausen) [2160210] - xfs: kill the XFS_IOC_{ALLOC,FREE}SP* ioctls (Chris von Recklinghausen) [2160210] - zram: use ATTRIBUTE_GROUPS (Chris von Recklinghausen) [2160210] - mm: introduce memalloc_retry_wait() (Chris von Recklinghausen) [2160210] - squashfs: provide backing_dev_info in order to disable read-ahead (Chris von Recklinghausen) [2160210] - iomap: Inline __iomap_zero_iter into its caller (Chris von Recklinghausen) [2160210] - x86/mce: Check regs before accessing it (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_migrate_page() to use folios (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_add_to_ioend() to take a folio (Chris von Recklinghausen) [2160210] - iomap: Simplify iomap_do_writepage() (Chris von Recklinghausen) [2160210] - iomap: Simplify iomap_writepage_map() (Chris von Recklinghausen) [2160210] - iomap,xfs: Convert ->discard_page to ->discard_folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_write_begin() and iomap_write_end() to folios (Chris von Recklinghausen) [2160210] - iomap: Convert __iomap_zero_iter to use a folio (Chris von Recklinghausen) [2160210] - iomap: Allow iomap_write_begin() to be called with the full length (Chris von Recklinghausen) [2160210] - iomap: Convert readahead and readpage to use a folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_read_inline_data to take a folio (Chris von Recklinghausen) [2160210] - iomap: Use folio offsets instead of page offsets (Chris von Recklinghausen) [2160210] - iomap: Convert bio completions to use folios (Chris von Recklinghausen) [2160210] - iomap: Pass the iomap_page into iomap_set_range_uptodate (Chris von Recklinghausen) [2160210] - iomap: Add iomap_invalidate_folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_releasepage to use a folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_page_release to take a folio (Chris von Recklinghausen) [2160210] - iomap: Convert iomap_page_create to take a folio (Chris von Recklinghausen) [2160210] - fs/buffer: Convert __block_write_begin_int() to take a folio (Chris von Recklinghausen) [2160210] - x86/mce: Mark mce_timed_out() noinstr (Chris von Recklinghausen) [2160210] - x86/mce: Prevent severity computation from being instrumented (Chris von Recklinghausen) [2160210] - x86/mce: Do not use memset to clear the banks bitmaps (Chris von Recklinghausen) [2160210] - docs/zh_CN: add scheduler index translation (Chris von Recklinghausen) [2160210] - x86/mce: Get rid of cpu_missing (Chris von Recklinghausen) [2160210] - tools/vm/page_owner_sort.c: count and sort by mem (Chris von Recklinghausen) [2160210] - mm/memcg: drop swp_entry_t* in mc_handle_file_pte() (Chris von Recklinghausen) [2160210] - ABI: sysfs-mce: add 3 missing files (Chris von Recklinghausen) [2160210] - ABI: sysfs-mce: add a new ABI file (Chris von Recklinghausen) [2160210] - ovl: fix IOCB_DIRECT if underlying fs doesn't support direct IO (Chris von Recklinghausen) [2160210] - x86/mce: Sort mca_config members to get rid of unnecessary padding (Chris von Recklinghausen) [2160210] - x86/mce: Get rid of machine_check_vector (Chris von Recklinghausen) [2160210] - x86/mce: Get rid of the mce_severity function pointer (Chris von Recklinghausen) [2160210] - docs: zh_TW/index: Move arm64/index to arch-specific section (Chris von Recklinghausen) [2160210] - docs/zh_CN: Add zh_CN/admin-guide/sysrq.rst (Chris von Recklinghausen) [2160210] - Doc: page_migration: fix numbering for non-LRU movable flags (Chris von Recklinghausen) [2160210] - x86/extable: Remove EX_TYPE_FAULT from MCE safe fixups (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Fix typo in CJK-language specific font settings (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/filesystems (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/cpu-freq (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/arm64 (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Enable language-specific font choice of zh_TW translations (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Add CJK-language-specific font settings (Chris von Recklinghausen) [2160210] - docs: pdfdocs: Refactor config for CJK document (Chris von Recklinghausen) [2160210] - docs/zh_CN: Add zh_CN/accounting/psi.rst (Chris von Recklinghausen) [2160210] - docs/zh_CN: add infiniband index translation (Chris von Recklinghausen) [2160210] - docs/zh_CN: add virt index translation (Chris von Recklinghausen) [2160210] - docs/zh_TW: add translations for zh_TW/process (Chris von Recklinghausen) [2160210] - docs: add traditional Chinese translation for kernel Documentation (Chris von Recklinghausen) [2160210] - x86/mm: disable instrumentations of mm/pgprot.c (Chris von Recklinghausen) [2160210] - x86/mm: Refuse W^X violations (Chris von Recklinghausen) [2160210] - x86/mm: Print likely CPU at segfault time (Chris von Recklinghausen) [2160210] - x86: simplify load_unaligned_zeropad() implementation (Chris von Recklinghausen) [2160210] - x86/extable: Fix ex_handler_msr() print condition (Chris von Recklinghausen) [2160210] - x86/mm/tlb: Ignore f->new_tlb_gen when zero (Chris von Recklinghausen) [2160210] - x86/mm: Refer to the intended config STRICT_DEVMEM in a comment (Chris von Recklinghausen) [2160210] - mm/x86: remove dead code for hugetlbpage.c (Chris von Recklinghausen) [2160210] - x86/pkeys: Clarify PKRU_AD_KEY macro (Chris von Recklinghausen) [2160210] - x86/mm/tlb: Avoid reading mm_tlb_gen when possible (Chris von Recklinghausen) [2160210] - s390/mm: fix no previous prototype warnings in maccess.c (Chris von Recklinghausen) [2160210] - memcpy_real(): WRITE is "data source", not destination... (Chris von Recklinghausen) [2160210] - s390/kprobes: define insn cache ops within private header file (Chris von Recklinghausen) [2160210] - s390/mm: remove unused get_page_state() function (Chris von Recklinghausen) [2160210] - s390/hypfs: remove unused info_blk_hdr__pcpus() function (Chris von Recklinghausen) [2160210] - s390/mm: provide minimal setup_per_cpu_areas() implementation (Chris von Recklinghausen) [2160210] - s390/mm: fix virtual-physical address confusion for swiotlb (Chris von Recklinghausen) [2160210] - s390/mm: gmap: sort out physical vs virtual pointers usage (Chris von Recklinghausen) [2160210] - s390/mm: rework memcpy_real() to avoid DAT-off mode (Chris von Recklinghausen) [2160210] - s390/smp: rework absolute lowcore access (Chris von Recklinghausen) [2160210] - s390/smp: use physical address for SIGP_SET_PREFIX command (Chris von Recklinghausen) [2160210] - s390/smp: enforce lowcore protection on CPU restart (Chris von Recklinghausen) [2160210] - s390/smp: call smp_reinit_ipl_cpu() before scheduler is available (Chris von Recklinghausen) [2160210] - s390/boot: fix absolute zero lowcore corruption on boot (Chris von Recklinghausen) [2160210] - s390/nmi: disable interrupts on extended save area update (Chris von Recklinghausen) [2160210] - s390/nmi: add missing __pa/__va address conversion of extended save area (Chris von Recklinghausen) [2160210] - s390/setup: rearrange absolute lowcore initialization (Chris von Recklinghausen) [2160210] - s390/maccess: rework absolute lowcore accessors (Chris von Recklinghausen) [2160210] - s390/smp: cleanup control register update routines (Chris von Recklinghausen) [2160210] - s390/smp: cleanup target CPU callback starting (Chris von Recklinghausen) [2160210] - s390/smp: do not use nodat_stack for secondary CPU start (Chris von Recklinghausen) [2160210] - s390/smp: enable DAT before CPU restart callback is called (Chris von Recklinghausen) [2160210] - s390/dump: fix os_info virtual vs physical address confusion (Chris von Recklinghausen) [2160210] - s390/hugetlb: switch to generic version of follow_huge_pud() (Chris von Recklinghausen) [2160210] - s390/ptdump: add missing amode31 markers (Chris von Recklinghausen) [2160210] - s390/mm: split lowcore pages with set_memory_4k() (Chris von Recklinghausen) [2160210] - s390/mm: remove unused access parameter from do_fault_error() (Chris von Recklinghausen) [2160210] - s390/mm: remove useless hugepage address alignment (Chris von Recklinghausen) [2160210] - s390/boot: cleanup adjust_to_uv_max() function (Chris von Recklinghausen) [2160210] - s390/boot: get rid of startup archive (Chris von Recklinghausen) [2160210] - s390/setup: re-arrange memblock setup (Chris von Recklinghausen) [2160210] - s390/setup: avoid using memblock_enforce_memory_limit (Chris von Recklinghausen) [2160210] - s390/setup: avoid reserving memory above identity mapping (Chris von Recklinghausen) [2160210] - s390: move the install rule to arch/s390/Makefile (Chris von Recklinghausen) [2160210] - s390: assume stckf is always present (Chris von Recklinghausen) [2160210] - s390/uaccess: use exception handler to zero result on get_user() failure (Chris von Recklinghausen) [2160210] - s390/uaccess: use symbolic names for inline assembler operands (Chris von Recklinghausen) [2160210] - s390/extable: prefer local labels in .set directives (Chris von Recklinghausen) [2160210] - s390/extable: add dedicated uaccess handler (Chris von Recklinghausen) [2160210] - s390/extable: convert to relative table with data (Chris von Recklinghausen) [2160210] - s390/extable: add and use fixup_exception helper function (Chris von Recklinghausen) [2160210] - s390/extable: fix exception table sorting (Chris von Recklinghausen) [2160210] - s390/base: pass pt_regs to early program check handler (Chris von Recklinghausen) [2160210] - s390/extable: move extable related functions to mm/extable.c (Chris von Recklinghausen) [2160210] - s390/extable: move EX_TABLE define to asm-extable.h (Chris von Recklinghausen) [2160210] - s390/extable: search amode31 extable last (Chris von Recklinghausen) [2160210] - s390: add support for BEAR enhancement facility (Chris von Recklinghausen) [2160210] - s390/ptrace: add last_break member to pt_regs (Chris von Recklinghausen) [2160210] - s390: rename last_break to pgm_last_break (Chris von Recklinghausen) [2160210] - kbuild: add cmd_file_size (Chris von Recklinghausen) [2160210] - arch: decompressor: remove useless vmlinux.bin.all-y (Chris von Recklinghausen) [2160210] - kbuild: rename cmd_{bzip2,lzma,lzo,lz4,xzkern,zstd22} (Chris von Recklinghausen) [2160210] - kbuild: drop $(size_append) from cmd_zstd (Chris von Recklinghausen) [2160210] - powerpc/mm: Export memory_add_physaddr_to_nid() for modules (Chris von Recklinghausen) [2160210] - powerpc/64s: Remove spurious fault flushing for NMMU (Chris von Recklinghausen) [2160210] - powerpc/64s: POWER10 nest MMU can upgrade PTE access authority without TLB flush (Chris von Recklinghausen) [2160210] - powerpc/64s: POWER10 nest MMU does not require flush escalation workaround (Chris von Recklinghausen) [2160210] - powerpc/64e: Reorganise virtual memory (Chris von Recklinghausen) [2160210] - powerpc/64e: Move virtual memory closer to linear memory (Chris von Recklinghausen) [2160210] - powerpc/64e: Remove unused REGION related macros (Chris von Recklinghausen) [2160210] - powerpc/64e: Remove MMU_FTR_USE_TLBRSRV and MMU_FTR_USE_PAIRED_MAS (Chris von Recklinghausen) [2160210] - powerpc/32: Remove __map_without_ltlbs (Chris von Recklinghausen) [2160210] - powerpc/32: Remove 'noltlbs' kernel parameter (Chris von Recklinghausen) [2160210] - powerpc/32: Remove the 'nobats' kernel parameter (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Use jump_label to check if poking_init() is done (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Use jump_label for testing freed initmem (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Replace patch_instruction() by ppc_inst_write() in selftests (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Move code patching selftests in its own file (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Move instr_is_branch_{i/b}form() in code-patching.h (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Move patch_exception() outside code-patching.c (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Use test_trampoline for prefixed patch test (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Fix patch_branch() return on out-of-range failure (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Reorganise do_patch_instruction() to ease error handling (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Fix unmap_patch_area() error handling (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Fix error handling in do_patch_instruction() (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Remove init_mem_is_free (Chris von Recklinghausen) [2160210] - powerpc/code-patching: Remove pr_debug()/pr_devel() messages and fix check() (Chris von Recklinghausen) [2160210] - powerpc/mm/book3s64/hash: Switch pre 2.06 tlbiel to .long (Chris von Recklinghausen) [2160210] - powerpc/mm: Switch obsolete dssall to .long (Chris von Recklinghausen) [2160210] - powerpc/inst: Optimise copy_inst_from_kernel_nofault() (Chris von Recklinghausen) [2160210] - powerpc/inst: Move ppc_inst_t definition in asm/reg.h (Chris von Recklinghausen) [2160210] - powerpc/inst: Define ppc_inst_t as u32 on PPC32 (Chris von Recklinghausen) [2160210] - powerpc/inst: Define ppc_inst_t (Chris von Recklinghausen) [2160210] - powerpc/inst: Refactor ___get_user_instr() (Chris von Recklinghausen) [2160210] - powerpc/mm: Move tlbcam_sz() and make it static (Chris von Recklinghausen) [2160210] - arm64: compat: Implement misalignment fixups for multiword loads (Chris von Recklinghausen) [2160210] - arm64/hugetlb: implement arm64 specific hugetlb_mask_last_page (Chris von Recklinghausen) [2160210] - arm64/mm: Define defer_reserve_crashkernel() (Chris von Recklinghausen) [2160210] - arm64: mm: Remove assembly DMA cache maintenance wrappers (Chris von Recklinghausen) [2160210] - arm/xen: Introduce xen_setup_dma_ops() (Chris von Recklinghausen) [2160210] - arm64: extable: cleanup redundant extable type EX_TYPE_FIXUP (Chris von Recklinghausen) [2160210] - arm64: extable: move _cond_extable to _cond_uaccess_extable (Chris von Recklinghausen) [2160210] - arm64: extable: make uaaccess helper use extable type EX_TYPE_UACCESS_ERR_ZERO (Chris von Recklinghausen) [2160210] - arm64: asm-extable: add asm uacess helpers (Chris von Recklinghausen) [2160210] - arm64: asm-extable: move data fields (Chris von Recklinghausen) [2160210] - arm64: extable: add new extable type EX_TYPE_KACCESS_ERR_ZERO support (Chris von Recklinghausen) [2160210] - arm64: Add HAVE_IOREMAP_PROT support (Chris von Recklinghausen) [2160210] - arm64: mm: Convert to GENERIC_IOREMAP (Chris von Recklinghausen) [2160210] - arm64: entry: simplify trampoline data page (Chris von Recklinghausen) [2160210] - arm64: mm: install KPTI nG mappings with MMU enabled (Chris von Recklinghausen) [2160210] - arm64: kpti-ng: simplify page table traversal logic (Chris von Recklinghausen) [2160210] - arm64: mm: Only remove nomap flag for initrd (Chris von Recklinghausen) [2160210] - arm64: mte: Fix the stack frame size warning in mte_dump_tag_range() (Chris von Recklinghausen) [2160210] - elf: Fix the arm64 MTE ELF segment name and value (Chris von Recklinghausen) [2160210] - arm64: mte: Document the core dump file format (Chris von Recklinghausen) [2160210] - arm64: mte: Dump the MTE tags in the core file (Chris von Recklinghausen) [2160210] - fs/file_table: fix adding missing kmemleak_not_leak() (Chris von Recklinghausen) [2160210] - Fix regression due to "fs: move binfmt_misc sysctl to its own file" (Chris von Recklinghausen) [2160210] - binfmt_misc: fix crash when load/unload module (Chris von Recklinghausen) [2160210] - include/linux/sysctl.h: fix register_sysctl_mount_point() return type (Chris von Recklinghausen) [2160210] - coredump: Don't compile flat_core_dump when coredumps are disabled (Chris von Recklinghausen) [2160210] - coredump: Snapshot the vmas in do_coredump (Chris von Recklinghausen) [2160210] - coredump: Move definition of struct coredump_params into coredump.h (Chris von Recklinghausen) [2160210] - coredump: Also dump first pages of non-executable ELF libraries (Chris von Recklinghausen) [2160210] - fs/coredump: move coredump sysctls into its own file (Chris von Recklinghausen) [2160210] - coredump: fix memleak in dump_vma_snapshot() (Chris von Recklinghausen) [2160210] - init/main.c: return 1 from handled __setup() functions (Chris von Recklinghausen) [2160210] - init.h: improve __setup and early_param documentation (Chris von Recklinghausen) [2160210] - scripts/sorttable: Unify arm64 & x86 sort functions (Chris von Recklinghausen) [2160210] - mm: avoid unnecessary page fault retires on shared memory types (Chris von Recklinghausen) [2160210] - fs: move namespace sysctls and declare fs base directory (Chris von Recklinghausen) [2160210] - sysctl: add and use base directory declarer and registration helper (Chris von Recklinghausen) [2160210] - fs: move pipe sysctls to is own file (Chris von Recklinghausen) [2160210] - fs: move fs/exec.c sysctls into its own file (Chris von Recklinghausen) [2160210] - fs: move namei sysctls to its own file (Chris von Recklinghausen) [2160210] - fs: move locking sysctls where they are used (Chris von Recklinghausen) [2160210] - fs: move shared sysctls to fs/sysctls.c (Chris von Recklinghausen) [2160210] - sysctl: move maxolduid as a sysctl specific const (Chris von Recklinghausen) [2160210] - fs: move dcache sysctls to its own file (Chris von Recklinghausen) [2160210] - fs: move fs stat sysctls to file_table.c (Chris von Recklinghausen) [2160210] - fs: move inode sysctls to its own file (Chris von Recklinghausen) [2160210] - sysctl: share unsigned long const values (Chris von Recklinghausen) [2160210] - fs: move binfmt_misc sysctl to its own file (Chris von Recklinghausen) [2160210] - sysctl: add helper to register a sysctl mount point (Chris von Recklinghausen) [2160210] - eventpoll: simplify sysctl declaration with register_sysctl() (Chris von Recklinghausen) [2160210] - inotify: simplify subdirectory registration with register_sysctl() (Chris von Recklinghausen) [2160210] - dnotify: move dnotify sysctl to dnotify.c (Chris von Recklinghausen) [2160210] - aio: move aio sysctl to aio.c (Chris von Recklinghausen) [2160210] - sysctl: use SYSCTL_ZERO to replace some static int zero uses (Chris von Recklinghausen) [2160210] - sysctl: use const for typically used max/min proc sysctls (Chris von Recklinghausen) [2160210] - sysctl: make ngroups_max const (Chris von Recklinghausen) [2160210] - watchdog: move watchdog sysctl interface to watchdog.c (Chris von Recklinghausen) [2160210] - hung_task: move hung_task sysctl interface to hung_task.c (Chris von Recklinghausen) [2160210] Resolves: rhbz#2093878, rhbz#2139964, rhbz#2160210, rhbz#2161527, rhbz#2175236, rhbz#2175249, rhbz#2179874 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-29 10:19:43 +00:00
# CONFIG_SHRINKER_DEBUG is not set
CONFIG_SHUFFLE_PAGE_ALLOCATOR=y
# CONFIG_SI1133 is not set
# CONFIG_SI1145 is not set
# CONFIG_SI7005 is not set
# CONFIG_SI7020 is not set
CONFIG_SIGNATURE=y
# CONFIG_SIGNED_PE_FILE_VERIFICATION is not set
# CONFIG_SIMPLE_PM_BUS is not set
# CONFIG_SIOX is not set
kernel-5.14.0-146.el9 * Tue Aug 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-146.el9] - cpufreq: fix memory leak in sun50i_cpufreq_nvmem_probe (Mark Langsdorf) [2072976] - cpufreq: powernow-k8: Re-order the init checks (Mark Langsdorf) [2072976] - cpufreq: amd-pstate: Add more tracepoint for AMD P-State module (Mark Langsdorf) [2072976] - cpufreq: CPPC: Fix performance/frequency conversion (Mark Langsdorf) [2072976] - cpufreq: unify show() and store() naming and use __ATTR_XX (Mark Langsdorf) [2072976] - cpufreq: longhaul: Replace acpi_bus_get_device() (Mark Langsdorf) [2072976] - cpufreq: Move to_gov_attr_set() to cpufreq.h (Mark Langsdorf) [2072976] - cpufreq: use default_groups in kobj_type (Mark Langsdorf) [2072976] - cpufreq: Fix initialization of min and max frequency QoS requests (Mark Langsdorf) [2072976] - cpufreq: Fix a comment in cpufreq_policy_free (Mark Langsdorf) [2072976] - cpufreq: Fix get_cpu_device() failure in add_cpu_dev_symlink() (Mark Langsdorf) [2072976] - cpufreq: Use CPUFREQ_RELATION_E in DVFS governors (Mark Langsdorf) [2072976] - cpufreq: Introducing CPUFREQ_RELATION_E (Mark Langsdorf) [2072976] - cpufreq: Add an interface to mark inefficient frequencies (Mark Langsdorf) [2072976] - cpufreq: Make policy min/max hard requirements (Mark Langsdorf) [2072976] - PM: EM: Allow skipping inefficient states (Mark Langsdorf) [2072976] - PM: EM: Extend em_perf_domain with a flag field (Mark Langsdorf) [2072976] - PM: EM: Mark inefficient states (Mark Langsdorf) [2072976] - PM: EM: Fix inefficient states detection (Mark Langsdorf) [2072976] - PM: EM: Increase energy calculation precision (Mark Langsdorf) [2072976] - cpufreq: tegra186/tegra194: Handle errors in BPMP response (Mark Langsdorf) [2072976] - cpufreq: remove useless INIT_LIST_HEAD() (Mark Langsdorf) [2072976] - cpufreq: s3c244x: add fallthrough comments for switch (Mark Langsdorf) [2072976] - cpufreq: vexpress: Drop unused variable (Mark Langsdorf) [2072976] - qede: Reduce verbosity of ptp tx timestamp (Manish Chopra) [2080656] - redhat/configs: Enable Marvell OcteonTX2 crypto device (Vladis Dronov) [2042239] - hwrng: cn10k - Enable compile testing (Vladis Dronov) [2042239] - hwrng: cn10k - Make check_rng_health() return an error code (Vladis Dronov) [2042239] - hwrng: cn10k - Optimize cn10k_rng_read() (Vladis Dronov) [2042239] - hwrng: cn10k - Add random number generator support (Vladis Dronov) [2042239] - hwrng: cavium - fix NULL but dereferenced coccicheck error (Vladis Dronov) [2042261] - hwrng: cavium - HW_RANDOM_CAVIUM should depend on ARCH_THUNDER (Vladis Dronov) [2042261] - hwrng: cavium - Check health status while reading random data (Vladis Dronov) [2042261] - crypto: octeontx2 - fix potential null pointer access (Vladis Dronov) [2041740] - crypto: octeontx2 - add firmware version in devlink info (Vladis Dronov) [2041740] - crypto: octeontx2 - simplify the return expression of otx2_cpt_aead_cbc_aes_sha_setkey() (Vladis Dronov) [2041740] - crypto: octeontx2 - fix missing unlock (Vladis Dronov) [2041740] - crypto: octeontx2 - add synchronization between mailbox accesses (Vladis Dronov) [2041740] - crypto: octeontx2 - remove CONFIG_DM_CRYPT check (Vladis Dronov) [2041740] - crypto: octeontx2 - increase CPT HW instruction queue length (Vladis Dronov) [2041740] - crypto: octeontx2 - disable DMA black hole on an DMA fault (Vladis Dronov) [2041740] - crypto: octeontx2 - CN10K CPT to RNM workaround (Vladis Dronov) [2041740] - crypto: octeontx2 - Avoid stack variable overflow (Vladis Dronov) [2041740] - crypto: octeontx2 - prevent underflow in get_cores_bmap() (Vladis Dronov) [2041740] - crypto: octeontx2 - out of bounds access in otx2_cpt_dl_custom_egrp_delete() (Vladis Dronov) [2041740] - crypto: octeontx2 - Use swap() instead of swap_engines() (Vladis Dronov) [2041740] - crypto: octeontx2 - parameters for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - add apis for custom engine groups (Vladis Dronov) [2041740] - crypto: octeontx2 - uninitialized variable in kvf_limits_store() (Vladis Dronov) [2041740] - crypto: octeontx2 - use swap() to make code cleaner (Vladis Dronov) [2041740] - crypto: octeontx2 - set assoclen in aead_do_fallback() (Vladis Dronov) [2041740] Resolves: rhbz#2072976, rhbz#2080656, rhbz#2042239, rhbz#2042261, rhbz#2041740 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-16 17:29:33 +00:00
# CONFIG_SKGE is not set
# CONFIG_SKY2 is not set
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_SLAB_FREELIST_HARDENED=y
CONFIG_SLAB_FREELIST_RANDOM=y
# CONFIG_SLAB is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
# CONFIG_SLAB_MERGE_DEFAULT is not set
# CONFIG_SLIMBUS is not set
CONFIG_SLIP_COMPRESSED=y
CONFIG_SLIP=m
# CONFIG_SLIP_MODE_SLIP6 is not set
CONFIG_SLIP_SMART=y
CONFIG_SLUB_CPU_PARTIAL=y
# CONFIG_SLUB_DEBUG_ON is not set
CONFIG_SLUB_DEBUG=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_SLUB_KUNIT_TEST=m
# CONFIG_SLUB_STATS is not set
CONFIG_SLUB=y
# CONFIG_SMARTJOYPLUS_FF is not set
# CONFIG_SMC is not set
# CONFIG_SM_FTL is not set
CONFIG_SMP=y
CONFIG_SMSC9420=m
CONFIG_SMSC_PHY=m
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_SMSC_SCH311X_WDT is not set
CONFIG_SMS_SIANO_MDTV=m
CONFIG_SMS_USB_DRV=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_AC97_POWER_SAVE_DEFAULT=0
CONFIG_SND_AC97_POWER_SAVE=y
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_AD1889 is not set
# CONFIG_SND_ALI5451 is not set
CONFIG_SND_ALOOP=m
# CONFIG_SND_ALS300 is not set
# CONFIG_SND_ALS4000 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_ASIHPI is not set
# CONFIG_SND_ATIIXP is not set
# CONFIG_SND_ATIIXP_MODEM is not set
# CONFIG_SND_ATMEL_SOC is not set
CONFIG_SND_AU8810=m
CONFIG_SND_AU8820=m
CONFIG_SND_AU8830=m
# CONFIG_SND_AW2 is not set
# CONFIG_SND_AZT3328 is not set
CONFIG_SND_BCD2000=m
# CONFIG_SND_BCM63XX_I2S_WHISTLER is not set
CONFIG_SND_BT87X=m
# CONFIG_SND_BT87X_OVERCLOCK is not set
CONFIG_SND_CA0106=m
CONFIG_SND_CMIPCI=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_COMPRESS_OFFLOAD=m
# CONFIG_SND_CS4281 is not set
CONFIG_SND_CS46XX=m
CONFIG_SND_CS46XX_NEW_DSP=y
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
CONFIG_SND_CTL_DEBUG=y
CONFIG_SND_CTL_FAST_LOOKUP=y
CONFIG_SND_CTL_INPUT_VALIDATION=y
# CONFIG_SND_CTL_VALIDATION is not set
CONFIG_SND_CTXFI=m
CONFIG_SND_DARLA20=m
CONFIG_SND_DARLA24=m
# CONFIG_SND_DEBUG_VERBOSE is not set
CONFIG_SND_DEBUG=y
# CONFIG_SND_DESIGNWARE_I2S is not set
CONFIG_SND_DRIVERS=y
CONFIG_SND_DUMMY=m
CONFIG_SND_DYNAMIC_MINORS=y
CONFIG_SND_ECHO3G=m
CONFIG_SND_EMU10K1=m
CONFIG_SND_EMU10K1X=m
CONFIG_SND_ENS1370=m
CONFIG_SND_ENS1371=m
# CONFIG_SND_ES1938 is not set
CONFIG_SND_ES1968_INPUT=y
CONFIG_SND_ES1968=m
CONFIG_SND_ES1968_RADIO=y
# CONFIG_SND_FM801 is not set
CONFIG_SND_GINA20=m
CONFIG_SND_GINA24=m
CONFIG_SND_HDA_CODEC_ANALOG=m
CONFIG_SND_HDA_CODEC_CA0110=m
CONFIG_SND_HDA_CODEC_CA0132_DSP=y
CONFIG_SND_HDA_CODEC_CA0132=m
CONFIG_SND_HDA_CODEC_CIRRUS=m
CONFIG_SND_HDA_CODEC_CMEDIA=m
CONFIG_SND_HDA_CODEC_CONEXANT=m
# CONFIG_SND_HDA_CODEC_CS8409 is not set
CONFIG_SND_HDA_CODEC_HDMI=m
CONFIG_SND_HDA_CODEC_REALTEK=m
CONFIG_SND_HDA_CODEC_SI3054=m
CONFIG_SND_HDA_CODEC_SIGMATEL=m
CONFIG_SND_HDA_CODEC_VIA=m
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_HDA_CTL_DEV_ID is not set
CONFIG_SND_HDA_GENERIC=m
CONFIG_SND_HDA_HWDEP=y
CONFIG_SND_HDA_INPUT_BEEP_MODE=0
CONFIG_SND_HDA_INPUT_BEEP=y
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_HDA_INTEL_HDMI_SILENT_STREAM=y
CONFIG_SND_HDA_INTEL=m
CONFIG_SND_HDA_PATCH_LOADER=y
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_HDA_POWER_SAVE_DEFAULT=1
CONFIG_SND_HDA_PREALLOC_SIZE=2048
CONFIG_SND_HDA_RECONFIG=y
CONFIG_SND_HDSP=m
CONFIG_SND_HDSPM=m
CONFIG_SND_HRTIMER=m
# CONFIG_SND_I2S_HI6210_I2S is not set
CONFIG_SND_ICE1712=m
CONFIG_SND_ICE1724=m
CONFIG_SND_INDIGODJ=m
CONFIG_SND_INDIGODJX=m
CONFIG_SND_INDIGOIO=m
CONFIG_SND_INDIGOIOX=m
CONFIG_SND_INDIGO=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_INTEL8X0 is not set
# CONFIG_SND_INTEL8X0M is not set
# CONFIG_SND_INTEL_BYT_PREFER_SOF is not set
CONFIG_SND_JACK_INJECTION_DEBUG=y
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_JACK=y
CONFIG_SND_KORG1212=m
CONFIG_SND_LAYLA20=m
CONFIG_SND_LAYLA24=m
CONFIG_SND_LOLA=m
CONFIG_SND_LX6464ES=m
CONFIG_SND=m
CONFIG_SND_MAESTRO3_INPUT=y
CONFIG_SND_MAESTRO3=m
CONFIG_SND_MAX_CARDS=32
CONFIG_SND_MIA=m
CONFIG_SND_MIXART=m
# CONFIG_SND_MIXER_OSS is not set
CONFIG_SND_MONA=m
CONFIG_SND_MPU401=m
CONFIG_SND_MTPAV=m
# CONFIG_SND_MTS64 is not set
# CONFIG_SND_NM256 is not set
CONFIG_SND_OSSEMUL=y
CONFIG_SND_OXYGEN=m
CONFIG_SND_PCI=y
# CONFIG_SND_PCM_OSS is not set
CONFIG_SND_PCM_XRUN_DEBUG=y
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_PCSP is not set
CONFIG_SND_PCXHR=m
# CONFIG_SND_PORTMAN2X4 is not set
CONFIG_SND_PPC=y
# CONFIG_SND_RIPTIDE is not set
CONFIG_SND_RME32=m
CONFIG_SND_RME9652=m
CONFIG_SND_RME96=m
CONFIG_SND_SEQ_DUMMY=m
CONFIG_SND_SEQ_HRTIMER_DEFAULT=y
CONFIG_SND_SEQUENCER=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SEQUENCER_OSS is not set
# CONFIG_SND_SERIAL_U16550 is not set
# CONFIG_SND_SIMPLE_CARD is not set
# CONFIG_SND_SOC_AC97_CODEC is not set
# CONFIG_SND_SOC_ADAU1372_I2C is not set
# CONFIG_SND_SOC_ADAU1372_SPI is not set
# CONFIG_SND_SOC_ADAU1701 is not set
# CONFIG_SND_SOC_ADAU1761_I2C is not set
# CONFIG_SND_SOC_ADAU1761_SPI is not set
# CONFIG_SND_SOC_ADAU7002 is not set
# CONFIG_SND_SOC_ADAU7118_HW is not set
# CONFIG_SND_SOC_ADAU7118_I2C is not set
# CONFIG_SND_SOC_ADI is not set
# CONFIG_SND_SOC_AK4104 is not set
# CONFIG_SND_SOC_AK4118 is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_SOC_AK4375 is not set
# CONFIG_SND_SOC_AK4458 is not set
# CONFIG_SND_SOC_AK4554 is not set
# CONFIG_SND_SOC_AK4613 is not set
# CONFIG_SND_SOC_AK4642 is not set
# CONFIG_SND_SOC_AK5386 is not set
# CONFIG_SND_SOC_AK5558 is not set
# CONFIG_SND_SOC_ALC5623 is not set
# CONFIG_SND_SOC_AMD_ACP3x is not set
# CONFIG_SND_SOC_AMD_ACP5x is not set
# CONFIG_SND_SOC_AMD_ACP6x is not set
# CONFIG_SND_SOC_AMD_ACP_COMMON is not set
# CONFIG_SND_SOC_AMD_ACP is not set
kernel-5.14.0-247.el9 * Fri Jan 27 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-247.el9] - powerpc/hv-gpci: Fix hv_gpci event list (Mamatha Inamdar) [2162652] - powerpc: declare unmodified attribute_group usages const (Mamatha Inamdar) [2162652] - powerpc/perf: Fix branch_filter support for multiple filters (Steve Best) [2162235] - s390/kexec: fix ipl report address for kdump (Tobias Huschle) [2161327] - RHEL: ALSA: enable AMD Pink Sardine DMIC driver (Jaroslav Kysela) [2097071] - ASoC: amd: ps: Move acp63_dev_data strcture from PCI driver (Jaroslav Kysela) [2097071] - ASoC: amd: ps: update macros with ps platform naming convention (Jaroslav Kysela) [2097071] - ASoC: amd: fix ACP version typo mistake (Jaroslav Kysela) [2097071] - ASoC: amd: fix spelling mistake: "i.e" -> "i.e." (Jaroslav Kysela) [2097071] - ASoC: amd: enable Pink sardine platform machine driver build. (Jaroslav Kysela) [2097071] - ASoC: amd: add Pink Sardine machine driver using dmic (Jaroslav Kysela) [2097071] - ASoC: amd: create platform device for acp6.2 machine driver (Jaroslav Kysela) [2097071] - ASoC: amd: enable Pink Sardine acp6.2 drivers build (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pdm driver pm ops (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pci driver pm ops (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pdm driver dma ops (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 irq handler (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 pdm platform driver (Jaroslav Kysela) [2097071] - ASoC: amd: add platform devices for acp6.2 pdm driver and dmic driver (Jaroslav Kysela) [2097071] - ASoC: amd: add acp6.2 init/de-init functions (Jaroslav Kysela) [2097071] - ASoC: amd: add Pink Sardine ACP PCI driver (Jaroslav Kysela) [2097071] - ASoC: amd: add Pink Sardine platform ACP IP register header (Jaroslav Kysela) [2097071] - arm64: defconfig: Drop ARM_CPUIDLE(generic idle driver) config (Mark Langsdorf) [2122313] - cpuidle: Add cpu_idle_miss trace event (Mark Langsdorf) [2122313] - cpuidle: cpuidle-arm: remove arm64 support (Mark Langsdorf) [2122313] - cpuidle: haltpoll: Add trace points for guest_halt_poll_ns grow/shrink (Mark Langsdorf) [2122313] - cpuidle: PSCI: Improve support for suspend-to-RAM for PSCI OSI mode (Mark Langsdorf) [2122313] Resolves: rhbz#2162652, rhbz#2162235, rhbz#2161327, rhbz#2150903, rhbz#2097071, rhbz#2122313 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-27 16:14:22 +00:00
# CONFIG_SND_SOC_AMD_PS is not set
# CONFIG_SND_SOC_AMD_PS_MACH is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_AMD_RENOIR is not set
# CONFIG_SND_SOC_AMD_RENOIR_MACH is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_AMD_RPL_ACP6x is not set
# CONFIG_SND_SOC_AMD_VANGOGH_MACH is not set
# CONFIG_SND_SOC_AMD_YC_MACH is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_SOC_AW8738 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_AW88395 is not set
# CONFIG_SND_SOC_BD28623 is not set
# CONFIG_SND_SOC_BT_SCO is not set
# CONFIG_SND_SOC_CS35L32 is not set
# CONFIG_SND_SOC_CS35L33 is not set
# CONFIG_SND_SOC_CS35L34 is not set
# CONFIG_SND_SOC_CS35L35 is not set
# CONFIG_SND_SOC_CS35L36 is not set
# CONFIG_SND_SOC_CS35L41_I2C is not set
# CONFIG_SND_SOC_CS35L41_SPI is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_CS35L45_I2C is not set
# CONFIG_SND_SOC_CS35L45_SPI is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_CS35L56_I2C is not set
# CONFIG_SND_SOC_CS35L56_SDW is not set
# CONFIG_SND_SOC_CS35L56_SPI is not set
# CONFIG_SND_SOC_CS4234 is not set
# CONFIG_SND_SOC_CS4265 is not set
# CONFIG_SND_SOC_CS4270 is not set
# CONFIG_SND_SOC_CS4271_I2C is not set
# CONFIG_SND_SOC_CS4271_SPI is not set
# CONFIG_SND_SOC_CS42L42 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_CS42L42_SDW is not set
# CONFIG_SND_SOC_CS42L51_I2C is not set
# CONFIG_SND_SOC_CS42L52 is not set
# CONFIG_SND_SOC_CS42L56 is not set
# CONFIG_SND_SOC_CS42L73 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_CS42L83 is not set
# CONFIG_SND_SOC_CS42XX8_I2C is not set
# CONFIG_SND_SOC_CS43130 is not set
# CONFIG_SND_SOC_CS4341 is not set
# CONFIG_SND_SOC_CS4349 is not set
# CONFIG_SND_SOC_CS53L30 is not set
CONFIG_SND_SOC_CX2072X=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_DA7213 is not set
# CONFIG_SND_SOC_DMIC is not set
# CONFIG_SND_SOC_ES7134 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_ES7241 is not set
# CONFIG_SND_SOC_ES8316 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_ES8326 is not set
# CONFIG_SND_SOC_ES8328_I2C is not set
# CONFIG_SND_SOC_ES8328_SPI is not set
# CONFIG_SND_SOC_FSL_ASRC is not set
# CONFIG_SND_SOC_FSL_AUDMIX is not set
# CONFIG_SND_SOC_FSL_ESAI is not set
# CONFIG_SND_SOC_FSL_MICFIL is not set
# CONFIG_SND_SOC_FSL_SAI is not set
# CONFIG_SND_SOC_FSL_SPDIF is not set
# CONFIG_SND_SOC_FSL_SSI is not set
# CONFIG_SND_SOC_FSL_XCVR is not set
# CONFIG_SND_SOC_GTM601 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_HDAC_HDA is not set
# CONFIG_SND_SOC_HDAC_HDMI is not set
# CONFIG_SND_SOC_ICS43432 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_IDT821034 is not set
# CONFIG_SND_SOC_IMG is not set
# CONFIG_SND_SOC_IMX_AUDMUX is not set
# CONFIG_SND_SOC_INNO_RK3036 is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_SOC_INTEL_AVS is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_INTEL_AVS_MACH_DA7219 is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_DMIC is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_HDAUDIO is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_I2S_TEST is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_MAX98357A is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_MAX98373 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_INTEL_AVS_MACH_MAX98927 is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_INTEL_AVS_MACH_NAU8825 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_INTEL_AVS_MACH_PROBE is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_INTEL_AVS_MACH_RT274 is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_RT286 is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_RT298 is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_RT5682 is not set
# CONFIG_SND_SOC_INTEL_AVS_MACH_SSM4567 is not set
# CONFIG_SND_SOC_INTEL_BDW_RT5650_MACH is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_INTEL_BDW_RT5677_MACH is not set
# CONFIG_SND_SOC_INTEL_BROADWELL_MACH is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_INTEL_BXT_DA7219_MAX98357A_MACH is not set
# CONFIG_SND_SOC_INTEL_BXT_RT298_MACH is not set
# CONFIG_SND_SOC_INTEL_BYT_CHT_CX2072X_MACH is not set
# CONFIG_SND_SOC_INTEL_BYT_CHT_DA7213_MACH is not set
# CONFIG_SND_SOC_INTEL_BYT_CHT_ES8316_MACH is not set
# CONFIG_SND_SOC_INTEL_BYT_CHT_NOCODEC_MACH is not set
# CONFIG_SND_SOC_INTEL_BYTCR_RT5640_MACH is not set
# CONFIG_SND_SOC_INTEL_BYTCR_RT5651_MACH is not set
# CONFIG_SND_SOC_INTEL_CATPT is not set
# CONFIG_SND_SOC_INTEL_CHT_BSW_MAX98090_TI_MACH is not set
# CONFIG_SND_SOC_INTEL_CHT_BSW_NAU8824_MACH is not set
# CONFIG_SND_SOC_INTEL_CHT_BSW_RT5645_MACH is not set
# CONFIG_SND_SOC_INTEL_CHT_BSW_RT5672_MACH is not set
# CONFIG_SND_SOC_INTEL_CML_H is not set
# CONFIG_SND_SOC_INTEL_CML_LP_DA7219_MAX98357A_MACH is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_INTEL_CML_LP is not set
# CONFIG_SND_SOC_INTEL_EHL_RT5660_MACH is not set
# CONFIG_SND_SOC_INTEL_GLK_DA7219_MAX98357A_MACH is not set
# CONFIG_SND_SOC_INTEL_GLK_RT5682_MAX98357A_MACH is not set
# CONFIG_SND_SOC_INTEL_HASWELL_MACH is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_INTEL_KBL_DA7219_MAX98357A_MACH is not set
# CONFIG_SND_SOC_INTEL_KBL_DA7219_MAX98927_MACH is not set
# CONFIG_SND_SOC_INTEL_KBL_RT5660_MACH is not set
# CONFIG_SND_SOC_INTEL_KBL_RT5663_MAX98927_MACH is not set
# CONFIG_SND_SOC_INTEL_KBL_RT5663_RT5514_MAX98927_MACH is not set
# CONFIG_SND_SOC_INTEL_SKL_HDA_DSP_GENERIC_MACH is not set
# CONFIG_SND_SOC_INTEL_SKL_NAU88L25_MAX98357A_MACH is not set
# CONFIG_SND_SOC_INTEL_SKL_NAU88L25_SSM4567_MACH is not set
# CONFIG_SND_SOC_INTEL_SKL_RT286_MACH is not set
# CONFIG_SND_SOC_INTEL_SKYLAKE_HDAUDIO_CODEC is not set
# CONFIG_SND_SOC_INTEL_SKYLAKE is not set
# CONFIG_SND_SOC_INTEL_SOF_CML_RT1011_RT5682_MACH is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SND_SOC_INTEL_SOF_CS42L42_MACH is not set
# CONFIG_SND_SOC_INTEL_SOF_DA7219_MAX98373_MACH is not set
# CONFIG_SND_SOC_INTEL_SOF_ES8336_MACH is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_INTEL_SOF_PCM512x_MACH is not set
# CONFIG_SND_SOC_INTEL_SOF_RT5682_MACH is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_SOC_INTEL_SOF_SSP_AMP_MACH is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_INTEL_SOF_WM8804_MACH is not set
# CONFIG_SND_SOC_INTEL_SOUNDWIRE_SOF_MACH is not set
# CONFIG_SND_SOC_INTEL_SST is not set
# CONFIG_SND_SOC_INTEL_SST_TOPLEVEL is not set
# CONFIG_SND_SOC_INTEL_USER_FRIENDLY_LONG_NAMES is not set
# CONFIG_SND_SOC is not set
# CONFIG_SND_SOC_LPASS_RX_MACRO is not set
# CONFIG_SND_SOC_LPASS_TX_MACRO is not set
# CONFIG_SND_SOC_LPASS_VA_MACRO is not set
# CONFIG_SND_SOC_LPASS_WSA_MACRO is not set
# CONFIG_SND_SOC_MAX9759 is not set
# CONFIG_SND_SOC_MAX98088 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_MAX98090 is not set
# CONFIG_SND_SOC_MAX98357A is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_MAX98363 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_SOC_MAX98373_I2C=m
CONFIG_SND_SOC_MAX98373=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_SOC_MAX98373_SDW=m
CONFIG_SND_SOC_MAX98390=m
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_MAX98396 is not set
# CONFIG_SND_SOC_MAX98504 is not set
# CONFIG_SND_SOC_MAX98520 is not set
# CONFIG_SND_SOC_MAX9860 is not set
# CONFIG_SND_SOC_MAX9867 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_SND_SOC_MAX98927=m
# CONFIG_SND_SOC_MSM8916_WCD_DIGITAL is not set
# CONFIG_SND_SOC_MT6351 is not set
# CONFIG_SND_SOC_MT6358 is not set
# CONFIG_SND_SOC_MT6660 is not set
# CONFIG_SND_SOC_MTK_BTCVSD is not set
# CONFIG_SND_SOC_NAU8315 is not set
# CONFIG_SND_SOC_NAU8540 is not set
# CONFIG_SND_SOC_NAU8810 is not set
# CONFIG_SND_SOC_NAU8821 is not set
# CONFIG_SND_SOC_NAU8822 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_NAU8824 is not set
# CONFIG_SND_SOC_NAU8825 is not set
# CONFIG_SND_SOC_PCM1681 is not set
# CONFIG_SND_SOC_PCM1789_I2C is not set
# CONFIG_SND_SOC_PCM179X_I2C is not set
# CONFIG_SND_SOC_PCM179X_SPI is not set
# CONFIG_SND_SOC_PCM186X_I2C is not set
# CONFIG_SND_SOC_PCM186X_SPI is not set
# CONFIG_SND_SOC_PCM3060_I2C is not set
# CONFIG_SND_SOC_PCM3060_SPI is not set
# CONFIG_SND_SOC_PCM3168A_I2C is not set
# CONFIG_SND_SOC_PCM3168A_SPI is not set
# CONFIG_SND_SOC_PCM5102A is not set
# CONFIG_SND_SOC_PCM512x_I2C is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_PCM512x is not set
# CONFIG_SND_SOC_PCM512x_SPI is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_PEB2466 is not set
# CONFIG_SND_SOC_RK3328 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_RL6231 is not set
# CONFIG_SND_SOC_RT1308 is not set
# CONFIG_SND_SOC_RT1308_SDW is not set
# CONFIG_SND_SOC_RT1316_SDW is not set
# CONFIG_SND_SOC_RT5616 is not set
# CONFIG_SND_SOC_RT5631 is not set
# CONFIG_SND_SOC_RT5659 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_RT5660 is not set
# CONFIG_SND_SOC_RT5663 is not set
# CONFIG_SND_SOC_RT5677 is not set
# CONFIG_SND_SOC_RT5677_SPI is not set
# CONFIG_SND_SOC_RT5682_SDW is not set
# CONFIG_SND_SOC_RT700_SDW is not set
# CONFIG_SND_SOC_RT711_SDCA_SDW is not set
# CONFIG_SND_SOC_RT711_SDW is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_RT712_SDCA_DMIC_SDW is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_RT715_SDCA_SDW is not set
# CONFIG_SND_SOC_RT715_SDW is not set
# CONFIG_SND_SOC_RT9120 is not set
# CONFIG_SND_SOC_SGTL5000 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SIMPLE_AMPLIFIER is not set
# CONFIG_SND_SOC_SIMPLE_MUX is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_SMA1303 is not set
CONFIG_SND_SOC_SOF_ACPI=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SOF_ALDERLAKE is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_SOF_AMD_REMBRANDT is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_SOC_SOF_AMD_TOPLEVEL is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SOF_APOLLOLAKE is not set
# CONFIG_SND_SOC_SOF_BAYTRAIL is not set
# CONFIG_SND_SOC_SOF_BROADWELL is not set
# CONFIG_SND_SOC_SOF_CANNONLAKE is not set
# CONFIG_SND_SOC_SOF_COFFEELAKE is not set
# CONFIG_SND_SOC_SOF_COMETLAKE is not set
# CONFIG_SND_SOC_SOF_ELKHARTLAKE is not set
# CONFIG_SND_SOC_SOF_GEMINILAKE is not set
# CONFIG_SND_SOC_SOF_HDA_ALWAYS_ENABLE_DMI_L1 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SOF_HDA_AUDIO_CODEC is not set
# CONFIG_SND_SOC_SOF_HDA_LINK is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
CONFIG_SND_SOC_SOF_HDA_PROBES=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SOF_ICELAKE is not set
# CONFIG_SND_SOC_SOF_INTEL_SOUNDWIRE is not set
# CONFIG_SND_SOC_SOF_INTEL_TOPLEVEL is not set
# CONFIG_SND_SOC_SOF_JASPERLAKE is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_SOF_KABYLAKE is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SOF_MERRIFIELD is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_SOF_METEORLAKE is not set
CONFIG_SND_SOC_SOF_PCI=m
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_SOF_SKYLAKE is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SOF_TIGERLAKE is not set
CONFIG_SND_SOC_SOF_TOPLEVEL=y
# CONFIG_SND_SOC_SPDIF is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_SRC4XXX_I2C is not set
# CONFIG_SND_SOC_SSM2305 is not set
kernel-5.14.0-0.rc3.29.el9 * Mon Jul 26 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc3.29] - configs/process_configs.sh: Handle config items with no help text (Patrick Talbert) - fedora: sound config updates for 5.14 (Peter Robinson) - fedora: Only enable FSI drivers on POWER platform (Peter Robinson) - The CONFIG_RAW_DRIVER has been removed from upstream (Peter Robinson) - fedora: updates for 5.14 with a few disables for common from pending (Peter Robinson) - fedora: migrate from MFD_TPS68470 -> INTEL_SKL_INT3472 (Peter Robinson) - fedora: Remove STAGING_GASKET_FRAMEWORK (Peter Robinson) - Fedora: move DRM_VMWGFX configs from ark -> common (Peter Robinson) - fedora: arm: disabled unused FB drivers (Peter Robinson) - fedora: don't enable FB_VIRTUAL (Peter Robinson) - redhat/configs: Double MAX_LOCKDEP_ENTRIES (Waiman Long) [1940075] - rpmspec: fix verbose output on kernel-devel installation (Herton R. Krzesinski) [1981406] - Build Fedora x86s kernels with bytcr-wm5102 (Marius Hoch) - Deleted redhat/configs/fedora/generic/x86/CONFIG_FB_HYPERV (Patrick Lang) - rpmspec: correct the ghost initramfs attributes (Herton R. Krzesinski) [1977056] - rpmspec: amend removal of depmod created files to include modules.builtin.alias.bin (Herton R. Krzesinski) [1977056] - configs: remove duplicate CONFIG_DRM_HYPERV file (Patrick Talbert) - CI: use common code for merge and release (Don Zickus) - rpmspec: add release string to kernel doc directory name (Jan Stancek) - redhat/configs: Add CONFIG_INTEL_PMT_CRASHLOG (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_INTEL_PMT_TELEMETRY (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_MFD_INTEL_PMT (Michael Petlan) [1880486] - redhat/configs: enable CONFIG_BLK_DEV_ZONED (Ming Lei) [1638087] - Add --with clang_lto option to build the kernel with Link Time Optimizations (Tom Stellard) - common: disable DVB_AV7110 and associated pieces (Peter Robinson) - Fix fedora-only config updates (Don Zickus) Resolves: rhbz#1638087, rhbz#1977056, rhbz#1940075 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-26 21:42:21 +00:00
# CONFIG_SND_SOC_SSM2518 is not set
# CONFIG_SND_SOC_SSM2602_I2C is not set
# CONFIG_SND_SOC_SSM2602_SPI is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_SSM4567 is not set
# CONFIG_SND_SOC_STA32X is not set
# CONFIG_SND_SOC_STA350 is not set
# CONFIG_SND_SOC_STI_SAS is not set
# CONFIG_SND_SOC_TAS2552 is not set
# CONFIG_SND_SOC_TAS2562 is not set
# CONFIG_SND_SOC_TAS2764 is not set
# CONFIG_SND_SOC_TAS2770 is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_TAS2780 is not set
# CONFIG_SND_SOC_TAS5086 is not set
# CONFIG_SND_SOC_TAS571X is not set
# CONFIG_SND_SOC_TAS5720 is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_SOC_TAS5805M is not set
# CONFIG_SND_SOC_TAS6424 is not set
# CONFIG_SND_SOC_TDA7419 is not set
# CONFIG_SND_SOC_TFA9879 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SND_SOC_TFA989X is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_SOC_TLV320ADC3XXX is not set
# CONFIG_SND_SOC_TLV320ADCX140 is not set
# CONFIG_SND_SOC_TLV320AIC23_I2C is not set
# CONFIG_SND_SOC_TLV320AIC23_SPI is not set
# CONFIG_SND_SOC_TLV320AIC31XX is not set
# CONFIG_SND_SOC_TLV320AIC32X4_I2C is not set
# CONFIG_SND_SOC_TLV320AIC32X4_SPI is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SND_SOC_TLV320AIC3X_I2C is not set
# CONFIG_SND_SOC_TLV320AIC3X_SPI is not set
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_SND_SOC_TOPOLOGY_KUNIT_TEST=m
# CONFIG_SND_SOC_TPA6130A2 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_TS3A227E is not set
# CONFIG_SND_SOC_TSCS42XX is not set
# CONFIG_SND_SOC_TSCS454 is not set
# CONFIG_SND_SOC_UDA1334 is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
CONFIG_SND_SOC_UTILS_KUNIT_TEST=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_WCD938X_SDW is not set
# CONFIG_SND_SOC_WM8510 is not set
# CONFIG_SND_SOC_WM8523 is not set
# CONFIG_SND_SOC_WM8524 is not set
# CONFIG_SND_SOC_WM8580 is not set
# CONFIG_SND_SOC_WM8711 is not set
# CONFIG_SND_SOC_WM8728 is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_WM8731_I2C is not set
# CONFIG_SND_SOC_WM8731 is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_WM8731_SPI is not set
# CONFIG_SND_SOC_WM8737 is not set
# CONFIG_SND_SOC_WM8741 is not set
# CONFIG_SND_SOC_WM8750 is not set
# CONFIG_SND_SOC_WM8753 is not set
# CONFIG_SND_SOC_WM8770 is not set
# CONFIG_SND_SOC_WM8776 is not set
# CONFIG_SND_SOC_WM8782 is not set
CONFIG_SND_SOC_WM8804_I2C=m
# CONFIG_SND_SOC_WM8804 is not set
# CONFIG_SND_SOC_WM8804_SPI is not set
# CONFIG_SND_SOC_WM8903 is not set
# CONFIG_SND_SOC_WM8904 is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_WM8940 is not set
# CONFIG_SND_SOC_WM8960 is not set
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SND_SOC_WM8961 is not set
# CONFIG_SND_SOC_WM8962 is not set
# CONFIG_SND_SOC_WM8974 is not set
# CONFIG_SND_SOC_WM8978 is not set
# CONFIG_SND_SOC_WM8985 is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SOC_WSA881X is not set
kernel-5.14.0-209.el9 * Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9] - RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537] - ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537] - ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537] - ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537] - ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537] - ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537] - ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537] - ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537] - ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537] - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537] - ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537] - ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537] - ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537] - ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537] - ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537] - ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537] - ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537] - ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537] - ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537] - ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537] - ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537] - ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537] - ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537] - ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537] - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537] - ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537] - ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537] - ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537] - ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537] - ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537] - ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537] - ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537] - ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537] - ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537] - ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537] - ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537] - ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537] - ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537] - MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537] - ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537] - ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537] - ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537] - ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537] - ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537] - ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537] - ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537] - ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537] - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537] - ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537] - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537] - ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537] - ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537] - ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537] - ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537] - ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537] - ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537] - ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537] - ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537] - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537] - ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537] - ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537] - ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537] - ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537] - ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537] - ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537] - ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537] - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537] - ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537] - ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537] - ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537] - ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537] - ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537] - ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537] - ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537] - ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537] - ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537] - ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537] - ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537] - ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537] - ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537] - ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537] - ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537] - ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537] - ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537] - ASoC: add tas2780 driver (Jaroslav Kysela) [2125537] - ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537] - ASoC: rt1016: enable building (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537] - treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537] - ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537] - ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537] - ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537] - ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537] - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537] - ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537] - ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537] - ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537] - ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537] - ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537] - ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537] - ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537] - ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537] - ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537] - treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537] - ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537] - ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537] - ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537] - ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537] - ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537] - ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537] - ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537] - ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537] - ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537] - ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537] - ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537] - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537] - ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537] - ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537] - ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537] - ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537] - ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537] - ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537] - ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537] - ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537] - ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537] - ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537] - ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537] - ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537] - ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537] - ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537] - ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537] - ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537] - ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537] - ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537] - ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537] - ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537] - ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537] - ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537] - ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537] - ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537] - ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537] - ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537] - ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537] - ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537] - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537] - ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537] - ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537] - ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537] - ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537] - ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537] - ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537] - ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537] - ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537] - ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537] - ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537] - ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537] - ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537] - ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537] - ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537] - ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537] - ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537] - ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537] - ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537] - ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537] - ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537] - ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537] - ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537] - ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537] - ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537] - ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537] - ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537] - ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537] - ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537] - ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537] - ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537] - ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537] - ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537] - ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537] - ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537] - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537] - ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537] - ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537] - ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537] - ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537] - ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537] - ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537] - ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537] - ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537] - ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537] - ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537] - ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537] - ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537] - ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537] - ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537] - Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537] - ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537] - ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537] - ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537] - ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537] - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537] - ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537] - ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537] - ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537] - ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537] - ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537] - uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537] - ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537] - ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537] - ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537] - ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537] - ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537] - ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537] - ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537] - Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537] - ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537] - ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537] - ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537] - ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537] - ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537] - ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537] - ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537] - ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537] - ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537] - ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537] - ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537] - ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537] - ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537] - ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537] - ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537] - ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537] - ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537] - ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537] - ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537] - ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537] - ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537] - ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537] - treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537] - ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537] - AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537] - ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537] - ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537] - ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537] - ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537] - ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537] - ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537] - ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537] - ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537] - ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537] - ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537] - ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537] - ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537] - ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537] - ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537] - ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537] - ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537] - ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537] - ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537] - ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537] - ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537] - ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537] - ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537] - ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537] - ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537] - ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537] - ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537] - ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537] - ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537] - ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537] - ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537] - ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537] - ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537] - ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537] - ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537] - ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537] - ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537] - ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537] - ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537] - ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537] - ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537] - ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537] - ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537] - ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537] - ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537] - ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537] - ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537] - ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537] - ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537] - ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537] - ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537] - ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537] - ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537] - ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537] - ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537] - ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537] - ASoC: topology: Correct error message (Jaroslav Kysela) [2125537] - ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537] - ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537] - ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537] - ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537] - ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537] - ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537] - ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537] - ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537] - ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537] - ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537] - ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537] - ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537] - ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537] - ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537] - ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537] - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537] - ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537] - ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537] - ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537] - ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537] - ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537] - ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537] - ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537] - ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537] - ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537] - ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537] - ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537] - ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537] - ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537] - ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537] - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537] - ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537] - ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537] - ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537] - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537] - ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537] - ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537] - ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537] - ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537] - ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537] - ASoC: doc: Update dead links (Jaroslav Kysela) [2125537] - ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537] - ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537] - ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537] - ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537] - ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537] - ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537] - ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537] - ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537] - ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537] - ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537] - ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537] - ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537] - ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537] - ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537] - tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537] - ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537] - ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537] - ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537] - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537] - ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537] - ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537] - ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537] - ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537] - ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537] - firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537] - regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537] - soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537] - soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537] - soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537] - soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537] - soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537] - soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537] - soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537] - soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537] - soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537] - soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537] - ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537] - soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537] - soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537] - soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537] - soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537] - soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537] - soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537] - soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537] - soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537] - soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537] - soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537] - ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537] - ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537] - ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537] - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537] - ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537] - ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537] - ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537] - ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537] - ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537] - ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537] - ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537] - ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537] - ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537] - ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537] - ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537] - ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537] - ALSA: control: Add input validation (Jaroslav Kysela) [2125537] - ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537] - ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537] - ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537] Resolves: rhbz#2125537 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
# CONFIG_SND_SOC_WSA883X is not set
# CONFIG_SND_SOC_XILINX_AUDIO_FORMATTER is not set
# CONFIG_SND_SOC_XILINX_I2S is not set
# CONFIG_SND_SOC_XILINX_SPDIF is not set
# CONFIG_SND_SOC_XTFPGA_I2S is not set
# CONFIG_SND_SOC_ZL38060 is not set
# CONFIG_SND_SONICVIBES is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_SPI is not set
# CONFIG_SND_SST_ATOM_HIFI2_PLATFORM_ACPI is not set
# CONFIG_SND_SST_ATOM_HIFI2_PLATFORM is not set
# CONFIG_SND_SST_ATOM_HIFI2_PLATFORM_PCI is not set
# CONFIG_SND_SUPPORT_OLD_API is not set
kernel-5.14.0-147.el9 * Wed Aug 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-147.el9] - RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default (Jaroslav Kysela) [2065578] - ASoC: SOF: make ctx_store and ctx_restore as optional (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Prevent double freeing of ipc_control_data via load_bytes (Jaroslav Kysela) [2065578] - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe (Jaroslav Kysela) [2065578] - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe (Jaroslav Kysela) [2065578] - ASoC: amd: Add support for enabling DMIC on acp6x via _DSD (Jaroslav Kysela) [2065578] - soundwire: intel: prevent pm_runtime resume prior to system suspend (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0) (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk for Fiero SC-01 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106 devices (Jaroslav Kysela) [2065578] - ASoC: cs47l15: Fix event generation for low power mux control (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Add ASP TX3/4 source to register patch (Jaroslav Kysela) [2065578] - ASoC: dapm: Initialise kcontrol data for mux/demux controls (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO error (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Correct some control names (Jaroslav Kysela) [2065578] - ASoC: wm5110: Fix DRE control (Jaroslav Kysela) [2065578] - ASoC: wm_adsp: Fix event for preloader (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering problem (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-loader: Make sure that the fw load sequence is followed (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues in probe (Jaroslav Kysela) [2065578] - ASoC: rt7*-sdw: harden jack_detect_handler (Jaroslav Kysela) [2065578] - ASoC: rt711: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_sdw: handle errors on card registration (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization (Jaroslav Kysela) [2065578] - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on remove (Jaroslav Kysela) [2065578] - ASoC: ops: Fix off by one in range control validation (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2065578] - ASoC: Intel: Skylake: Correct the ssp rate discovery in skl_get_ssp_clks() (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc221 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix headset mic for Acer SF313-51 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3 model (Jaroslav Kysela) [2065578] - ALSA: hda - Add fixup for Dell Latitidue E5430 (Jaroslav Kysela) [2065578] - ASoC: SOF: Intel: hda: Fix compressed stream position tracking (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Move and correct size checks in sof_ipc3_control_load_bytes() (Jaroslav Kysela) [2065578] - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in .set_jack_detect (Jaroslav Kysela) [2065578] - ASoC: rt711-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: rt711: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ALSA: cs46xx: Fix missing snd_card_free() call at probe error (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo L140PU (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo NS50PU (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - ALC897 headset MIC no sound (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop (Jaroslav Kysela) [2065578] - ALSA: hda/conexant: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: hda: Fix discovery of i915 graphics PCI device (Jaroslav Kysela) [2065578] - ALSA: hda/via: Fix missing beep setup (Jaroslav Kysela) [2065578] - ALSA: memalloc: Drop x86-specific hack for WC allocations (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add HW8326 support (Jaroslav Kysela) [2065578] - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID (Jaroslav Kysela) [2065578] - ASoC: es8328: Fix event generation for deemphasis control (Jaroslav Kysela) [2065578] - ASoC: cs42l51: Correct minimum value for SX volume control (Jaroslav Kysela) [2065578] - ASoC: cs42l56: Correct typo in minimum level for SX volume controls (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Correct TLV for Bypass Volume (Jaroslav Kysela) [2065578] - ASoC: cs53l30: Correct number of volume levels on SX controls (Jaroslav Kysela) [2065578] - ASoC: cs35l36: Update digital volume TLV (Jaroslav Kysela) [2065578] - ASoC: cs42l52: Fix TLV scales for mixer controls (Jaroslav Kysela) [2065578] - ASoC: Intel: cirrus-common: fix incorrect channel mapping (Jaroslav Kysela) [2065578] - ASoC: qcom: lpass-platform: Update VMA access permissions in mmap callback (Jaroslav Kysela) [2065578] - ASoC: nau8822: Add operation for internal PLL off and on (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Add quirk for HP Dev One (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Fix for quirk to enable speaker output on the Lenovo Yoga DuetITL 2021 (Jaroslav Kysela) [2065578] - ALSA: hda/conexant - Fix loopback issue with CX20632 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Set up (implicit) sync for Saffire 6 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Skip generic sync EP parse for secondary EP (Jaroslav Kysela) [2065578] - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the "Platform Clock" is not in the DAPM (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: Fixed Build error (Jaroslav Kysela) [2065578] - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up" control (Jaroslav Kysela) [2065578] - ASoC: max98090: Move check for invalid values before casting in max98090_put_enab_tlv() (Jaroslav Kysela) [2065578] - ALSA: pcm: Check for null pointer of pointer substream before dereferencing it (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after freeing it (Jaroslav Kysela) [2065578] - ASoC: cs35l41: Fix an out-of-bounds access in otp_packed_element_t (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Move generic implicit fb quirk entries into quirks.c (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add quirk bits for enabling/disabling generic implicit fb (Jaroslav Kysela) [2065578] - ASoC: amd: Add driver data to acp6x machine driver (Jaroslav Kysela) [2065578] - ASoC: rt1015p: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: max98357a: remove dependency on GPIOLIB (Jaroslav Kysela) [2065578] - ASoC: rt5645: Fix errorenous cleanup order (Jaroslav Kysela) [2065578] - ASoC: SOF: amd: add missing platform_device_unregister in acp_pci_rn_probe (Jaroslav Kysela) [2065578] - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks (Jaroslav Kysela) [2065578] - ASoC: tscs454: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2065578] - ASoC: SOF: ipc3-topology: Correct get_control_data for non bytes payload (Jaroslav Kysela) [2065578] - ASoC: dapm: Don't fold register value changes into notifications (Jaroslav Kysela) [2065578] - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408 (Jaroslav Kysela) [2065578] - ALSA: jack: Access input_dev under mutex (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Cancel pending work at closing a MIDI substream (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS (Jaroslav Kysela) [2065578] - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15 9520 laptop (Jaroslav Kysela) [2065578] - ALSA: hda/realtek - Add new type for ALC245 (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Optimize TEAC clock quirk (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Configure sync endpoints before data (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks (Jaroslav Kysela) [2065578] - ALSA: usb-audio: Workaround for clock setup on TEAC devices (Jaroslav Kysela) [2065578] - ALSA: ctxfi: Add SB046x PCI ID (Jaroslav Kysela) [2065578] - ALSA: update kernel configuration for 9.1 (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Define PLL clock inputs (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: New codec bindings (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the binding include file for the HDA header support (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: rename q6afe.h to q6dsp-lpass-ports.h (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: lpass: add binding headers for digital codecs (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: Document Microchip's PDMC (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2065572] - ALSA: spi: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ALSA: sparc: no need to initialise statics to 0 (Jaroslav Kysela) [2065572] - sound: core: Remove redundant variable and return the last statement (Jaroslav Kysela) [2065572] - sound: core: remove initialise static variables to 0 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add cs35l51/53 IDs (Jaroslav Kysela) [2065572] - ALSA: ppc: beep: fix clang -Wimplicit-fallthrough (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix 'dmasound_setup' defined but not used (Jaroslav Kysela) [2065572] - sound/oss/dmasound: fix build when drivers are mixed =y/=m (Jaroslav Kysela) [2065572] - ALSA: mips: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs (Jaroslav Kysela) [2065572] - ALSA: intel-dspconfig: add ES8336 support for CNL (Jaroslav Kysela) [2065572] - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - avoid hung task timeout in i915 wait (Jaroslav Kysela) [2065572] - ALSA: hda/i915: Fix one too many pci_dev_put() (Jaroslav Kysela) [2065572] - ALSA: hda/i915 - skip acomp init if no matching display (Jaroslav Kysela) [2065572] - ASoC: SOF: tokens: add token for Mediatek AFE (Jaroslav Kysela) [2065572] - ALSA: uapi: use C90 comment style instead of C99 style (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Add new IPC command to free trace DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: fix 32 signed bit overflow (Jaroslav Kysela) [2065572] - ASoC: SOF: add _D3_PERSISTENT flag to fw_ready message (Jaroslav Kysela) [2065572] - ipc: debug: Add shared memory heap to memory scan (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: add information on I2S/TDM link mask (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: fix kernel-doc descriptor (Jaroslav Kysela) [2065572] - ALSA: pcm: comment about relation between msbits hw parameter and [S|U]32 formats (Jaroslav Kysela) [2065572] - ALSA: hda: Add helper macros for DSP capable devices (Jaroslav Kysela) [2065572] - ALSA: cleanup double word in comment (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add support for hibernate memory retention mode (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct DSP power down (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Add Android board ACPI table handling (Jaroslav Kysela) [2065572] - mfd: arizona: Add missing statics to the of_match_tables (Jaroslav Kysela) [2065572] - mfd: arizona-spi: Split Windows ACPI init code into its own function (Jaroslav Kysela) [2065572] - Documentation: sound: fix typo in control-names.rst (Jaroslav Kysela) [2065572] - ALSA: wavefront: Proper check of get_user() error (Jaroslav Kysela) [2065572] - ALSA: sc6000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: galaxy: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4236: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ALSA: gus: Fix memory leaks at memory allocator error paths (Jaroslav Kysela) [2065572] - ALSA: gus: Fix erroneous memory allocation (Jaroslav Kysela) [2065572] - ALSA: sound/isa/gus: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ALSA: fireworks: fix wrong return count shorter than expected by 4 bytes (Jaroslav Kysela) [2065572] - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred transaction (Jaroslav Kysela) [2065572] - soundwire: qcom: use __maybe_unused for swrm_runtime_resume() (Jaroslav Kysela) [2065572] - soundwire: qcom: constify static struct qcom_swrm_data global variables (Jaroslav Kysela) [2065572] - soundwire: qcom: add in-band wake up interrupt support (Jaroslav Kysela) [2065572] - soundwire: qcom: add runtime pm support (Jaroslav Kysela) [2065572] - soundwire: stream: make enable/disable/deprepare idempotent (Jaroslav Kysela) [2065572] - soundwire: stream: sdw_stream_add_ functions can be called multiple times (Jaroslav Kysela) [2065572] - soundwire: stream: introduce sdw_slave_rt_find() helper (Jaroslav Kysela) [2065572] - soundwire: stream: separate alloc and config within sdw_stream_add_xxx() (Jaroslav Kysela) [2065572] - soundwire: stream: move list addition to sdw_slave_alloc_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: rename and move master/slave_rt_free routines (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_stream_ functions (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_slave_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: move sdw_alloc_slave_rt() before 'master' helpers (Jaroslav Kysela) [2065572] - soundwire: stream: split sdw_alloc_master_rt() in alloc and config (Jaroslav Kysela) [2065572] - soundwire: stream: simplify sdw_alloc_master_rt() (Jaroslav Kysela) [2065572] - soundwire: stream: group sdw_port and sdw_master/slave_port functions (Jaroslav Kysela) [2065572] - soundwire: stream: add 'slave' prefix for port range checks (Jaroslav Kysela) [2065572] - soundwire: stream: split alloc and config in two functions (Jaroslav Kysela) [2065572] - soundwire: stream: split port allocation and configuration loops (Jaroslav Kysela) [2065572] - soundwire: stream: add alloc/config/free helpers for ports (Jaroslav Kysela) [2065572] - soundwire: stream: simplify check on port range (Jaroslav Kysela) [2065572] - soundwire: stream: add slave runtime to list earlier (Jaroslav Kysela) [2065572] - soundwire: stream: remove unused parameter in sdw_stream_add_slave (Jaroslav Kysela) [2065572] - soundwire: intel: fix wrong register name in intel_shim_wake (Jaroslav Kysela) [2065572] - soundwire: bus: add dev_warn() messages to track UNATTACHED devices (Jaroslav Kysela) [2065572] - soundwire: intel: remove PDM support (Jaroslav Kysela) [2065572] - soundwire: intel: remove unnecessary init (Jaroslav Kysela) [2065572] - soundwire: intel: improve suspend flows (Jaroslav Kysela) [2065572] - soundwire: intel: Use auxiliary_device driver data helpers (Jaroslav Kysela) [2065572] - soundwire: qcom: remove redundant version number read (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: x86: Use standard mmap helper for Intel HDMI LPE audio (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ALSA: intel_hdmi: Check for error num after setting mask (Jaroslav Kysela) [2065572] - ALSA: intel-nhlt: add helper to detect SSP link mask (Jaroslav Kysela) [2065572] - ALSA: hda: Add snd_hdac_ext_bus_link_at() helper (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: reorder the config table (Jaroslav Kysela) [2065572] - ALSA: hda: intel-dsp-config: add JasperLake support (Jaroslav Kysela) [2065572] - ALSA: HDA: hdac_ext_stream: use consistent prefixes for variables (Jaroslav Kysela) [2065572] - ALSA: hda: Simplify DMIC-in-NHLT check (Jaroslav Kysela) [2065572] - ALSA: hda: Fill gaps in NHLT endpoint-interface (Jaroslav Kysela) [2065572] - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback (Jaroslav Kysela) [2065572] - ASoC: ops: Validate input values in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: dmaengine: Restore NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: set prepare_slave_config (Jaroslav Kysela) [2065572] - ASoC: max98090: Generate notifications on changes for custom control (Jaroslav Kysela) [2065572] - ASoC: max98090: Reject invalid values in custom control put() (Jaroslav Kysela) [2065572] - ASoC: rt9120: Correct the reg 0x09 size to one byte (Jaroslav Kysela) [2065572] - ASoC: meson: axg-card: Fix nonatomic links (Jaroslav Kysela) [2065572] - ASoC: meson: axg-tdm-interface: Fix formatters in trigger" (Jaroslav Kysela) [2065572] - ASoC: soc-ops: fix error handling (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for G12A tohdmi mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI CODEC mux (Jaroslav Kysela) [2065572] - ASoC: meson: Fix event generation for AUI ACODEC mux (Jaroslav Kysela) [2065572] - ASoC: da7219: Fix change notifications for tone generator frequency (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: fix sysclk shutdown (Jaroslav Kysela) [2065572] - ASoC: wm8958: Fix change notifications for DSP controls (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix an error handling path in (rx|tx|va)_macro_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: Add a quirk for Huawei Matebook D15 (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add a quirk for headset at mic1 port (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: support a separate gpio to control headphone (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: simplify speaker gpio naming (Jaroslav Kysela) [2065572] - ASoC: wm8731: Disable the regulator when probing fails (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: correct device endpoints for max98373 (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec is in use (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak in sof_control_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: cleanup dailinks on widget unload (Jaroslav Kysela) [2065572] - ASoC: rt711/5682: check if bus is active before deferred jack detection (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for max98360a speaker amp on SSP2 (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: fix 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Avoid NULL deref in asoc_simple_set_tdm() (Jaroslav Kysela) [2065572] - ASoC: meson: aiu: fix duplicate debugfs directory error (Jaroslav Kysela) [2065572] - ASoC: soc-core: add debugfs_prefix member to snd_soc_component_driver (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Check failure for devm_snd_soc_register_component (Jaroslav Kysela) [2065572] - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Update handling of test key registers (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Correct handling of some registers in the cache (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for boost configuration (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for setting channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Create shared function for errata patches (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move power initializations to reg_sequence (Jaroslav Kysela) [2065572] - ASoC: rt5682: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-dapm: fix two incorrect uses of list iterator (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Fix memory leak of scontrol->name (Jaroslav Kysela) [2065572] - codecs: rt5682s: fix an incorrect NULL check on list iterator (Jaroslav Kysela) [2065572] - ASoC: topology: Correct error handling in soc_tplg_dapm_widget_create() (Jaroslav Kysela) [2065572] - ASoC: atmel: Remove system clock tree configuration for at91sam9g20ek (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Fix jack_event() always return 0 (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: print the correct property name (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a new dai_get_clk topology IPC op (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add ops for setting up and tearing down pipelines (Jaroslav Kysela) [2065572] - ASoC: SOF: expose sof_route_setup() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add dai_link_fixup PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add trigger PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Define hw_params PCM op for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 PCM hw_free op (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: expose the sof_pcm_setup_connected_widgets() function (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC-specific PCM ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_ext control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add bytes_get/put control IPC ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add enum_get/put control ops for IPC3 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add switch get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add volume_get/put IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add IPC3 topology control ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC ops for kcontrol IO (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_suspend/resume IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Make sof_widget_setup/free IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: set up scheduler widget before all other widgets in the pipeline (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Add new registers included on i.MX8ULP (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Use kmemdup() to replace kzalloc + memcpy (Jaroslav Kysela) [2065572] - ASoC: ak4642: Use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: codecs: Fix misplaced lpass_macro_pds_exit call (Jaroslav Kysela) [2065572] - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call (Jaroslav Kysela) [2065572] - ASoC: amd: Fix reference to PCM buffer address (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: Add missing of_node_put() in wcd934x_codec_parse_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Fix error handling in mt8195_mt6359_rt1019_rt5682_dev_probe (Jaroslav Kysela) [2065572] - ASoC: rt1308-sdw: get calibration params after power on (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Fix error handling in pm8916_wcd_analog_spmi_probe (Jaroslav Kysela) [2065572] - ASoC: ti: Fix spelling mistake "cant" -> "can't" (Jaroslav Kysela) [2065572] - ASoC: mediatek: Fix error handling in mt8183_da7219_max98357_dev_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: Fix PM usage reference of rockchip_i2s_tdm_resume (Jaroslav Kysela) [2065572] - ASoC: samsung: i2s: check the return value of kstrdup() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove snd_sof_complete_pipeline() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make widget binding IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make control parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make DAI widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make route setup IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make effect widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make siggen widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make asrc widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make src widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mux/demux widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make mixer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make pga widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make buffer widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Make scheduler widget parsing IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC3 ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add helper function for processing tuple arrays (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: Introduce IPC ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce struct snd_sof_dai_link (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix `-Wpointer-bool-conversion` warning (Jaroslav Kysela) [2065572] - ASoC: soc-compress: Change the check for codec_dai (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over HDA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Code loading over CLDMA (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Implement CLDMA transfer (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: General code loading flow (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Dynamic firmware resources management (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Declare module configuration types (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Firmware resources management utilities (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add basefw runtime-parameter requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add ROM requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add power management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add module management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add pipeline management requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Add code loading requests (Jaroslav Kysela) [2065572] - ASoC: Intel: avs: Inter process communication (Jaroslav Kysela) [2065572] - ASoC: Intel: Introduce AVS driver (Jaroslav Kysela) [2065572] - ASoC: Export DAI register and widget ctor and dctor functions (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare() in msm8916_wcd_digital_probe (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Don't reset clock of active DAI (Jaroslav Kysela) [2065572] - ASoC: mchp-spdifrx: fix typo (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add reset controller (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove ipc_pcm_params() ops (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Convert to use the generic set_stream_data_offset ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ipc: Add hda_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: stream-ipc: Add sof_set_stream_data_offset() (Jaroslav Kysela) [2065572] - ASoC: SOF: Mark snd_sof_dsp_ops.ipc_pcm_params() callback optional (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce optional callback to configure stream data offset (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Remove sof_pcm_dsp_params() wrapper (Jaroslav Kysela) [2065572] - ASoC: SOF: Make pcm_hw_params snd_sof_dsp_ops callback IPC neutral (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Remove stale snd_sof_ipc_stream_pcm_params() declaration (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw in ADL match table (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add topology overwrite for Taniks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Use proper DMA direction for the trace data buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: compress: fix null check after dereference (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: enable DMI L1 for playback streams (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Fix spelling mistake "microchopnes" -> "microphones" (Jaroslav Kysela) [2065572] - ASoC: imx-es8328: Fix error return code in imx_es8328_probe() (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: Disable TX clock when stop (Jaroslav Kysela) [2065572] - ASoC: qcom: fix Kconfig for SC7280 (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc-msg-injector: Use devm_kzalloc() for the rx_buffer (Jaroslav Kysela) [2065572] - ASoC: fsl_spdif: keep all TxClk sources by txclk array (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Remove unneeded semicolon (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix randconfig issue (Jaroslav Kysela) [2065572] - ASoC: fsl_rpmsg: Remove SET_SYSTEM_SLEEP_PM_OPS callback (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcht_es8316: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add cfg-dmics component for UCM support (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: extend machine driver to support ES8326 codec (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add support for JD inverted quirk (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: move comment to the right place (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: log all quirks (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: use NHLT information to set dmic and SSP (Jaroslav Kysela) [2065572] - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021" (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: get codec device with ACPI instead of bus search (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: make gpio optional (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add ESSX8336 support on Cannon Lake machines (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: quirk topology filename dynamically (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: report SSP link mask to machine driver (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards (Jaroslav Kysela) [2065572] - ASoC: SOF: move definition of snd_sof_ipc to header file (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_dai IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_tokens() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Rename arguments in sof_parse_token_sets() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify signature for token parsing functions (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a tuples array to struct snd_sof_widget (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: make sof_route_load() IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: SOF: make struct snd_sof_widget IPC agnostic (Jaroslav Kysela) [2065572] - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe (Jaroslav Kysela) [2065572] - ASoC: atmel: mchp-pdmc: add PDMC driver (Jaroslav Kysela) [2065572] - ASoC: dmaengine: do not use a NULL prepare_slave_config() callback (Jaroslav Kysela) [2065572] - ASoC: rk817: Fix missing clk_disable_unprepare() in rk817_platform_probe (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: Fix error handling in mt8192_mt6359_dev_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: Add missing of_node_put() in imx8m_probe (Jaroslav Kysela) [2065572] - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare() in rockchip_i2s_probe (Jaroslav Kysela) [2065572] - ASoC: amd: acp3x: Fix signedness bug in acp3x (Jaroslav Kysela) [2065572] - ASoC: amd: acp5x-pcm-dma: Fix signedness bug (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: support wb bt audio (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel: Fix error handling in snd_proto_probe (Jaroslav Kysela) [2065572] - ASoC: SOF: change comp_dai to a pointer in struct snd_sof_dai (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: expose some get_token ops (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Modify the get_token op for string tokens (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Drop the size parameter from struct sof_topology_token (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code in sof_link_afe_load() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove redundant code (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: removed unused function (Jaroslav Kysela) [2065572] - ASoC: SOF: set swidget's core for scheduler widget (Jaroslav Kysela) [2065572] - ASoC: SOF: simplify snd_sof_device_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: remove snd_sof_pipeline_find() (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix signedness bug in acp5x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Expand firmware loading search options (Jaroslav Kysela) [2065572] - ASoC: fsi: Add check for clk_enable (Jaroslav Kysela) [2065572] - ASoC: qcom: select correct WCD938X config for SC7280 (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Remove unnecessary param (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Stabilize the combo jack detection (Jaroslav Kysela) [2065572] - ASoC: wm8350: Handle error for wm8350_register_irq (Jaroslav Kysela) [2065572] - ASoC: atmel: Add missing of_node_put() in at91sam9g20ek_audio_probe (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp3x_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Fix signedness bug in acp_pdm_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Fix signedness bug in renoir_audio_probe() (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13" (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add GPIOLIB dependency where missed (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: remove explicit dependency on GPIOLIB when DMIC is used" (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: implement 1:1 bclk:mclk ratio support (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: use DIV_ROUND_CLOSEST() to calculate divider (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Use better variable names (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: store full version instead of major/minor (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify register poking in fsl_sai_set_bclk (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: simplify irq return value (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Drop unnecessary defines (Jaroslav Kysela) [2065572] - ASoC: codecs: Add Awinic AW8738 audio amplifier driver (Jaroslav Kysela) [2065572] - ASoC: audio_graph_card2: Add support for variable slot widths (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: clarify operator precedence (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Increase ACP_HW_SEM_RETRY_COUNT value (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Move group register configuration to acp-loader (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Use semaphore register to synchronize ipc's irq (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Flush cache after ATU_BASE_ADDR_GRP register update (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Do not set ipc_pcm_params ops as it is optional (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: acp-pcm: Take buffer information directly from runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: pci-tgl: add RPL-S support (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix DSP mbox start command and global enable order (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix max number of TX channels (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix GPIO2 configuration (Jaroslav Kysela) [2065572] - ASoC: bt-sco: fix bt-sco-pcm-wb dai widget don't connect to the endpoint (Jaroslav Kysela) [2065572] - ASoC: hdac_hda: Avoid unexpected match when pcm_name is "Analog" (Jaroslav Kysela) [2065572] - ASoC: qcom: Fix error code in lpass_platform_copy() (Jaroslav Kysela) [2065572] - ASoC: soc-compress: prevent the potentially use of null pointer (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: set period_bytes_min based on maxburst (Jaroslav Kysela) [2065572] - ASoC: dwc-i2s: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: amd: use asoc_substream_to_rtd() (Jaroslav Kysela) [2065572] - ASoC: atmel_ssc_dai: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: mxs-saif: Handle errors for clk_enable (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi: add entries in ADL match table (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_ssp_amp: rename driver and support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: cirrus-common: support cs35l41 amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt1308: move rt1308 code to common module (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: create sof-realtek-common module (Jaroslav Kysela) [2065572] - ASoC: Intel: add RT1308 I2S machine driver and HDMI-in capture via I2S support. (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: fix spelling in comments (Jaroslav Kysela) [2065572] - ASoC: soc-acpi: remove sof_fw_filename (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa881x: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: es7241: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98927: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98926: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98925: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9867: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max9850: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98390: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98371: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98095: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: max98088: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda134x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: ml26124: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm512x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm186x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm1681: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: pcm3060: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: fsl: Drop unused argument from imx_pcm_dma_init() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Remove unnecessary print function dev_err() (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-i2s: Add check for clk_enable() (Jaroslav Kysela) [2065572] - ASoC: mc13783: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: isabelle: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: fix uninitialized symbol warning in machine driver (Jaroslav Kysela) [2065572] - ASoC: amd: vg: remove warnings and errors pointed out by checkpatch pl (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update DAI link name (Jaroslav Kysela) [2065572] - ASoC: amd: vg: fix for pm resume callback sequence (Jaroslav Kysela) [2065572] - ASoC: es8328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: inno_rk3036: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es8316: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: wl1273: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: es7134: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: twl4030: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: uda1380: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: si476x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: amd: pcm-dma: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: tscs454: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: tscs42xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: lm49453: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2065572] - ASoC: codecs: Add power domains support in digital macro codecs (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd-mbhc: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add simple clk stop support (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: add runtime pm support (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: setup soundwire clks correctly (Jaroslav Kysela) [2065572] - ASoC: codecs: wsa-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: codecs: tx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: move clk provider to managed variants (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: move to individual clks from bulk (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-platform: Update warning print to control excess logging (Jaroslav Kysela) [2065572] - ASoC: acp: check the return value of devm_kzalloc() in acp_legacy_dai_links_create() (Jaroslav Kysela) [2065572] - ASoC: rt5663: check the return value of devm_kzalloc() in rt5663_parse_dp() (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-priv: Drop duplicate sof_compressed_ops declaration (Jaroslav Kysela) [2065572] - ASoC: amd: Use platform_get_irq_byname() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: Make use of the helper component_compare/release_of (Jaroslav Kysela) [2065572] - ASoC: SOF: Declare sof_compress_ops in sof-priv.h (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass-sc7280: Add platform driver for lpass audio (Jaroslav Kysela) [2065572] - ASoC: qcom: Add lpass CPU driver for codec dma control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add regmap config support for codec dma driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add register definition for codec rddma and wrdma (Jaroslav Kysela) [2065572] - ASoC: qcom: Add helper function to get dma control and lpaif handle (Jaroslav Kysela) [2065572] - ASoC: qcom: lpass: Add dma fields for codec dma lpass interface (Jaroslav Kysela) [2065572] - ASoC: qcom: Move lpass_pcm_data structure to lpass header (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add DMIC machine driver ops (Jaroslav Kysela) [2065572] - ASoC: amd: acp-legacy: Add legacy card support for new machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Change card name for Guybrush Machine (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Fix buggy return value (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: Add compress_ops for SOF platform component driver (Jaroslav Kysela) [2065572] - ASoC: rt5682s: Fix the wrong jack type detected (Jaroslav Kysela) [2065572] - ASoC: Intel: soc-acpi-byt: Add new WM5102 ACPI HID (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update acp init and deinit sequence (Jaroslav Kysela) [2065572] - ASoC: amd: vg: apply sample bits pcm constraint (Jaroslav Kysela) [2065572] - ASoC: amd: vg: update platform clock control sequence (Jaroslav Kysela) [2065572] - ASoC: nau8821: enable no_capture_mute flag (Jaroslav Kysela) [2065572] - ASoC: amd: vangogh: refactor i2s master mode clock sequence code (Jaroslav Kysela) [2065572] - ASoC: sc7280: Really depends on SOUNDWIRE (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix return value of wcd934x_rx_hph_mode_put (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix kcontrol max values (Jaroslav Kysela) [2065572] - ASoC: codecs: wc938x: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: va-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing array out of bounds for enum type (Jaroslav Kysela) [2065572] - ASoC: codecs: rx-macro: fix accessing compander for aux (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: enable apll tuner (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Add topology overwrite for Felwinter (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021 (Jaroslav Kysela) [2065572] - ASoC: SOF: Replace zero-length array with flexible-array member (Jaroslav Kysela) [2065572] - ASoC: intel: skylake: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ASoC: SOF: core: unregister clients and machine drivers in .shutdown (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Update CTIA/OMTP switch control (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix the duplicated control name (Jaroslav Kysela) [2065572] - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min (Jaroslav Kysela) [2065572] - ASoC: soc-core: skip zero num_dai component in searching dai name (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: make const array rates static (Jaroslav Kysela) [2065572] - ASoC: SOF: Makefile: Fix randconfig sof-client build when SND_SOC_SOF=y (Jaroslav Kysela) [2065572] - ASoC: codec: wcd938x: Add switch control for selecting CTIA/OMTP Headset (Jaroslav Kysela) [2065572] - ASoC: codec: wm8960: complete discharge on BIAS OFF->STANDBY (Jaroslav Kysela) [2065572] - ASoC: wm8731: Delete empty remove() function (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: remove numeric PCM3168A_NUM_SUPPLIES (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor format handling (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: refactor hw_params routine (Jaroslav Kysela) [2065572] - ASoC: pcm3168a: cleanup unintuitive mask usage (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Mark snd_compress_ops static (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_wm5102: use GFP_KERNEL (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add trace caps to speaker protection FW (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Make compressed buffers optional (Jaroslav Kysela) [2065572] - ASoC: tlv320adc3xxx: Add IIR filter configuration (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Correct control read size when parsing compressed buffer (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic probe support to SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC message injector into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: Convert the generic IPC flood test into SOF client (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-client: Add support for clients not managed by pm framework (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce IPC SOF client support (Jaroslav Kysela) [2065572] - ASoC: SOF: Split up utils.c into sof-utils and iomem-utils (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Read and pass the whole message to handlers for IPC events (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum sof_dsp_power_states to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop unused DSP power states: D3_HOT and D3_COLD (Jaroslav Kysela) [2065572] - ASoC: rt5640: Remove the sysclk and sysclk_src checking (Jaroslav Kysela) [2065572] - ASoC: qcom: SC7280: Add machine driver (Jaroslav Kysela) [2065572] - ASoC: qcom: Add macro for lpass DAI id's max limit (Jaroslav Kysela) [2065572] - ASoC: qcom: Actually clear DMA interrupt register for HDMI (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hdac_ext_stream: consistent prefixes for variables/members (Jaroslav Kysela) [2065572] - ASoC: fsl_sai: Enable combine mode soft (Jaroslav Kysela) [2065572] - ASoC: SOF: compr: Add compress ops implementation (Jaroslav Kysela) [2065572] - ASoC: SOF: dma-trace: Pass pointer to params_ext struct in trace_init() (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: hda-trace: Pass the dma buffer pointer to hda_dsp_trace_prepare (Jaroslav Kysela) [2065572] - ASoC: simple-mux: Depend on gpiolib rather than selecting it (Jaroslav Kysela) [2065572] - ASoC: zl38060: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: max9759: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: simple-amplifier: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt9120: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: dmic: Remove spurious gpiolib select (Jaroslav Kysela) [2065572] - ASoC: rt5682: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5668: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: rt5682s: do not block workqueue if card is unbound (Jaroslav Kysela) [2065572] - ASoC: tas2770: Insert post reset delay (Jaroslav Kysela) [2065572] - ASoC: madera: Add dependencies on MFD (Jaroslav Kysela) [2065572] - ASoC: samsung: Explicitly include gpiolib header (Jaroslav Kysela) [2065572] - ASoC: wm8962: Allow switching between analog and digital inputs (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Add support for the R329/D1 variant (Jaroslav Kysela) [2065572] - ASoC: sun4i-i2s: Update registers for more channels (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Set gpio_spkr_en to None for max speaker amplifer in machine driver (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_range() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Fix stereo change notifications in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: max98927: add missing header file (Jaroslav Kysela) [2065572] - ASoC: ops: Check for negative values before reading them (Jaroslav Kysela) [2065572] - ASoC: cs42l51: Improve error handling in cs42l51_remove() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Do not allocate buffer for msg_data (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Drop header parameter from sof_ipc_tx_message_unlocked() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: cnl: Use pm_gate->hdr.cmd in cnl_compact_ipc_compress() (Jaroslav Kysela) [2065572] - ASoC: amd: renoir: Add check for acp configuration flags (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-legacy: Add DMIC dai link support for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add ACP init()/deinit() callback for Renoir. (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic PCI driver module for ACP device (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add PDM controller based dmic dai for Renoir (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Add generic support for PDM controller on ACP (Jaroslav Kysela) [2065572] - ASoC: rk3399_gru_sound: Wire up DP jack detection (Jaroslav Kysela) [2065572] - ASoC: rt5682: Fix deadlock on resume (Jaroslav Kysela) [2065572] - ASoC: hdmi-codec: Fix OOB memory accesses (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Move debugfs removal out of spinlock (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix DPCM lockdep warning due to nested stream locks (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: Simplify count adjustment in trace_read (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Remove link assignment limitation (Jaroslav Kysela) [2065572] - ASoC: tegra: Update AHUB driver for Tegra234 (Jaroslav Kysela) [2065572] - ASoC: fsl: Add missing error handling in pcm030_fabric_probe (Jaroslav Kysela) [2065572] - ASoC: qdsp6: q6apm-dai: only stop graphs that are started (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix return value of mixer put function (Jaroslav Kysela) [2065572] - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: fix incorrect used of portid (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Remove duplicate macros (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Add rz_ssi_set_substream() helper function (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Use a do-while loop in rz_ssi_pio_recv() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx() (Jaroslav Kysela) [2065572] - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw() (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Add new system-clock-fixed flag (Jaroslav Kysela) [2065572] - ASoC: simple-card-utils: Set sysclk on all components (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_i2s: create drvdata structure (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting (Jaroslav Kysela) [2065572] - ASoC: codecs: Check for error pointer after calling devm_regmap_init_mmio (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add 512FS MCLK clock configuration (Jaroslav Kysela) [2065572] - ASoC: amd: sof-mach: Add support for RT5682S and RT1019 card (Jaroslav Kysela) [2065572] - ASoC: soc-generic-dmaengine-pcm: separate max_buffer_size assignment (Jaroslav Kysela) [2065572] - ASoC: samsung: remove unneeded ret variable (Jaroslav Kysela) [2065572] - ASoC: codecs: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: Rename tlv320aic31xx-micbias.h as tlv320aic31xx.h (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Remove BCLK default value for tlv320aic31xx card (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add optional dt property for setting mclk-id (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Add support for platform without amplifier (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: add support for systems without i915 audio (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: Compare sdw adr directly (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: match sdw version on link_slaves_found (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make return type of rz_ssi_stream_is_valid() to bool (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop ssi parameter from rz_ssi_stream_init() (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Make the data structures available before registering the handlers (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively (Jaroslav Kysela) [2065572] - ASoC: add support for TAS5805M digital amplifier (Jaroslav Kysela) [2065572] - ASoC: topology: Optimize soc_tplg_dapm_graph_elems_load behavior (Jaroslav Kysela) [2065572] - ASoC: topology: Allow TLV control to be either read or write (Jaroslav Kysela) [2065572] - ASoC: topology: Remove superfluous error prints (Jaroslav Kysela) [2065572] - ASoC: SOF: add flag to disable IMR restore to sof_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add IMR restore support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: add SSP helper (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: use inclusive language for SSP clocks (Jaroslav Kysela) [2065572] - ASoC: amd: acp-mach: Fix Left and Right rt1019 amp devices (Jaroslav Kysela) [2065572] - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC (Jaroslav Kysela) [2065572] - ASoC: max9759: fix underflow in speaker_gain_control_put() (Jaroslav Kysela) [2065572] - ASoC: cpcap: Check for NULL pointer after calling of_get_child_by_name (Jaroslav Kysela) [2065572] - ASoC: simple-card: fix probe failure on platform component (Jaroslav Kysela) [2065572] - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple of period bytes (Jaroslav Kysela) [2065572] - ASoC: wcd9335: Keep a RX port value for each SLIM RX mux (Jaroslav Kysela) [2065572] - ASoC: amd: acp: acp-mach: Change default RT1019 amp dev id (Jaroslav Kysela) [2065572] - ASoC: topology: Fix typo (Jaroslav Kysela) [2065572] - ASoC: fsl_asrc: refine the check of available clock divider (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Add support for external GPIO jack-detect (Jaroslav Kysela) [2065572] - ASoC: Intel: bytcr_rt5640: Support retrieving the codec IRQ from the AMCR0F28 ACPI dev (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add support for boards with an external jack-detect GPIO (Jaroslav Kysela) [2065572] - ASoC: rt5640: Allow snd_soc_component_set_jack() to override the codec IRQ (Jaroslav Kysela) [2065572] - ASoC: rt5640: Change jack_work to a delayed_work (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix possible NULL pointer deref on resume (Jaroslav Kysela) [2065572] - ASoC: imx-card: improve the sound quality for low rate (Jaroslav Kysela) [2065572] - ASoC: imx-card: Fix mclk calculation issue for akcodec (Jaroslav Kysela) [2065572] - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ (Jaroslav Kysela) [2065572] - ASoC: ak4375: Fix unused function error (Jaroslav Kysela) [2065572] - ASoC: cs4265: Add a remove() function (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Add support for "toggle" preloaders (Jaroslav Kysela) [2065572] - ASoC: fsl_mqs: fix MODULE_ALIAS (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Move cs35l41_otp_unpack to shared code (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add playback support to PCM1_BE dai_link (Jaroslav Kysela) [2065572] - ASoC: rt5682: Register wclk with its parent_hws instead of parent_data (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: update control for RT5682 series (Jaroslav Kysela) [2065572] - ASoC: samsung: idma: Check of ioremap return value (Jaroslav Kysela) [2065572] - ASoC: mediatek: use of_device_get_match_data() (Jaroslav Kysela) [2065572] - ASoC: cs4265: Fix part number ID error message (Jaroslav Kysela) [2065572] - ALSA/ASoC: hda: move/rename snd_hdac_ext_stop_streams to hdac_stream.c (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Power on/off the speaker enable gpio pin based on DAPM callback. (Jaroslav Kysela) [2065572] - ASoC: remove unneeded variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: release device_node after snd_soc_register_card (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8183: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8173: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: Intel/SOF: use set_stream() instead of set_tdm_slots() for HDAudio (Jaroslav Kysela) [2065572] - ASoC/SoundWire: dai: expand 'stream' concept beyond SoundWire (Jaroslav Kysela) [2065572] - ASoC/soundwire: intel: simplify callbacks for params/hw_free (Jaroslav Kysela) [2065572] - ASOC: SOF: Intel: use snd_soc_dai_get_widget() (Jaroslav Kysela) [2065572] - ASoC: msm8916-wcd-analog: Use separate outputs for HPH_L/HPH_R (Jaroslav Kysela) [2065572] - ASoC: qcom: common: Parse "pin-switches" and "widgets" from DT (Jaroslav Kysela) [2065572] - ASoC: core: Add snd_soc_of_parse_pin_switches() from simple-card-utils (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8192-mt6359: fix device_node leak (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: Fix missing clk_disable_unprepare() on error in adc3xxx_i2c_probe() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Use DEBUG log level for optional prints (Jaroslav Kysela) [2065572] - ASoC: SOF: Add clarifying comments for sof_core_debug and DSP dump flags (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename snd_sof_get_status() and add kernel log level parameter (Jaroslav Kysela) [2065572] - ASoC: SOF: dsp_arch_ops: add kernel log level parameter for oops and stack (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Always print DSP Panic message but use different message (Jaroslav Kysela) [2065572] - ASoc: SOF: core: Update the FW boot state transition diagram (Jaroslav Kysela) [2065572] - ASoC: SOF: pm: Force DSP off on suspend in BOOT_FAILED state also (Jaroslav Kysela) [2065572] - ASoC: SOF: Set SOF_FW_BOOT_FAILED in case we have failure during boot (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Only allow sending of an IPC in SOF_FW_BOOT_COMPLETE state (Jaroslav Kysela) [2065572] - ASoC: SOF: Rename 'enum snd_sof_fw_state' to 'enum sof_fw_state' (Jaroslav Kysela) [2065572] - ASoC: SOF: Move the definition of enum snd_sof_fw_state to global header (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_BOOT_READY_OK (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce new firmware state: SOF_FW_CRASHED (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a 'message' parameter to snd_sof_dsp_dbg_dump() (Jaroslav Kysela) [2065572] - ASoC: SOF: Add 'non_recoverable' parameter to snd_sof_dsp_panic() (Jaroslav Kysela) [2065572] - ASoC: SOF: Use sof_debug_check_flag() instead of sof_core_debug directly (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Add simple wrapper to check flags in sof_core_debug (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-loader: Avoid re-defining the HDA_FW_BOOT_ATTEMPTS (Jaroslav Kysela) [2065572] - ASoC: SOF: ops: Use dev_warn() if the panic offsets differ (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4375: Change invert controls to a stereo switch (Jaroslav Kysela) [2065572] - ASoC: Add AK4375 support (Jaroslav Kysela) [2065572] - ASoC: bcm: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: xlnx: Use platform_get_irq() to get the interrupt (Jaroslav Kysela) [2065572] - ASoC: amd: acp: Remove duplicate dependency in Kconfig (Jaroslav Kysela) [2065572] - ASoC: qdsp6: fix a use after free bug in open() (Jaroslav Kysela) [2065572] - ASoC: SOF: AMD: simplify return status handling (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Update sof_tplg_filename for SOF machines (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Enable SOF audio for Google chrome boards. (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195-mt6359: reduce log verbosity in probe() (Jaroslav Kysela) [2065572] - ASoC: codec: tlv320adc3xxx: New codec driver (Jaroslav Kysela) [2065572] - ASoC: sunxi: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: stm: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: samsung: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: rockchip: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: qcom: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: mxs: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: meson: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: img: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: generic: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: fsl: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ti: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: ateml: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: tlv320aic31xx: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ssm2305: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-mux: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: simple-amplifier: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: sgtl5000: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: pcm3168a: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9860: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: max9759: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: es7241: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: codecs: ak4118: Use dev_err_probe() helper (Jaroslav Kysela) [2065572] - ASoC: sh: rz-ssi: Check return value of pm_runtime_resume_and_get() (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Streamline locals declaration for PCM-functions (Jaroslav Kysela) [2065572] - ASoC: Intel: catpt: Reduce size of catpt_component_open() (Jaroslav Kysela) [2065572] - ASoC: tegra20: i2s: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Filter out unsupported rates (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support system suspend (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Reset hardware (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Use more resource-managed helpers (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Improve driver's code (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Support device-tree (Jaroslav Kysela) [2065572] - ASoC: tegra20: spdif: Set FIFO trigger level (Jaroslav Kysela) [2065572] - ASoC: SOF: Kconfig: Make the SOF_DEVELOPER_SUPPORT depend on SND_SOC_SOF (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Add null pointer check for substream->runtime (Jaroslav Kysela) [2065572] - ASoC: SOF: avoid casting "const" attribute away (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME in platform trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: remove support for RESUME trigger (Jaroslav Kysela) [2065572] - ASoC: tegra20-spdif: stop setting slave_id (Jaroslav Kysela) [2065572] - ASoC: AMD: fix depend/select mistake on SND_AMD_ACP_CONFIG (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: read back control data from DSP (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_type parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: control: Do not handle control notification with component type (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-audio: Drop the `cmd` member from struct snd_sof_control (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ctrl_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Set control_data->cmd alongside scontrol->cmd (Jaroslav Kysela) [2065572] - ASoC: SOF: Drop ipc_cmd parameter for snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: SOF: ipc: Rename send parameter in snd_sof_ipc_set_get_comp_data() (Jaroslav Kysela) [2065572] - ASoC: rt5663: Handle device_property_read_u32_array error codes (Jaroslav Kysela) [2065572] - ASoC: SOF: OF: Avoid reverse module dependency (Jaroslav Kysela) [2065572] - ASoC: qdsp6: Fix an IS_ERR() vs NULL bug (Jaroslav Kysela) [2065572] - ASoC: qcom: Distinguish headset codec by codec_dai->name (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: fix BE handling of PAUSE_RELEASE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: test refcount before triggering (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: serialize BE triggers (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: Fix and cleanup DPCM locking (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: align BE 'atomicity' with that of the FE (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: use GFP_ATOMIC for dpcm structure (Jaroslav Kysela) [2065572] - ASoC: mediatek: assign correct type to argument (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-probes: Constify sof_probe_compr_ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Remove pm_runtime_put_autosuspend() for SOF OF device (Jaroslav Kysela) [2065572] - ASoC: qcom: apq8016_sbc: Allow routing audio through QDSP6 (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add comment on JasperLake support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-dai: remove unused fields (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position information (Jaroslav Kysela) [2065572] - ASoC: SOF: hda-stream: only enable DPIB if needed (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-ctrl: apply symmetry for DPIB (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda-stream: limit PROCEN workaround (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: ICL: move ICL-specific ops to icl.c (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - ASoC: amd: Convert to new style DAI format definitions (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: silence uninitialized variable warning (Jaroslav Kysela) [2065572] - ASoC: AMD: acp-config: fix missing dependency on SND_SOC_ACPI (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_rt5682: Move rt1015 speaker amp to common file (Jaroslav Kysela) [2065572] - ASoC: rt5682s: add delay time to fix pop sound issue (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add 'static' qualifiers for max98390 routes (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add sof support on mt8195-mt6359-rt1019-rt5682 (Jaroslav Kysela) [2065572] - ASoC: amd: acp6x-pdm-dma: Constify static snd_soc_dai_ops (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Remove unnecessary conditional (Jaroslav Kysela) [2065572] - ASoC: ti: davinci-mcasp: Get rid of duplicate of_node assignment (Jaroslav Kysela) [2065572] - ASoC: zl38060: Setup parent device and get rid of unnecessary of_node assignment (Jaroslav Kysela) [2065572] - ASoC: test-component: fix null pointer dereference. (Jaroslav Kysela) [2065572] - sound/soc: remove useless bool conversion to bool variable (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: add headset codec rt5682s support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Add missing Kconfig option for tlv320aic31xx (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: support reserved memory assignment (Jaroslav Kysela) [2065572] - ASoC: rt5640: Fix the wrong state of the JD in the HDA header (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd934x: remove redundant ret variable (Jaroslav Kysela) [2065572] - ASoC: tegra: Add master volume/mute control support (Jaroslav Kysela) [2065572] - ASoC: Intel: Skylake: Use NHLT API to search for blob (Jaroslav Kysela) [2065572] - ASoC: Intel: boards: add max98390 2/4 speakers support (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: make several arrays static const (Jaroslav Kysela) [2065572] - ASoC: intel: boards: bytcht*: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: Intel: hda_dsp_common: don't multiline PCM topology warning (Jaroslav Kysela) [2065572] - ASoC: mediatek: mt8195: Constify static snd_soc_ops (Jaroslav Kysela) [2065572] - ASoC: sunxi: sun4i-spdif: Implement IEC958 control (Jaroslav Kysela) [2065572] - ASoC: qcom: Add support for ALC5682I-VS codec (Jaroslav Kysela) [2065572] - ASoC: sun8i-codec: Add AIF, ADC, and DAC volume controls (Jaroslav Kysela) [2065572] - ASoC: soc-pcm: tidyup soc_pcm_pointer()'s delay update method (Jaroslav Kysela) [2065572] - ASoC: intel: sst-mfld-platform-pcm: add .delay support (Jaroslav Kysela) [2065572] - ASoC: amd: acp-pcm-dma: add .delay support (Jaroslav Kysela) [2065572] - ASoC: soc-component: add snd_soc_pcm_component_delay() (Jaroslav Kysela) [2065572] - ASoC: soc-dai: update snd_soc_dai_delay() to snd_soc_pcm_dai_delay() (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Use %%pR/%%pa to print resources/physical addresses (Jaroslav Kysela) [2065572] - ASoC: codecs/jz4770: Add missing gain control after DAC/ADC mixer (Jaroslav Kysela) [2065572] - ASoC: imx-hdmi: add put_device() after of_find_device_by_node() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: send DAI_CONFIG IPC during pause (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: dai: Expand DAI_CONFIG IPC flags (Jaroslav Kysela) [2065572] - ASoC: SOF: align the hw_free sequence with stop (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: move the check for prepared flag (Jaroslav Kysela) [2065572] - ASoC: SOF: Add a helper for freeing PCM stream (Jaroslav Kysela) [2065572] - ASoC: SOF: call platform hw_free for paused streams during suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: invoke platform hw_free for STOP/SUSPEND triggers (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: reset stream before coupling host and link DMA's (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: Add a helper function for stream reset (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: clear stream before freeing the DAI widget (Jaroslav Kysela) [2065572] - ASoC: rt5640: Add the HDA header support (Jaroslav Kysela) [2065572] - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list instead (Jaroslav Kysela) [2065572] - ASoC: uniphier: drop selecting non-existing SND_SOC_UNIPHIER_AIO_DMA (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add missing of_node_put() in platform_parse_resource() (Jaroslav Kysela) [2065572] - ASoC: mediatek: remove unnecessary CONFIG_PM (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: don't use list_for_each_entry_reverse() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: add .ack support for HDaudio platforms (Jaroslav Kysela) [2065572] - ASoC: SOF: pcm: add .ack callback support (Jaroslav Kysela) [2065572] - ASoC: fsl-asoc-card: Support fsl,imx-audio-tlv320aic31xx codec (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Handle BCLK set as PLL input configuration (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add divs for bclk as clk_in (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Add support for pll_r coefficient (Jaroslav Kysela) [2065572] - ASoC: tlv320aic31xx: Fix typo in BCLK clock name (Jaroslav Kysela) [2065572] - ASoC: SOF: sof-pci-dev: use community key on all Up boards (Jaroslav Kysela) [2065572] - ASoC: stm32: spdifrx: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: stm32: dfsdm: add pm_runtime support for audio (Jaroslav Kysela) [2065572] - ASoC: stm32: i2s: add pm_runtime support (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: free DAI widget during stop and suspend (Jaroslav Kysela) [2065572] - ASoC: SOF: add support for dynamic pipelines with multi-core (Jaroslav Kysela) [2065572] - ASoC: SOF: hda: don't use the core op for power up/power down (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: remove sof_load_pipeline_ipc() (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: CNL/ICL/APL: set core_get/core_put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: TGL: set core_get/put ops (Jaroslav Kysela) [2065572] - ASoC: SOF: Add ops for core_get and core_put (Jaroslav Kysela) [2065572] - ASoC: SOF: Introduce num_cores and ref count per core (Jaroslav Kysela) [2065572] - ASoC: SOF: Intel: hda: expose get_chip_info() (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement reset callback (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Implement DSP start (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8m: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx8: Add runtime PM / System PM support (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Add code to manage DSP related clocks (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Remove useless condition check (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add DSP system PM callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 dsp clock support (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add dai driver dsp ops callback for mt8195 (Jaroslav Kysela) [2065572] - ASoC: SOF: Add mt8195 device descriptor (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add fw loader and mt8195 dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for Mediatek AFE DAI (Jaroslav Kysela) [2065572] - ASoC: SOF: mediatek: Add mt8195 hardware support (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Fix overrun of unterminated control name string (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clear core reset for cache (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Move lockdep asserts to avoid potential null pointer (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Allow creation of event controls (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add offset to cs_dsp read/write (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Clarify some kernel doc comments (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Perform NULL check in cs_dsp_coeff_write/read_ctrl (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add support for rev 2 coefficient files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Print messages from bin files (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add pre_run callback (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add version checks on coefficient loading (Jaroslav Kysela) [2065572] - firmware: cs_dsp: Add lockdep asserts to interface functions (Jaroslav Kysela) [2065572] - firmware: cs_dsp: tidy includes in cs_dsp.c and cs_dsp.h (Jaroslav Kysela) [2065572] - ASoC: wm_adsp: Remove the wmfw_add_ctl helper function (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add support for SOF firmware authentication (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add trace logger support (Jaroslav Kysela) [2065572] - ASoC: SOF: topology: Add support for AMD ACP DAIs (Jaroslav Kysela) [2065572] - ASoC: amd: acp-config: Remove legacy acpi based machine struct (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir PCI driver interface (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add machine driver dsp ops for Renoir platform (Jaroslav Kysela) [2065572] - ASoC: amd: Add module to determine ACP configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add PCM stream callback for Renoir dai's (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add dai driver dsp ops callback for Renoir (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add IPC support for ACP IP block (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add fw loader and renoir dsp ops to load firmware (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add helper callbacks for ACP's DMA configuration (Jaroslav Kysela) [2065572] - ASoC: SOF: amd: Add Renoir ACP HW support (Jaroslav Kysela) [2065572] - ASoC: stm32: sai: increase channels_max limit (Jaroslav Kysela) [2065572] - ASoC: SOF: debug: Add support for IPC message injection (Jaroslav Kysela) [2065572] - ASoC: SOF: intel: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: imx: Use the generic helper to get the reply (Jaroslav Kysela) [2065572] - ASoC: SOF: utils: Add generic function to get the reply for a tx message (Jaroslav Kysela) [2065572] - ASoC: SOF: i.MX: simplify Kconfig (Jaroslav Kysela) [2065572] - ASoC: qcom: sdm845: only setup slim ports once (Jaroslav Kysela) [2065572] - ASoC: codecs: MBHC: Add support for special headset (Jaroslav Kysela) [2065572] - ASoC: SOF: core: Unregister machine driver before IPC and debugfs (Jaroslav Kysela) [2065572] - ASoC: adau1701: Replace legacy gpio interface for gpiod (Jaroslav Kysela) [2065572] - ASoC: Intel: add sof-nau8825 machine driver (Jaroslav Kysela) [2065572] - ASoC: codecs: Axe some dead code in 'wcd_mbhc_adc_hs_rem_irq()' (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: add link adr order check (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove get_next_be_id (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove sof_sdw_mic_codec_mockup_init (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: remove SOF_RT715_DAI_ID_FIX quirk (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: move DMIC link id overwrite to create_sdw_dailink (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: Use a fixed DAI link id for AMP (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: rename be_index/link_id to link_index (Jaroslav Kysela) [2065572] - ASoC: Intel: sof_sdw: add SKU for Dell Latitude 9520 (Jaroslav Kysela) [2065572] - ASoC: intel: sof_sdw: return the original error number (Jaroslav Kysela) [2065572] - ASoC: SOF: trace: send DMA_TRACE_FREE IPC during release (Jaroslav Kysela) [2065572] - ASoC: SOF: IPC: update ipc_log_header() (Jaroslav Kysela) [2065572] - ASoC: codecs: tfa989x: Add support for tfa9897 optional rcv-gpios (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Restore Rane SL-1 quirk (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't get sample rate for MCT Trigger 5 USB-to-HDMI (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Clear MIDI port active flag after draining (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX. (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Limit max buffer and period sizes per time (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Increase max buffer size (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Cap upper limits of buffer/period bytes for implicit fb (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Fix undefined behavior due to shift overflowing the constant (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Add mute TLV for playback volumes on RODE NT-USB (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Add support for the internal "standalone" switch (Jaroslav Kysela) [2065572] - ALSA: scarlett2: Split scarlett2_config_items[] into 3 sections (Jaroslav Kysela) [2065572] - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Don't abort resume upon errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio FastTrack Ultra (Jaroslav Kysela) [2065572] - ALSA: usb-audio: remove redundant assignment to variable c (Jaroslav Kysela) [2065572] - ALSA: usb-audio: Correct quirk for VF0770 (Jaroslav Kysela) [2065572] - ALSA: usb-audio: initialize variables that could ignore errors (Jaroslav Kysela) [2065572] - ALSA: usb-audio: scarlett2: Use struct_size() helper in scarlett2_usb() (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machine (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for TongFang devices with pop noise (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for the Framework Laptop (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Dell Latitude 7520 (Jaroslav Kysela) [2065572] - ALSA: hda - fix unused Realtek function when PM is not enabled (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41 s-codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook 845/865 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add HDMI codec VID for Raptorlake-P (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: fix warning about PCM count when used with SOF (Jaroslav Kysela) [2065572] - ALSA: nm256: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: rme9652: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdspm: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hdsp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: oxygen: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lx6464es: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cmipci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: aw2: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als300: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: lola: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: bt87x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sis7019: Fix the missing error handling (Jaroslav Kysela) [2065572] - ALSA: via82xx: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: sonicvibes: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme96: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: rme32: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: riptide: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: maestro3: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: korg1212: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: intel8x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ice1724: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: fm801: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1968: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: es1938: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ens137x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs5535audio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: cs4281: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ca0106: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: azt3328: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: au88x0: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: atiixp: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: als4000: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ali5451: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: ad1889: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: echoaudio: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (Jaroslav Kysela) [2065572] - ALSA: hda: Avoid unsol event during RPM suspending (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020 (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Dolphin HW variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Disable HSBIAS_SENSE_EN for Cyborg (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Support new Warlock MLK Variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Full Scale Volume setting for all variants (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Re-order quirk table into ascending order (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Fix Warlock to use mono mic configuration (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Enable headset mic on Lenovo P360 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add mute and micmut LED support for Zbook Fury 17 G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add alc256-samsung-headphone fixup (Jaroslav Kysela) [2065572] - ALSA: pci: fix reading of swapped values from pcmreg in AC97 codec (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix LED on Zbook Studio G9 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for HP machines (Jaroslav Kysela) [2065572] - ALSA: cmipci: Restore aux vol on suspend/resume (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GA402 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek - Fix headset mic problem for a HP machine with alc671 (Jaroslav Kysela) [2065572] - ALSA: hda: Add AlderLake-PS variant PCI ID (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (Jaroslav Kysela) [2065572] - ALSA: lola: add a check for the return of vmalloc() (Jaroslav Kysela) [2065572] - ALSA: echoaudio: remove redundant assignment to variable bytes (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Make use of the helper component_compare_dev_name (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Update scratch reg. communication (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Hardcode GCAP ISS value on T234 (Jaroslav Kysela) [2065572] - ALSA: hda/tegra: Add Tegra234 hda driver support (Jaroslav Kysela) [2065572] - ALSA: hda: Expose codec cleanup and power-save functions (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose codec register procedures (Jaroslav Kysela) [2065572] - ALSA: hda: Update and expose snd_hda_codec_device_init() (Jaroslav Kysela) [2065572] - ALSA: hda/hdmi: add keep-alive support for ADL-P and DG2 (Jaroslav Kysela) [2065572] - ALSA: hda: Set max DMA segment size (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix deadlock by COEF mutex (Jaroslav Kysela) [2065572] - ALSA: hda: Fix missing codec probe on Shenker Dock 15 (Jaroslav Kysela) [2065572] - ALSA: hda: Fix regression on forced probe mask option (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019 (Jaroslav Kysela) [2065572] - ALSA: hda: Add PCI and HDMI IDs for Intel Raptor Lake (Jaroslav Kysela) [2065572] - ALSA: ca0106: Rename register macro names (Jaroslav Kysela) [2065572] - ALSA: hda: Fix driver index handling at re-binding (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for HP Laptops (Jaroslav Kysela) [2065572] - ALSA: hda: Skip codec shutdown in case the codec is not registered (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add quirk for ASUS GU603 (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus Xtreme after reboot from Windows (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus Master (newer chipset) (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte X570 ALC1220 quirks (Jaroslav Kysela) [2065572] - ALSA: hda: realtek: Fix race at concurrent COEF updates (Jaroslav Kysela) [2065572] - ALSA: hda: Fix signedness of sscanf() arguments (Jaroslav Kysela) [2065572] - ALSA: hda: Fix UAF of leds class devs at unbinding (Jaroslav Kysela) [2065572] - ALSA: hda/cs8409: Add new Warlock SKUs to patch_cs8409 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make cs35l41_hda_remove() return void (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Tidyup code (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Make use of the helper function dev_err_probe() (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add missing default cases (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Move cs35l41* calls to its own symbol namespace (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add calls to newly added test key function (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Avoid overwriting register patch (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: fix speakers and micmute on HP 855 G8 (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: fix double free on error in probe() (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependencies of CS35L41 on SPI/I2C buses (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Convert tables to shared source code (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix undefined reference to core functions (Jaroslav Kysela) [2065572] - ASoC: cs35l41: Fix link problem (Jaroslav Kysela) [2065572] - ASoC: cs35l41: DSP Support (Jaroslav Kysela) [2065572] - ALSA: hda: Fix dependency on ASoC cs35l41 codec (Jaroslav Kysela) [2065572] - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker quirk (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add CS35L41 support for Thinkpad laptops (Jaroslav Kysela) [2065572] - ALSA: hda/realtek: Add support for Legion 7 16ACHg6 laptop (Jaroslav Kysela) [2065572] - ALSA: hda: cs35l41: Add support for CS35L41 in HDA systems (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Convert binding to yaml (Jaroslav Kysela) [2065572] - ASoC: dt-bindings: cs42l42: Correct description of ts-inv (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add warnings about DETECT_MODE and PLL_START (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Handle system suspend (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Change jack_detect_mutex to a lock of all IRQ handling (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Report full jack status when plug is detected (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant pll_divout member (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Simplify reporting of jack unplug (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to RS_PLUG/RS_UNPLUG masks (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Remove redundant writes to DETECT_MODE (Jaroslav Kysela) [2065572] - ASoC: cs42l42: Add control for audio slow-start switch (Jaroslav Kysela) [2065572] - ALSA: mixart: Add sanity check for timer notify streams (Jaroslav Kysela) [2065572] - ALSA: mixart: Reduce size of mixart_timer_notify (Jaroslav Kysela) [2065572] - ALSA: mtpav: Don't call card private_free at probe error path (Jaroslav Kysela) [2065572] - ALSA: virmidi: Remove duplicated code (Jaroslav Kysela) [2065572] - ALSA: memalloc: Add fallback SG-buffer allocations for x86 (Jaroslav Kysela) [2065572] - ALSA: core: Add snd_card_free_on_error() helper (Jaroslav Kysela) [2065572] - ALSA: pcm: Test for "silence" field in struct "pcm_format_data" (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and mmap_lock (Jaroslav Kysela) [2065572] - ALSA: pcm: Add stream lock during PCM reset ioctl operations (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prealloc proc writes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent prepare and hw_params/hw_free calls (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent read/write and buffer changes (Jaroslav Kysela) [2065572] - ALSA: pcm: Fix races among concurrent hw_params and hw_free calls (Jaroslav Kysela) [2065572] - ALSA: oss: Release temporary buffers upon errors (Jaroslav Kysela) [2065572] - ALSA: oss: Fix PCM OSS buffer allocation overflow (Jaroslav Kysela) [2065572] - ALSA: core: Fix typo in 'PCM Timer Interface' help (Jaroslav Kysela) [2065572] - ALSA: seq: oss: fix typo (Jaroslav Kysela) [2065572] - ALSA: seq: oss: use kzalloc (Jaroslav Kysela) [2065572] - ALSA: memalloc: invalidate SG pages before sync (Jaroslav Kysela) [2065572] - ALSA: memalloc: Fix dma_need_sync() checks (Jaroslav Kysela) [2065572] - ALSA: core: Simplify snd_power_ref_and_wait() with the standard macro (Jaroslav Kysela) [2065572] - ALSA: seq: virmidi: Add a drain operation (Jaroslav Kysela) [2065572] - ASoC: dai_dma: remove slave_id field (Jaroslav Kysela) [2065572] - ALSA: seq: Set upper limit of processed events (Jaroslav Kysela) [2065572] - ALSA: oss: remove useless NULL check before kfree (Jaroslav Kysela) [2065572] - ALSA: pcm: introduce INFO_NO_REWINDS flag (Jaroslav Kysela) [2065572] - ALSA: pcm: unconditionally check if appl_ptr is in 0..boundary range (Jaroslav Kysela) [2065572] Resolves: rhbz#2065578, rhbz#2065572 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-17 13:14:27 +00:00
# CONFIG_SND_TEST_COMPONENT is not set
CONFIG_SND_TRIDENT=m
CONFIG_SND_USB_6FIRE=m
CONFIG_SND_USB_AUDIO=m
CONFIG_SND_USB_CAIAQ_INPUT=y
CONFIG_SND_USB_CAIAQ=m
CONFIG_SND_USB_HIFACE=m
CONFIG_SND_USB_PODHD=m
CONFIG_SND_USB_POD=m
CONFIG_SND_USB_TONEPORT=m
CONFIG_SND_USB_UA101=m
CONFIG_SND_USB_US122L=m
CONFIG_SND_USB_USX2Y=m
CONFIG_SND_USB_VARIAX=m
CONFIG_SND_USB=y
CONFIG_SND_VERBOSE_PRINTK=y
CONFIG_SND_VERBOSE_PROCFS=y
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_VIA82XX is not set
# CONFIG_SND_VIA82XX_MODEM is not set
CONFIG_SND_VIRMIDI=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_SND_VIRTIO=m
CONFIG_SND_VIRTUOSO=m
CONFIG_SND_VX222=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SND_X86 is not set
# CONFIG_SND_XEN_FRONTEND is not set
# CONFIG_SND_YMFPCI is not set
# CONFIG_SOC_BRCMSTB is not set
# CONFIG_SOCIONEXT_SYNQUACER_PREITS is not set
# CONFIG_SOC_TI is not set
CONFIG_SOFTLOCKUP_DETECTOR=y
CONFIG_SOFT_WATCHDOG=m
CONFIG_SOLARIS_X86_PARTITION=y
# CONFIG_SONY_FF is not set
CONFIG_SOUND=m
CONFIG_SOUND_OSS_CORE_PRECLAIM=y
kernel-5.14.0-335.el9 * Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9] - r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265] - r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265] - r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265] - r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265] - cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265] - r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265] - net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265] - r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265] - r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265] - r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265] - USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265] - Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265] - r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265] - r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265] - net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265] - net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265] - r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265] - udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518] - fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730] - fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730] - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730] - xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730] - fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730] - xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730] - fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730] - fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730] - fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730] - fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730] - xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730] - xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730] - mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730] - mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730] - xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730] - xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730] - xfs: add dax dedupe support (Bill O'Donnell) [2192730] - xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730] - fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730] - fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730] - fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730] - fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730] - fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730] - fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730] - xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730] - mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730] - fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730] - pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730] - dax: introduce holder for dax_device (Bill O'Donnell) [2192730] - Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966] - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966] - net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966] - tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966] - net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966] - ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966] - ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848] - soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848] - soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848] - ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848] - ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848] - ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848] - ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848] - ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848] - ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848] - regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848] - ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848] - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848] - ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848] - ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848] - ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848] - ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848] - ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848] - ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848] - ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848] - tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848] - ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848] - ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848] - ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848] - ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848] - ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848] - ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848] - ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848] - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848] - ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848] - ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848] - ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848] - ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848] - ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848] - ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848] - ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848] - ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848] - ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848] - ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848] - ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848] - ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848] - ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848] - ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848] - ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848] - ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848] - ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848] - ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848] - ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848] - ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848] - ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848] - ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848] - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848] - ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848] - ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848] - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848] - ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848] - ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848] - ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848] - ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848] - ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848] - ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848] - ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848] - ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848] - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848] - ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848] - ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848] - Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848] - ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848] - ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848] - ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848] - ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848] - ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848] - ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848] - ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848] - ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848] - ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848] - ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848] - ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848] - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848] - ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848] - ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848] - ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848] - ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848] - ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848] - ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848] - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848] - ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848] - ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848] - ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848] - soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848] - soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848] - soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848] - soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848] - soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848] - soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848] - ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848] - ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848] - soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848] - soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848] - soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848] - soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848] - soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848] - soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848] - soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848] - soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848] - ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848] - ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848] - ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848] - ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848] - ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848] - ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848] - soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848] - ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848] - ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848] - soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848] - soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848] - soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848] - soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848] - soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848] - soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848] - soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848] - soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848] - soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848] - soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848] - soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848] - soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848] - soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848] - soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848] - soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848] - soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848] - ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848] - ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848] - ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848] - ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848] - ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848] - ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848] - ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848] - ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848] - ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848] - ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848] - ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848] - ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848] - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848] - ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848] - ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848] - ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848] - dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848] - ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848] - ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848] - ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848] - ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848] - ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848] - ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848] - ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848] - ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848] - ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848] - ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848] - ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848] - ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848] - ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848] - soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848] - soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848] - soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848] - ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848] - ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848] - ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848] - ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848] - dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848] - powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848] - soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848] - dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848] - ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848] - ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848] - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848] - ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848] - ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848] - ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848] - ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848] - ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848] - ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848] - ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848] - ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848] - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848] - ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848] - ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848] - SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848] - ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848] - ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848] - ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848] - ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848] - ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848] - ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848] - ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848] - ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848] - ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848] - ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848] - ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848] - ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848] - ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848] - ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848] - ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848] - ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848] - ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848] - ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848] - ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848] - ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848] - ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848] - ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848] - ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848] - ASoC: ti: use helper function (Jaroslav Kysela) [2179848] - ASoC: tegra: use helper function (Jaroslav Kysela) [2179848] - ASoC: sof: use helper function (Jaroslav Kysela) [2179848] - ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848] - ASoC: meson: use helper function (Jaroslav Kysela) [2179848] - ASoC: intel: use helper function (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848] - ASoC: spear: use helper function (Jaroslav Kysela) [2179848] - ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848] - ASoC: rt: use helper function (Jaroslav Kysela) [2179848] - ASoC: max: use helper function (Jaroslav Kysela) [2179848] - ASoC: hda: use helper function (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848] - ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848] - ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848] - ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848] - ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848] - ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848] - ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848] - Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848] - ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848] - ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848] - ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848] - ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848] - ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848] - ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848] - ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848] - ASoC: topology: Fix function name (Jaroslav Kysela) [2179848] - ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848] - ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848] - ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848] - soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848] - ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848] - ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848] - ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848] - Documentation: sound: correct spelling (Jaroslav Kysela) [2179848] - treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848] - ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848] - MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848] - ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848] - ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848] - ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848] - ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848] - ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848] - ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848] - ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848] - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848] - soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848] - soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848] - soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848] - soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848] - ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848] - Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848] - ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848] - Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848] - ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848] - ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848] - ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848] - soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848] - soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848] - ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848] - ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848] - ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848] - ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848] - ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848] - ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848] - regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848] - regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848] - ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848] - ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848] - ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848] - ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848] - ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848] - ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848] - ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848] - ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848] - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848] - ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848] - soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848] - ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848] - ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848] - ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848] - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848] - ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848] - ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848] - ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848] - ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848] - ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848] - soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848] - ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848] - ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848] - ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848] - ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848] - ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848] - ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848] - ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848] - ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848] - ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848] - ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848] - ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848] - ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848] - ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848] - ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848] - dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848] - ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848] - ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848] - ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848] - ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848] - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848] - ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848] - soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848] - ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848] - ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848] - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848] - ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848] - ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848] - ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848] - ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848] - ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848] - ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848] - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848] - ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848] - ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848] - ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848] - ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848] - soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848] - soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848] - soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848] - ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848] - ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848] - ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848] - ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848] - drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848] - ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848] - ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848] - ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848] - ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848] - soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848] - soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848] - soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848] - soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848] - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848] - firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848] - ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848] - firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848] - ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848] - sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848] - ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848] - ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848] - ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848] - ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848] - soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848] - ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848] - ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848] - ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848] - ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848] - ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848] - ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848] - soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848] - soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848] - soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848] - soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848] - ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848] - ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848] - ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848] - ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848] - ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848] - ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848] - regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848] - ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848] - ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848] - ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848] - ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848] - ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848] - ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848] - ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848] - ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848] - soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848] - soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848] - ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848] - ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848] - ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848] - ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848] - ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848] - ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848] - ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848] - ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848] - ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848] - ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848] - ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848] - ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848] - ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848] - ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848] - ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848] - ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848] - soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848] - soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848] - soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848] - ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848] - ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848] - ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848] - mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848] - ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848] - ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848] - ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848] - ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848] - ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848] - ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848] - ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848] - ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848] - ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848] - ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848] - ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848] - ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848] - ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848] - ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848] - ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848] - ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848] - ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848] - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848] - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848] - ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848] - ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848] - ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848] - Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848] - ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848] - ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848] - ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848] - ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848] - ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848] - ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848] - ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848] - ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848] - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848] - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848] - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848] - ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848] - ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848] - ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848] - ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848] - ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848] - ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848] - ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848] - ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848] - ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848] - ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848] - ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848] - ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848] - ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848] - ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848] - ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848] - ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848] - ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848] - ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848] - ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848] - ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848] - ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848] - ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848] - ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848] - soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848] - soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848] - soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848] - soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848] - soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848] - soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848] - soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848] - soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848] - soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848] - ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848] - ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848] - ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848] - ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848] - ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848] - ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848] - ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848] - ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848] - ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848] - ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848] - soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848] - soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848] - soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848] - ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848] - soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848] - soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848] - ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848] - ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848] - ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848] - ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848] - soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848] - soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848] - soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848] - soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848] - soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848] - ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848] - ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848] - ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848] - ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848] - ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848] - ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848] - ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848] - ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848] - ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848] - soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848] - sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848] - ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848] - ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848] - ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848] - ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848] - ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848] - ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848] - ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848] - ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848] - ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848] - ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848] - ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848] - lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848] - ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848] - ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848] - ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848] - ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848] - ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848] - ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848] - ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848] - ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848] - ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848] - ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848] - ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848] - ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848] - ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848] - ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848] - ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848] - ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848] - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848] - ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848] - ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848] - ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848] - soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848] - soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848] - soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848] - soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848] - soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848] - soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848] - soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848] - soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848] - ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848] - ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848] - ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848] - ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848] - ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848] - ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848] - ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848] - ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848] - ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848] - ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848] - ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848] - ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848] - ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848] - ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848] - ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848] - ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848] - ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848] - ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848] - ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848] - soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848] - ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848] - ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848] - ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848] - ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848] - ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848] - ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848] - ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848] - ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848] - ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848] - ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848] - ASoC: Variable type completion (Jaroslav Kysela) [2179848] - ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848] - ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848] - ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848] - ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848] - ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848] - ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848] - ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848] - ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848] - ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848] - ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848] - ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848] - soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848] - soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848] - soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848] - soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848] - ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848] - ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848] - dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848] - ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848] - ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848] - ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848] - dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848] - dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848] - ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848] - MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848] - soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848] - ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848] - dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848] - ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848] - dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848] - treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848] - dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848] - tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848] - crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205] - redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205] - integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205] - integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205] - KEYS: DigitalSignature link restriction (Coiby Xu) [2055205] - integrity: machine keyring CA configuration (Coiby Xu) [2055205] - KEYS: CA link restriction (Coiby Xu) [2055205] - KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205] - KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205] - KEYS: Add missing function documentation (Coiby Xu) [2055205] - KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205] - integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205] - integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205] - KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205] - KEYS: store reference to machine keyring (Coiby Xu) [2055205] - integrity: add new keyring handler for mok keys (Coiby Xu) [2055205] - integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205] - integrity: Fix warning about missing prototypes (Coiby Xu) [2055205] Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 09:24:03 +00:00
# CONFIG_SOUNDWIRE_AMD is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SOUNDWIRE_CADENCE is not set
CONFIG_SOUNDWIRE_GENERIC_ALLOCATION=m
# CONFIG_SOUNDWIRE_INTEL is not set
# CONFIG_SOUNDWIRE is not set
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
# CONFIG_SOUNDWIRE_QCOM is not set
CONFIG_SPAPR_TCE_IOMMU=y
CONFIG_SPARSE_IRQ=y
CONFIG_SPARSEMEM_MANUAL=y
CONFIG_SPARSEMEM_VMEMMAP=y
# CONFIG_SPI_ALTERA is not set
CONFIG_SPI_AMD=y
# CONFIG_SPI_AXI_SPI_ENGINE is not set
# CONFIG_SPI_BITBANG is not set
# CONFIG_SPI_CADENCE is not set
# CONFIG_SPI_CADENCE_QUADSPI is not set
# CONFIG_SPI_DEBUG is not set
# CONFIG_SPI_DESIGNWARE is not set
# CONFIG_SPI_FSL_SPI is not set
# CONFIG_SPI_GPIO is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SPI_HISI_KUNPENG is not set
# CONFIG_SPI_HISI_SFC_V3XX is not set
# CONFIG_SPI is not set
# CONFIG_SPI_LANTIQ_SSC is not set
# CONFIG_SPI_LOOPBACK_TEST is not set
# CONFIG_SPI_MEM is not set
# CONFIG_SPI_MUX is not set
# CONFIG_SPI_MXIC is not set
# CONFIG_SPI_NXP_FLEXSPI is not set
# CONFIG_SPI_OC_TINY is not set
# CONFIG_SPI_PXA2XX is not set
# CONFIG_SPI_QCOM_QSPI is not set
# CONFIG_SPI_ROCKCHIP is not set
# CONFIG_SPI_SC18IS602 is not set
# CONFIG_SPI_SIFIVE is not set
# CONFIG_SPI_SLAVE is not set
# CONFIG_SPI_SPIDEV is not set
# CONFIG_SPI_THUNDERX is not set
# CONFIG_SPI_TLE62X0 is not set
# CONFIG_SPI_XCOMM is not set
# CONFIG_SPI_XILINX is not set
# CONFIG_SPI_ZYNQMP_GQSPI is not set
# CONFIG_SPMI is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_SPS30_I2C is not set
# CONFIG_SQUASHFS_4K_DEVBLK_SIZE is not set
# CONFIG_SQUASHFS_DECOMP_MULTI is not set
CONFIG_SQUASHFS_DECOMP_MULTI_PERCPU=y
# CONFIG_SQUASHFS_DECOMP_SINGLE is not set
# CONFIG_SQUASHFS_EMBEDDED is not set
# CONFIG_SQUASHFS_FILE_CACHE is not set
CONFIG_SQUASHFS_FILE_DIRECT=y
# CONFIG_SQUASHFS_LZ4 is not set
CONFIG_SQUASHFS_LZO=y
CONFIG_SQUASHFS=m
CONFIG_SQUASHFS_XATTR=y
CONFIG_SQUASHFS_XZ=y
CONFIG_SQUASHFS_ZLIB=y
CONFIG_SQUASHFS_ZSTD=y
# CONFIG_SRAM is not set
# CONFIG_SRF04 is not set
# CONFIG_SRF08 is not set
# CONFIG_SSB is not set
# CONFIG_SSFDC is not set
kernel-5.14.0-298.el9 * Mon Apr 10 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-298.el9] - kernel-rt: config: disable SLUB_CPU_PARTIAL for real time kernels (Luis Claudio R. Goncalves) [2182930] - kernel-rt: config: disable KGDB in the production and development variants (Luis Claudio R. Goncalves) [2182890] - mm/migrate: fix wrongly apply write bit after mkdirty on sparc64 (Rafael Aquini) [2168392] - mm/thp: re-apply mkdirty for small pages after split (Rafael Aquini) [2168392] - Partly revert "mm/thp: carry over dirty bit when thp splits on pmd" (Rafael Aquini) [2168392] - mm/swap: fix SWP_PFN_BITS with CONFIG_PHYS_ADDR_T_64BIT on 32bit (Rafael Aquini) [2168392] - mm/swap: cache swap migration A/D bits support (Rafael Aquini) [2168392] - mm/swap: cache maximum swapfile size when init swap (Rafael Aquini) [2168392] - mm: remember young/dirty bit for page migrations (Rafael Aquini) [2168392] - mm/thp: carry over dirty bit when thp splits on pmd (Rafael Aquini) [2168392] - mm/swap: add swp_offset_pfn() to fetch PFN from swap entry (Rafael Aquini) [2168392] - mm/swap: comment all the ifdef in swapops.h (Rafael Aquini) [2168392] - mm/x86: use SWP_TYPE_BITS in 3-level swap macros (Rafael Aquini) [2168392] - mm/swapops: make is_pmd_migration_entry more strict (Rafael Aquini) [2168392] - include/linux/swapops.h: remove stub for non_swap_entry() (Rafael Aquini) [2168392] - cifs: fix regression in very old smb1 mounts (Ronnie Sahlberg) [2182556] - hwrng: core - use per-rng quality value instead of global setting (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - start and stop in-kernel rngd in separate function (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - do not bother to order list of devices by quality (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - credit entropy for low quality sources of randomness (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - break out of hwrng_fillfn if current rng is not trusted (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - only set cur_rng_set_by_user if it is working (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - use rng_fillbuf in add_early_randomness() (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - read() callback must be called for size of 32 or more bytes (Jose Ignacio Tornos Martinez) [2172887] - hwrng: core - explicit ordering of initcalls (Jose Ignacio Tornos Martinez) [2172887] - sfc: Change VF mac via PF as first preference if available. (Íñigo Huguet) [2121027] - genirq/affinity: Only build SMP-only helper functions on SMP kernels (Ming Lei) [2153649] - blk-mq: Build default queue map via group_cpus_evenly() (Ming Lei) [2153649] - genirq/affinity: Move group_cpus_evenly() into lib/ (Ming Lei) [2153649] - genirq/affinity: Rename irq_build_affinity_masks as group_cpus_evenly (Ming Lei) [2153649] - genirq/affinity: Don't pass irq_affinity_desc array to irq_build_affinity_masks (Ming Lei) [2153649] - genirq/affinity: Pass affinity managed mask array to irq_build_affinity_masks (Ming Lei) [2153649] - genirq/affinity: Remove the 'firstvec' parameter from irq_build_affinity_masks (Ming Lei) [2153649] - genirq/affinity: Replace cpumask_weight() with cpumask_empty() where appropriate (Ming Lei) [2153649] - ipmi: ipmb: Fix the MODULE_PARM_DESC associated to 'retry_time_ms' (Tony Camuso) [2174224] - ipmi:ssif: Add a timer between request retries (Tony Camuso) [2174224] - ipmi:ssif: Remove rtc_us_timer (Tony Camuso) [2174224] - ipmi_ssif: Rename idle state and check (Tony Camuso) [2174224] - ipmi:ssif: resend_msg() cannot fail (Tony Camuso) [2174224] - ipmi/watchdog: use strscpy() to instead of strncpy() (Tony Camuso) [2174224] - ipmi: ssif_bmc: Convert to i2c's .probe_new() (Tony Camuso) [2174224] - ipmi: fix use after free in _ipmi_destroy_user() (Tony Camuso) [2174224] - ipmi/watchdog: Include <linux/kstrtox.h> when appropriate (Tony Camuso) [2174224] - ipmi:ssif: Increase the message retry time (Tony Camuso) [2174224] - ipmi: Fix some kernel-doc warnings (Tony Camuso) [2174224] - ipmi: ssif_bmc: Use EPOLLIN instead of POLLIN (Tony Camuso) [2174224] - ipmi: fix msg stack when IPMI is disconnected (Tony Camuso) [2174224] - ipmi: fix memleak when unload ipmi driver (Tony Camuso) [2174224] - ipmi: fix long wait in unload when IPMI disconnect (Tony Camuso) [2174224] - ipmi: kcs: Poll OBF briefly to reduce OBE latency (Tony Camuso) [2174224] - ipmi: ssif_bmc: Add SSIF BMC driver (Tony Camuso) [2174224] - ipmi: ssif_bmc: Add SSIF BMC driver config (Tony Camuso) [2174224] - ipmi: Remove unused struct watcher_entry (Tony Camuso) [2174224] - ipmi: kcs: aspeed: Update port address comments (Tony Camuso) [2174224] - ipmi: Add __init/__exit annotations to module init/exit funcs (Tony Camuso) [2174224] - ipmi:ipmb: Don't call ipmi_unregister_smi() on a register failure (Tony Camuso) [2174224] - ipmi:ipmb: Fix a vague comment and a typo (Tony Camuso) [2174224] - ipmi: Fix comment typo (Tony Camuso) [2174224] - char: ipmi: modify NPCM KCS configuration (Tony Camuso) [2174224] - KVM: x86: Propagate the AMD Automatic IBRS feature to the guest (Waiman Long) [1898184] - x86/cpu: Support AMD Automatic IBRS (Waiman Long) [1898184] - x86/cpu, kvm: Add the SMM_CTL MSR not present feature (Waiman Long) [1898184] - x86/cpu, kvm: Add the Null Selector Clears Base feature (Waiman Long) [1898184] - x86/cpu, kvm: Move X86_FEATURE_LFENCE_RDTSC to its native leaf (Waiman Long) [1898184] - x86/cpu, kvm: Add the NO_NESTED_DATA_BP feature (Waiman Long) [1898184] - KVM: x86: Move open-coded CPUID leaf 0x80000021 EAX bit propagation code (Waiman Long) [1898184] - x86/cpu, kvm: Add support for CPUID_80000021_EAX (Waiman Long) [1898184] - x86/bugs: Make sure MSR_SPEC_CTRL is updated properly upon resume from S3 (Waiman Long) [1898184] - KVM: x86: Advertise that the SMM_CTL MSR is not supported (Waiman Long) [1898184] - KVM: x86: Advertise AVX-VNNI-INT8 CPUID to user space (Waiman Long) [1898184] - KVM: x86: Update KVM-only leaf handling to allow for 100%% KVM-only leafs (Waiman Long) [1898184] - tools headers cpufeatures: Sync with the kernel sources (Waiman Long) [1898184] - KVM: x86: Hide IA32_PLATFORM_DCA_CAP[31:0] from the guest (Waiman Long) [1898184] - Documentation/hw-vuln: Update spectre doc (Waiman Long) [1898184] - x86/cpufeatures: Add LbrExtV2 feature bit (Waiman Long) [1898184] Resolves: rhbz#1898184, rhbz#2121027, rhbz#2153649, rhbz#2168392, rhbz#2172887, rhbz#2174224, rhbz#2182556, rhbz#2182890, rhbz#2182930 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-10 08:07:55 +00:00
CONFIG_SSIF_IPMI_BMC=m
CONFIG_STACK_HASH_ORDER=20
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
CONFIG_STACKINIT_KUNIT_TEST=m
CONFIG_STACKPROTECTOR_STRONG=y
CONFIG_STACKPROTECTOR=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_STACKTRACE_BUILD_ID is not set
CONFIG_STACK_TRACER=y
# CONFIG_STAGING is not set
CONFIG_STANDALONE=y
# CONFIG_STATIC_CALL_SELFTEST is not set
# CONFIG_STATIC_KEYS_SELFTEST is not set
# CONFIG_STATIC_USERMODEHELPER is not set
CONFIG_STE10XP=m
# CONFIG_STK3310 is not set
# CONFIG_STK8312 is not set
# CONFIG_STK8BA50 is not set
# CONFIG_STM is not set
CONFIG_STRICT_DEVMEM=y
CONFIG_STRICT_KERNEL_RWX=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
CONFIG_STRICT_MODULE_RWX=y
# CONFIG_STRING_SELFTEST is not set
CONFIG_STRIP_ASM_SYMS=y
# CONFIG_ST_UVIS25 is not set
CONFIG_SUN_PARTITION=y
CONFIG_SUNRPC_DEBUG=y
kernel-5.14.0-40.el9 * Mon Jan 10 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-40.el9] - cpu/hotplug: Remove deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - livepatch: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - coresight: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - hwmon: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - tracing: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - padata: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - crypto: virtio - Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - platform/x86: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - powerpc: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/mce/inject: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/microcode: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/mtrr: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - x86/mmiotrace: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - workqueue: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - net/iucv: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - s390/sclp: replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - s390: replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - net: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - virtio_net: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - ACPI: processor: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - PM: sleep: s2idle: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - cpufreq: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - powercap: intel_rapl: Replace deprecated CPU-hotplug functions (Prarit Bhargava) [2023079] - sgi-xpc: Replace deprecated CPU-hotplug functions. (Prarit Bhargava) [2023079] - Input: i8042 - Add quirk for Fujitsu Lifebook T725 (Neal Gompa) [2019937] - sctp: remove unreachable code from sctp_sf_violation_chunk() (Xin Long) [2024909] - sctp: return true only for pathmtu update in sctp_transport_pl_toobig (Xin Long) [2024909] - sctp: subtract sctphdr len in sctp_transport_pl_hlen (Xin Long) [2024909] - sctp: reset probe_timer in sctp_transport_pl_update (Xin Long) [2024909] - sctp: allow IP fragmentation when PLPMTUD enters Error state (Xin Long) [2024909] - sctp: fix transport encap_port update in sctp_vtag_verify (Xin Long) [2024909] - sctp: account stream padding length for reconf chunk (Xin Long) [2024909] - sctp: break out if skb_header_pointer returns NULL in sctp_rcv_ootb (Xin Long) [2024909] - sctp: add vtag check in sctp_sf_ootb (Xin Long) [2003494] {CVE-2021-3772} - sctp: add vtag check in sctp_sf_do_8_5_1_E_sa (Xin Long) [2003494] {CVE-2021-3772} - sctp: add vtag check in sctp_sf_violation (Xin Long) [2003494] {CVE-2021-3772} - sctp: fix the processing for COOKIE_ECHO chunk (Xin Long) [2003494] {CVE-2021-3772} - sctp: fix the processing for INIT_ACK chunk (Xin Long) [2003494] {CVE-2021-3772} - sctp: fix the processing for INIT chunk (Xin Long) [2003494] {CVE-2021-3772} - sctp: use init_tag from inithdr for ABORT chunk (Xin Long) [2003494] {CVE-2021-3772} - drm/nouveau: clean up all clients on device removal (Karol Herbst) [1911185] {CVE-2020-27820} - drm/nouveau: Add a dedicated mutex for the clients list (Karol Herbst) [1911185] {CVE-2020-27820} - drm/nouveau: use drm_dev_unplug() during device removal (Karol Herbst) [1911185] {CVE-2020-27820} - redhat/configs: NFS: disable UDP, insecure enctypes (Benjamin Coddington) [1952863] Resolves: rhbz#1911185, rhbz#1952863, rhbz#2003494, rhbz#2019937, rhbz#2023079, rhbz#2024909 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-10 15:31:34 +00:00
CONFIG_SUNRPC_DISABLE_INSECURE_ENCTYPES=y
CONFIG_SUNRPC_GSS=m
CONFIG_SUNRPC=m
CONFIG_SUNRPC_XPRT_RDMA=m
# CONFIG_SURFACE_3_POWER_OPREGION is not set
# CONFIG_SURFACE3_WMI is not set
# CONFIG_SURFACE_GPE is not set
# CONFIG_SURFACE_HOTPLUG is not set
# CONFIG_SURFACE_PLATFORMS is not set
# CONFIG_SURFACE_PRO3_BUTTON is not set
CONFIG_SUSPEND_FREEZER=y
CONFIG_SUSPEND=y
CONFIG_SWAP=y
# CONFIG_SW_SYNC is not set
# CONFIG_SX9310 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_SX9324 is not set
# CONFIG_SX9360 is not set
# CONFIG_SX9500 is not set
CONFIG_SYMBOLIC_ERRNAME=y
CONFIG_SYNC_FILE=y
CONFIG_SYNCLINK_GT=m
CONFIG_SYN_COOKIES=y
CONFIG_SYNTH_EVENT_GEN_TEST=m
# CONFIG_SYSCON_REBOOT_MODE is not set
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_SYSCTL_KUNIT_TEST=m
CONFIG_SYSCTL=y
kernel-5.14.0-163.el9 * Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9] - x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362] - rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827] - s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827] - s390: make command line configurable (Mete Durlu) [2060827] - s390: support command lines longer than 896 bytes (Mete Durlu) [2060827] - s390/kexec_file: move kernel image size check (Mete Durlu) [2060827] - s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827] - rhel: set previously unused GPIO config items (Al Stone) [2071835] - gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835] - gpio: sim: check the label length when setting up device properties (Al Stone) [2071835] - gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835] - gpio: sim: fix a typo (Al Stone) [2071835] - gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835] - gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835] - gpio: sim: fix the chip_name configfs item (Al Stone) [2071835] - gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835] - gpio: virtio: Add IRQ support (Al Stone) [2071835] - gpio: virtio: remove timeout (Al Stone) [2071835] - gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835] - gpio: mxs: Fix header comment (Al Stone) [2071835] - gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835] - gpio: grgpio: Fix device removing (Al Stone) [2071835] - gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835] - gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835] - gpio: sch: make irq_chip immutable (Al Stone) [2071835] - gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835] - gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpio: tegra186: Add HTE support (Al Stone) [2071835] - gpiolib: Add HTE support (Al Stone) [2071835] - hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835] - hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835] - hte: Add Tegra HTE test driver (Al Stone) [2071835] - hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835] - drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835] - gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835] - gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835] - gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835] - gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835] - gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835] - gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835] - gpio: pl061: Make the irqchip immutable (Al Stone) [2071835] - gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835] - gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835] - gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835] - gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835] - gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835] - gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835] - gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835] - gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835] - gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835] - gpiolib: Move error message out of a spinlock (Al Stone) [2071835] - gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835] - gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835] - gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835] - gpiolib: acpi: use correct format characters (Al Stone) [2071835] - gpiolib: Get rid of redundant 'else' (Al Stone) [2071835] - gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835] - gpio: Properly document parent data union (Al Stone) [2071835] - gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835] - gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835] - gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835] - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835] - gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835] - gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835] - gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835] - gpiolib: make struct comments into real kernel docs (Al Stone) [2071835] - gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835] - gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835] - gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835] - gpiolib: Never return internal error codes to user space (Al Stone) [2071835] - gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835] - gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835] - gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835] - gpio: Remove unused local OF node pointers (Al Stone) [2071835] - gpio: Propagate firmware node from a parent device (Al Stone) [2071835] - gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835] - gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835] - gpiolib: allow line names from device props to override driver names (Al Stone) [2071835] - gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835] - gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835] - gpio: sim: new testing module (Al Stone) [2071835] - gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835] - gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835] - gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835] - gpio: sch: fix typo in a comment (Al Stone) [2071835] - gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835] - gpiolib: improve coding style for local variables (Al Stone) [2071835] - gpio: aggregator: Add interrupt support (Al Stone) [2071835] - gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835] - gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835] - gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835] - gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835] - gpio: bd70528 Drop BD70528 support (Al Stone) [2071835] - gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835] - gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835] - gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835] - gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835] - gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835] - gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835] - gpio: virtio: Fix sparse warnings (Al Stone) [2071835] - gpio: clean up Kconfig file (Al Stone) [2071835] - gpio: Allow per-parent interrupt data (Al Stone) [2071835] - gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835] - gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835] - gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835] - gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835] - gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835] - gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835] - gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835] - gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835] - gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835] - gpio: Add virtio-gpio driver (Al Stone) [2071835] - gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835] - gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835] - gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835] - gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835] - gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835] - gpio: tegra186: Add ACPI support (Al Stone) [2071835] - dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835] - dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835] - gpiolib: of: constify few local device_node variables (Al Stone) [2071835] - gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835] - devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713] - netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713] - netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713] - devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713] - bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713] - devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713] - devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713] - eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713] - eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713] - eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713] - devlink: expose instance locking and add locked port registering (Petr Oros) [2101713] - mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713] - mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713] - mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713] - vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809] - vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809] - intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036] - intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036] - rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830] - soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830] - fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830] - rhel: Enable EFI DXE memory attributes (Al Stone) [2071830] - rhel: Enable EFI COCO secret (Al Stone) [2071830] - firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830] - firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830] - efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830] - powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830] - firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830] - firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830] - video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830] - efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830] - efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830] - edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830] - firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830] - printk: stop including cache.h from printk.h (Al Stone) [2071830] - efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830] - efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830] - efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830] - efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830] - efi: libstub: declare DXE services table (Al Stone) [2071830] - firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830] - firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830] - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830] - firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830] - firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830] - firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830] - firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830] - firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830] - firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830] - firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830] - firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830] - firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830] - firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830] - firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830] - firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830] - firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830] - firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830] - firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830] - firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830] - efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830] - efi: Save location of EFI confidential computing area (Al Stone) [2071830] - efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830] - efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830] - EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830] - efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830] - firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830] - firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830] - firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830] - firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830] - rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830] - firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830] - pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830] - efi/mokvar: move up init order (Al Stone) [2071830] - sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830] - sysfb: Make config option dependencies explicit (Al Stone) [2071830] - efi: fix return value of __setup handlers (Al Stone) [2071830] - efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830] - firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830] - firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830] - firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830] - firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830] - firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830] - firmware: imx: add get resource owner api (Al Stone) [2071830] - firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830] - firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830] - drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830] - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830] - efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830] - virtio: wrap config->reset calls (Al Stone) [2071830] - efi: use default_groups in kobj_type (Al Stone) [2071830] - efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830] - efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830] - drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830] - firmware: edd: remove empty default_attrs array (Al Stone) [2071830] - firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830] - qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830] - firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830] - firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830] - firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830] - firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830] - firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830] - firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830] - firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830] - firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830] - firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830] - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830] - firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830] - include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830] - firmware: arm_scmi: Refactor message response path (Al Stone) [2071830] - firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830] - firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830] - firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830] - firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830] - firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830] - efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830] - efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830] - firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830] - firmware: arm_scmi: Add optee transport (Al Stone) [2071830] - firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830] - firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830] - firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830] - firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830] - firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830] - firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830] - exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830] - firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830] - firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830] - firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830] - firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830] - firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830] - firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830] - efi/cper: use stack buffer for error record decoding (Al Stone) [2071830] - efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830] - firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830] - firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830] - firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830] - firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830] - firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830] - efi: cper: check section header more appropriately (Al Stone) [2071830] - efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830] - rhel: Enable Simple FrameBuffer support (Al Stone) [2071830] - rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830] - firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830] - firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830] - firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830] - firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830] - firmware: arm_scmi: Add virtio transport (Al Stone) [2071830] - firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830] - firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830] - firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830] - firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830] - firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830] - firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830] - firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830] - firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830] - firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830] - firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830] - firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830] - firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830] - firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830] - efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830] - drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830] - drivers: firmware: Add PDI load API support (Al Stone) [2071830] - drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830] - drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830] - bus: Make remove callback return void (Al Stone) [2071830] - s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830] - PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830] - s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830] - s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830] - redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata) - redhat: add missing CVE reference to latest changelog entries (Patrick Talbert) Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 10:37:47 +00:00
# CONFIG_SYSFB_SIMPLEFB is not set
# CONFIG_SYSFS_DEPRECATED is not set
# CONFIG_SYSTEM76_ACPI is not set
CONFIG_SYSTEM_BLACKLIST_HASH_LIST=""
CONFIG_SYSTEM_BLACKLIST_KEYRING=y
CONFIG_SYSTEM_EXTRA_CERTIFICATE_SIZE=4096
CONFIG_SYSTEM_EXTRA_CERTIFICATE=y
# CONFIG_SYSTEMPORT is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_SYSTEM_REVOCATION_LIST is not set
CONFIG_SYSTEM_TRUSTED_KEYRING=y
CONFIG_SYSTEM_TRUSTED_KEYS=""
# CONFIG_SYSV68_PARTITION is not set
# CONFIG_SYSV_FS is not set
CONFIG_SYSVIPC=y
# CONFIG_T5403 is not set
CONFIG_TABLET_SERIAL_WACOM4=m
CONFIG_TABLET_USB_ACECAD=m
CONFIG_TABLET_USB_AIPTEK=m
# CONFIG_TABLET_USB_HANWANG is not set
CONFIG_TABLET_USB_KBTAB=m
# CONFIG_TABLET_USB_PEGASUS is not set
CONFIG_TARGET_CORE=m
CONFIG_TASK_DELAY_ACCT=y
CONFIG_TASK_IO_ACCOUNTING=y
CONFIG_TASKSTATS=y
CONFIG_TASK_XACCT=y
# CONFIG_TCG_ATMEL is not set
CONFIG_TCG_CRB=y
CONFIG_TCG_IBMVTPM=y
kernel-5.14.0-77.el9 * Thu Apr 07 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-77.el9] - NFSD: Fix offset type in I/O trace points (Benjamin Coddington) [2063045] - NFSD: COMMIT operations must not return NFS?ERR_INVAL (Benjamin Coddington) [2063045] - NFSD: Clamp WRITE offsets (Benjamin Coddington) [2063045] - NFSD: Fix NFSv3 SETATTR/CREATE's handling of large file sizes (Benjamin Coddington) [2063045] - NFSD: Fix ia_size underflow (Benjamin Coddington) [2063045] - NFSD: Fix the behavior of READ near OFFSET_MAX (Benjamin Coddington) [2063045] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2054100] - Revert "include/linux/ioport.h: Pad resource struct for KABI" (Prarit Bhargava) [2055736] - powerpc/pseries: Fix use after free in remove_phb_dynamic() (Prarit Bhargava) [2055736] - lib/sbitmap: kill 'depth' from sbitmap_word (Ming Lei) [2064695] - KVM: use __vcalloc for very large allocations (David Hildenbrand) [2057367] - mm: use vmalloc_array and vcalloc for array allocations (David Hildenbrand) [2057367] - mm: vmalloc: introduce array allocation functions (David Hildenbrand) [2057367] - tpm: fix potential NULL pointer access in tpm_del_char_device (Desnes A. Nunes do Rosario) [2032117] - x86/speculation: Warn about eIBRS + LFENCE + Unprivileged eBPF + SMT (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Warn about Spectre v2 LFENCE mitigation (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Update link to AMD speculation whitepaper (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Use generic retpoline by default on AMD (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - Documentation/hw-vuln: Update spectre doc (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Add eIBRS + Retpoline options (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Rename RETPOLINE_AMD to RETPOLINE_LFENCE (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86,bugs: Unconditionally allow spectre_v2=retpoline,amd (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86: deduplicate the spectre_v2_user documentation (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - redhat/configs: aarch64: Enable ARM_SPE_PMU (Mark Salter) [2063234] - drm/i915/audio: Use BIOS provided value for RKL HDA link (Mika Penttilä) [2046691] - redhat: configs: Disable TPM 1.2 device drivers (Jerry Snitselaar) [2060516] - redhat: configs: Change aarch64 default dma domain to lazy (Jerry Snitselaar) [2050415] - powerpc/64s/hash: Make hash faults work in NMI context (Desnes A. Nunes do Rosario) [2062762] - CI: Remove deprecated option (Veronika Kabatova) - redhat/configs: make SHA512_arch algos and CRYPTO_USER built-ins (Vladis Dronov) [2070624] - futex: Fix PREEMPT_RT build (Fernando Pacheco) [2070369] - crypto: ccp - ccp_dmaengine_unregister release dma channels (Vladis Dronov) [2047836] - crypto: ccp - remove redundant ret variable (Vladis Dronov) [2047836] - crypto: ccp - Ensure psp_ret is always init'd in __sev_platform_init_locked() (Vladis Dronov) [2047836] - crypto: ccp - remove unneeded semicolon (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT_EX support (Vladis Dronov) [2047836] - crypto: ccp - Add psp_init_on_probe module parameter (Vladis Dronov) [2047836] - crypto: ccp - Refactor out sev_fw_alloc() (Vladis Dronov) [2047836] - crypto: ccp - Move SEV_INIT retry for corrupted data (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT rc error logging on init (Vladis Dronov) [2047836] - crypto: ccp - no need to initialise statics to 0 (Vladis Dronov) [2047836] - perf tests attr: Add missing topdown metrics events (Michael Petlan) [2062513] - powerpc/papr_scm: Implement initial support for injecting smart errors (Steve Best) [1873827] - redhat/koji/Makefile: Decouple koji Makefile from Makefile.common (Andrea Claudi) [2037486] - redhat: fix make {distg-brew,distg-koji} (Andrea Claudi) [2037486] - mm: memcg: synchronize objcg lists with a dedicated spinlock (Waiman Long) [2046537] - mm: memcontrol: remove the kmem states (Waiman Long) [2046537] - mm: memcontrol: remove kmemcg_id reparenting (Waiman Long) [2046537] - mm/memcg: remove obsolete memcg_free_kmem() (Waiman Long) [2046537] - PCI: rcar: Check if device is runtime suspended instead of __clk_is_enabled() (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for MEM resource type (Myron Stowe) [2045254] - PCI/MSI: Move non-mask check back into low level accessors (Myron Stowe) [2045254] - PCI: aardvark: Implement re-issuing config requests on CRS response (Myron Stowe) [2045254] - PCI: aardvark: Deduplicate code in advk_pcie_rd_conf() (Myron Stowe) [2045254] - PCI: aardvark: Fix preserving PCI_EXP_RTCTL_CRSSVE flag on emulated bridge (Myron Stowe) [2045254] - PCI: ACPI: Drop acpi_pci_bus (Myron Stowe) [2045254] - ACPI: glue: Eliminate acpi_platform_notify() (Myron Stowe) [2045254] - ACPI: bus: Rename functions to avoid name collision (Myron Stowe) [2045254] - ACPI: glue: Change return type of two functions to void (Myron Stowe) [2045254] - ACPI: glue: Rearrange acpi_device_notify() (Myron Stowe) [2045254] - PCI/MSI: Handle msi_populate_sysfs() errors correctly (Myron Stowe) [2045254] - MAINTAINERS: Add Nirmal Patel as VMD maintainer (Myron Stowe) [2045254] - PCI: Add AMD GPU multi-function power dependencies (Myron Stowe) [2045254] - tools: PCI: Zero-initialize param (Myron Stowe) [2045254] - PCI: controller: PCI_IXP4XX should depend on ARCH_IXP4XX (Myron Stowe) [2045254] - Documentation: PCI: endpoint/pci-endpoint-cfs: Guide to use SR-IOV (Myron Stowe) [2045254] - misc: pci_endpoint_test: Populate sriov_configure ops to configure SR-IOV device (Myron Stowe) [2045254] - PCI: cadence: Add support to configure virtual functions (Myron Stowe) [2045254] - PCI: cadence: Simplify code to get register base address for configuring BAR (Myron Stowe) [2045254] - PCI: endpoint: Add virtual function number in pci_epc ops (Myron Stowe) [2045254] - PCI: endpoint: Add support to link a physical function to a virtual function (Myron Stowe) [2045254] - PCI: endpoint: Add support to add virtual function in endpoint core (Myron Stowe) [2045254] - dt-bindings: PCI: pci-ep: Add binding to specify virtual function (Myron Stowe) [2045254] - PCI: xilinx-nwl: Enable the clock through CCF (Myron Stowe) [2045254] - dt-bindings: pci: xilinx-nwl: Document optional clock property (Myron Stowe) [2045254] - PCI: xgene-msi: Remove redundant dev_err() call in xgene_msi_probe() (Myron Stowe) [2045254] - PCI: tegra194: Cleanup unused code (Myron Stowe) [2045254] - PCI: tegra194: Don't allow suspend when Tegra PCIe is in EP mode (Myron Stowe) [2045254] - PCI: tegra194: Disable interrupts before entering L2 (Myron Stowe) [2045254] - PCI: tegra194: Fix MSI-X programming (Myron Stowe) [2045254] - PCI: tegra194: Fix handling BME_CHGED event (Myron Stowe) [2045254] - PCI: tegra: make const array err_msg static (Myron Stowe) [2045254] - PCI: tegra: Use 'seq_puts' instead of 'seq_printf' (Myron Stowe) [2045254] - PCI: tegra: Fix OF node reference leak (Myron Stowe) [2045254] - PCI: tegra: Remove unused struct tegra_pcie_bus (Myron Stowe) [2045254] - PCI: rcar: Add L1 link state fix into data abort hook (Myron Stowe) [2045254] - PCI: rcar: Fix runtime PM imbalance in rcar_pcie_ep_probe() (Myron Stowe) [2045254] - PCI: mediatek: Use PCI domain to handle ports detection (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get irq number (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get shared pcie-cfg base address (Myron Stowe) [2045254] - dt-bindings: PCI: mediatek: Update the Device tree bindings (Myron Stowe) [2045254] - PCI: keembay: Add support for Intel Keem Bay (Myron Stowe) [2045254] - dt-bindings: PCI: Add Intel Keem Bay PCIe controller (Myron Stowe) [2045254] - PCI: iproc: Fix BCMA probe resource handling (Myron Stowe) [2045254] - PCI: of: Don't fail devm_pci_alloc_host_bridge() on missing 'ranges' (Myron Stowe) [2045254] - misc: pci_endpoint_test: Add deviceID for AM64 and J7200 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for AM64 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for J7200 (Myron Stowe) [2045254] - PCI: cadence: Add quirk flag to set minimum delay in LTSSM Detect.Quiet state (Myron Stowe) [2045254] - PCI: cadence: Use bitfield for *quirk_retrain_flag* instead of bool (Myron Stowe) [2045254] - PCI: aardvark: Fix masking and unmasking legacy INTx interrupts (Myron Stowe) [2045254] - PCI: aardvark: Configure PCIe resources from 'ranges' DT property (Myron Stowe) [2045254] - PCI: aardvark: Fix reporting CRS value (Myron Stowe) [2045254] - PCI: pci-bridge-emul: Add PCIe Root Capabilities Register (Myron Stowe) [2045254] - PCI: aardvark: Increase polling delay to 1.5s while waiting for PIO response (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for PIO status (Myron Stowe) [2045254] - MAINTAINERS: Add entries for Toshiba Visconti PCIe controller (Myron Stowe) [2045254] - PCI: visconti: Add Toshiba Visconti PCIe host controller driver (Myron Stowe) [2045254] - PCI: rockchip-dwc: Add Rockchip RK356X host controller driver (Myron Stowe) [2045254] - PCI: dwc: Remove surplus break statement after return (Myron Stowe) [2045254] - PCI: artpec6: Remove local code block from switch statement (Myron Stowe) [2045254] - PCI: artpec6: Remove surplus break statement after return (Myron Stowe) [2045254] - x86/PCI: sta2x11: switch from 'pci_' to 'dma_' API (Myron Stowe) [2045254] - PCI: Sync __pci_register_driver() stub for CONFIG_PCI=n (Myron Stowe) [2045254] - PCI: Add schedule point in proc_bus_pci_read() (Myron Stowe) [2045254] - PCI: Correct the pci_iomap.h header guard #endif comment (Myron Stowe) [2045254] - PCI/PTM: Remove error message at boot (Myron Stowe) [2045254] - PCI: Fix pci_dev_str_match_path() alloc while atomic bug (Myron Stowe) [2045254] - x86/PCI: Add pci_numachip_init() declaration (Myron Stowe) [2045254] - PCI/ACS: Enforce pci=noats with Transaction Blocking (Myron Stowe) [2045254] - PCI: Add ACS quirks for Cavium multi-function devices (Myron Stowe) [2045254] - PCI: Add ACS quirks for NXP LX2xx0 and LX2xx2 platforms (Myron Stowe) [2045254] - PCI: Optimize pci_resource_len() to reduce kernel size (Myron Stowe) [2045254] - PCI: Refactor pci_ioremap_bar() and pci_ioremap_wc_bar() (Myron Stowe) [2045254] - PCI/portdrv: Enable Bandwidth Notification only if port supports it (Myron Stowe) [2045254] - PCI: Bulk conversion to generic_handle_domain_irq() (Myron Stowe) [2045254] - PCI: Set dma-can-stall for HiSilicon chips (Myron Stowe) [2045254] - PCI: ibmphp: Fix double unmap of io_mem (Myron Stowe) [2045254] - PCI: Return int from pciconfig_read() syscall (Myron Stowe) [2045254] - PCI: Return ~0 data on pciconfig_read() CAP_SYS_ADMIN failure (Myron Stowe) [2045254] - PCI: Restrict ASMedia ASM1062 SATA Max Payload Size Supported (Myron Stowe) [2045254] - PCI: Call Max Payload Size-related fixup quirks early (Myron Stowe) [2045254] - platform-msi: Add ABI to show msi_irqs of platform devices (Myron Stowe) [2045254] - genirq/msi: Move MSI sysfs handling from PCI to MSI core (Myron Stowe) [2045254] - genirq/timings: Fix error return code in irq_timings_test_irqs() (Myron Stowe) [2045254] - PCI/MSI: Use new mask/unmask functions (Myron Stowe) [2045254] - PCI/MSI: Provide a new set of mask and unmask functions (Myron Stowe) [2045254] - PCI/MSI: Cleanup msi_mask() (Myron Stowe) [2045254] - PCI/MSI: Deobfuscate virtual MSI-X (Myron Stowe) [2045254] - PCI/MSI: Consolidate error handling in msi_capability_init() (Myron Stowe) [2045254] - PCI/MSI: Rename msi_desc::masked (Myron Stowe) [2045254] - PCI/MSI: Simplify msi_verify_entries() (Myron Stowe) [2045254] - s390/pci: Do not mask MSI[-X] entries on teardown (Myron Stowe) [2045254] - genirq: Improve "hwirq" output in /proc and /sys/ (Myron Stowe) [2045254] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2066225] - ibmvnic: Allow queueing resets during probe (Diego Domingos) [2058835] - ibmvnic: clear fop when retrying probe (Diego Domingos) [2058835] - ibmvnic: init init_done_rc earlier (Diego Domingos) [2058835] - ibmvnic: register netdev after init of adapter (Diego Domingos) [2058835] - ibmvnic: complete init_done on transport events (Diego Domingos) [2058835] - ibmvnic: define flush_reset_queue helper (Diego Domingos) [2058835] - ibmvnic: initialize rc before completing wait (Diego Domingos) [2058835] - ibmvnic: free reset-work-item when flushing (Diego Domingos) [2058835] - powerpc/smp: Update cpu_core_map on all PowerPc systems (Diego Domingos) [2063682] - nvmet: revert "nvmet: make discovery NQN configurable" (Gopal Tiwari) [2066146] - nvme-tcp: send H2CData PDUs based on MAXH2CDATA (Gopal Tiwari) [2066146] - nvme: also mark passthrough-only namespaces ready in nvme_update_ns_info (Gopal Tiwari) [2066146] - nvme: don't return an error from nvme_configure_metadata (Gopal Tiwari) [2066146] - block: fix surprise removal for drivers calling blk_set_queue_dying (Gopal Tiwari) [2066146] - nvme-tcp: fix bogus request completion when failing to send AER (Gopal Tiwari) [2066146] - nvme: add nvme_complete_req tracepoint for batched completion (Gopal Tiwari) [2066146] - nvme-fabrics: remove the unneeded ret variable in nvmf_dev_show (Gopal Tiwari) [2066146] - nvme-pci: add the IGNORE_DEV_SUBNQN quirk for Intel P4500/P4600 SSDs (Gopal Tiwari) [2066146] - block: introduce rq_list_for_each_safe macro (Gopal Tiwari) [2066146] - block: move rq_list macros to blk-mq.h (Gopal Tiwari) [2066146] - nvme: add 'iopolicy' module parameter (Gopal Tiwari) [2066146] - nvme-fabrics: print out valid arguments when reading from /dev/nvme-fabrics (Gopal Tiwari) [2066146] - nvme: separate command prep and issue (Gopal Tiwari) [2066146] - nvme: split command copy into a helper (Gopal Tiwari) [2066146] - nvmet-tcp: fix possible list corruption for unexpected command failure (Gopal Tiwari) [2066146] - nvme: fix use after free when disconnecting a reconnecting ctrl (Gopal Tiwari) [2066146] - nvme-multipath: set ana_log_size to 0 after free ana_log_buf (Gopal Tiwari) [2066146] - nvme: disable namespace access for unsupported metadata (Gopal Tiwari) [2066146] - nvme: report write pointer for a full zone as zone start + zone len (Gopal Tiwari) [2066146] - nvme: show subsys nqn for duplicate cntlids (Gopal Tiwari) [2066146] - nvme-pci: add NO APST quirk for Kioxia device (Gopal Tiwari) [2066146] - nvme: fix write zeroes pi (Gopal Tiwari) [2066146] - nvmet: use flex_array_size and struct_size (Gopal Tiwari) [2066146] - nvmet: use struct_size over open coded arithmetic (Gopal Tiwari) [2066146] - nvme-pci: clear shadow doorbell memory on resets (Gopal Tiwari) [2066146] - nvmet: use macro definitions for setting cmic value (Gopal Tiwari) [2066146] - nvme-multipath: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme-rdma: fix error code in nvme_rdma_setup_ctrl (Gopal Tiwari) [2066146] - nvmet: use macro definition for setting nmic value (Gopal Tiwari) [2066146] - nvmet-rdma: implement get_max_queue_size controller op (Gopal Tiwari) [2066146] - nvmet: add get_max_queue_size op for controllers (Gopal Tiwari) [2066146] - nvme-rdma: limit the maximal queue size for RDMA controllers (Gopal Tiwari) [2066146] - nvme: generate uevent once a multipath namespace is operational again (Gopal Tiwari) [2066146] - nvme-fc: add support for ->map_queues (Gopal Tiwari) [2066146] - nvme: loop: clear NVME_CTRL_ADMIN_Q_STOPPED after admin queue is reallocated (Gopal Tiwari) [2066146] - nvme: don't memset() the normal read/write command (Gopal Tiwari) [2066146] - nvme: move command clear into the various setup helpers (Gopal Tiwari) [2066146] - nvme: wire up completion batching for the IRQ path (Gopal Tiwari) [2066146] - nvme: add support for batched completion of polled IO (Gopal Tiwari) [2066146] - nvme-multipath: enable polled I/O (Gopal Tiwari) [2066146] - nvme: remove the call to nvme_update_disk_info in nvme_ns_remove (Gopal Tiwari) [2066146] - nvme-rdma: destroy cm id before destroy qp to avoid use after free (Gopal Tiwari) [2066146] - nvme: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme: only call synchronize_srcu when clearing current path (Gopal Tiwari) [2066146] - nvme-multipath: revalidate paths during rescan (Gopal Tiwari) [2066146] - nvme-multipath: set QUEUE_FLAG_NOWAIT (Gopal Tiwari) [2066146] - nvme: remove the unused NVME_NS_* enum (Gopal Tiwari) [2066146] - nvme: remove nvm_ndev from ns (Gopal Tiwari) [2066146] - nvme: Have NVME_FABRICS select NVME_CORE instead of transport drivers (Gopal Tiwari) [2066146] - nvme-pci: disable hmb on idle suspend (Gopal Tiwari) [2066146] - nvme: allow user toggling hmb usage (Gopal Tiwari) [2066146] - nvme-fabrics: remove superfluous nvmf_host_put in nvmf_parse_options (Gopal Tiwari) [2066146] - nvme: add set feature tracing support (Gopal Tiwari) [2066146] - nvmet: add set feature tracing support (Gopal Tiwari) [2066146] - nvme-pci: cmb sysfs: one file, one value (Gopal Tiwari) [2066146] - nvme-pci: use attribute group for cmb sysfs (Gopal Tiwari) [2066146] - remove the lightnvm subsystem (Gopal Tiwari) [2066146] - compiler.h: Introduce absolute_pointer macro (Gopal Tiwari) [2066146] - mm/usercopy: return 1 from hardened_usercopy __setup() handler (Rafael Aquini) [2064990] - mm: madvise: return correct bytes advised with process_madvise (Rafael Aquini) [2064990] - mm/thp: refix __split_huge_pmd_locked() for migration PMD (Rafael Aquini) [2064990] - mm/mlock: fix potential imbalanced rlimit ucounts adjustment (Rafael Aquini) [2064990] - mm/hwpoison: fix error page recovered but reported "not recovered" (Rafael Aquini) [2064990] - mm/page_alloc: check high-order pages for corruption during PCP operations (Rafael Aquini) [2064990] - mm/page_alloc: do not prefetch buddies during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: limit number of high-order pages on PCP during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: free pages in a single pass during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: drain the requested list first during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: simplify how many pages are selected per pcp list during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: track range of active PCP lists during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: fetch the correct pcp buddy during bulk free (Rafael Aquini) [2064990] - mm/pages_alloc.c: don't create ZONE_MOVABLE beyond the end of a node (Rafael Aquini) [2064990] - mm/page_alloc: mark pagesets as __maybe_unused (Rafael Aquini) [2064990] - mm: Consider __GFP_NOWARN flag for oversized kvmalloc() calls (Rafael Aquini) [2064990] - kasan: test: prevent cache merging in kmem_cache_double_destroy (Rafael Aquini) [2064990] - mm: don't try to NUMA-migrate COW pages that have other uses (Rafael Aquini) [2064990] - mm/debug_vm_pgtable: remove pte entry from the page table (Rafael Aquini) [2064990] - Revert "mm/gup: small refactoring: simplify try_grab_page()" (Rafael Aquini) [2064990] - kasan: fix quarantine conflicting with init_on_free (Rafael Aquini) [2064990] - kasan: test: add test case for double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: add ability to detect double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: test: add globals left-out-of-bounds test (Rafael Aquini) [2064990] - mm/slab_common: use WARN() if cache still has objects on destroy (Rafael Aquini) [2064990] - mm: mempolicy: fix THP allocations escaping mempolicy restrictions (Rafael Aquini) [2064990] - kfence: fix memory leak when cat kfence objects (Rafael Aquini) [2064990] - mm/memcg: relocate mod_objcg_mlstate(), get_obj_stock() and put_obj_stock() (Rafael Aquini) [2064990] - mm/slub: fix endianness bug for alloc/free_traces attributes (Rafael Aquini) [2064990] - hugetlb, userfaultfd: fix reservation restore on userfaultfd error (Rafael Aquini) [2064990] - mm/zsmalloc.c: close race window between zs_pool_dec_isolated() and zs_unregister_migration() (Rafael Aquini) [2064990] - hugetlb: remove unnecessary set_page_count in prep_compound_gigantic_page (Rafael Aquini) [2064990] - mm: move fold_vm_numa_events() to fix NUMA without SMP (Rafael Aquini) [2064990] - mm/page_alloc.c: fix obsolete comment in free_pcppages_bulk() (Rafael Aquini) [2064990] - mm/large system hash: avoid possible NULL deref in alloc_large_system_hash (Rafael Aquini) [2064990] - lib/test_vmalloc.c: use swap() to make code cleaner (Rafael Aquini) [2064990] - mm/vmalloc: be more explicit about supported gfp flags (Rafael Aquini) [2064990] - vmalloc: choose a better start address in vm_area_register_early() (Rafael Aquini) [2064990] - vmalloc: back off when the current task is OOM-killed (Rafael Aquini) [2064990] - mm/vmalloc: check various alignments when debugging (Rafael Aquini) [2064990] - mm/vmalloc: make sure to dump unpurged areas in /proc/vmallocinfo (Rafael Aquini) [2064990] - mm/vmalloc: make show_numa_info() aware of hugepage mappings (Rafael Aquini) [2064990] - mm/vmalloc: don't allow VM_NO_GUARD on vmap() (Rafael Aquini) [2064990] - mm/vmalloc: repair warn_alloc()s in __vmalloc_area_node() (Rafael Aquini) [2064990] - mm/mremap: don't account pages in vma_to_resize() (Rafael Aquini) [2064990] - mm/filemap.c: remove bogus VM_BUG_ON (Rafael Aquini) [2064990] - kasan: fix tag for large allocations when using CONFIG_SLAB (Rafael Aquini) [2064990] - mm: don't include <linux/dax.h> in <linux/mempolicy.h> (Rafael Aquini) [2064990] - mm: disable NUMA_BALANCING_DEFAULT_ENABLED and TRANSPARENT_HUGEPAGE on PREEMPT_RT (Rafael Aquini) [2064990] - mm, slub: use prefetchw instead of prefetch (Rafael Aquini) [2064990] - mm/slub: increase default cpu partial list sizes (Rafael Aquini) [2064990] - mm, slub: change percpu partial accounting from objects to pages (Rafael Aquini) [2064990] - slub: add back check for free nonslab objects (Rafael Aquini) [2064990] - mm/slab.c: remove useless lines in enable_cpucache() (Rafael Aquini) [2064990] - mm: move kvmalloc-related functions to slab.h (Rafael Aquini) [2064990] - selftests: kvm: add amx_test to .gitignore (Paul Lai) [1924149] - kvm: Move KVM_GET_XSAVE2 IOCTL definition at the end of kvm.h (Paul Lai) [1924149] - kvm: selftests: sync uapi/linux/kvm.h with Linux header (Paul Lai) [1924149] - kvm: selftests: conditionally build vm_xsave_req_perm() (Paul Lai) [1924149] - KVM: x86/cpuid: Exclude unpermitted xfeatures sizes at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - KVM: x86/cpuid: Clear XFD for component i if the base feature is missing (Paul Lai) [1924149] - selftest: kvm: Add amx selftest (Paul Lai) [1924149] - selftest: kvm: Move struct kvm_x86_state to header (Paul Lai) [1924149] - selftest: kvm: Reorder vcpu_load_state steps for AMX (Paul Lai) [1924149] - kvm: x86: Disable interception for IA32_XFD on demand (Paul Lai) [1924149] - x86/fpu: Provide fpu_sync_guest_vmexit_xfd_state() (Paul Lai) [1924149] - kvm: selftests: Add support for KVM_CAP_XSAVE2 (Paul Lai) [1924149] - kvm: x86: Add support for getting/setting expanded xstate buffer (Paul Lai) [1924149] - x86/fpu: Add uabi_size to guest_fpu (Paul Lai) [1924149] - kvm: x86: Add CPUID support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Add XCR0 support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Disable RDMSR interception of IA32_XFD_ERR (Paul Lai) [1924149] - kvm: x86: Intercept #NM for saving IA32_XFD_ERR (Paul Lai) [1924149] - x86/fpu: Make XFD initialization in __fpstate_reset() a function argument (Paul Lai) [1924149] - kvm: x86: Exclude unpermitted xfeatures at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - kvm: x86: Fix xstate_required_size() to follow XSTATE alignment rule (Paul Lai) [1924149] - kvm: selftests: move ucall declarations into ucall_common.h (Paul Lai) [1924149] - kvm: selftests: move base kvm_util.h declarations to kvm_util_base.h (Paul Lai) [1924149] - rename c9s pipeline from centos-stream-9 to c9s (Bruno Goncalves) - genirq: Provide new interfaces for affinity hints (Phil Auld) [2055300] - um: vector: adjust to coalesce API changes (Ivan Vecera) [2047636] - igb: fix deadlock caused by taking RTNL in RPM resume path (Ivan Vecera) [2047636] - ethtool: Fix link extended state for big endian (Ivan Vecera) [2047636] - ethtool: use phydev variable (Ivan Vecera) [2047636] - ethtool: Remove redundant ret assignments (Ivan Vecera) [2047636] - ethtool: do not perform operations on net devices being unregistered (Ivan Vecera) [2047636] - ethtool: netlink: Slightly simplify 'ethnl_features_to_bitmap()' (Ivan Vecera) [2047636] - ethtool: ioctl: fix potential NULL deref in ethtool_set_coalesce() (Ivan Vecera) [2047636] - net: ethtool: set a default driver name (Ivan Vecera) [2047636] - ethtool: extend ringparam setting/getting API with rx_buf_len (Ivan Vecera) [2047636] - ethtool: add support to set/get rx buf len via ethtool (Ivan Vecera) [2047636] - ethtool: add support to set/get tx copybreak buf size via ethtool (Ivan Vecera) [2047636] - ethtool: fix ethtool msg len calculation for pause stats (Ivan Vecera) [2047636] - ethtool: don't drop the rtnl_lock half way thru the ioctl (Ivan Vecera) [2047636] - devlink: expose get/put functions (Ivan Vecera) [2047636] - ethtool: handle info/flash data copying outside rtnl_lock (Ivan Vecera) [2047636] - ethtool: push the rtnl_lock into dev_ethtool() (Ivan Vecera) [2047636] - ethtool: Add transceiver module extended state (Ivan Vecera) [2047636] - ethtool: Add ability to control transceiver modules' power mode (Ivan Vecera) [2047636] - ethtool: ioctl: Use array_size() helper in copy_{from,to}_user() (Ivan Vecera) [2047636] - ethtool: prevent endless loop if eeprom size is smaller than announced (Ivan Vecera) [2047636] - ethtool: extend coalesce setting uAPI with CQE mode (Ivan Vecera) [2047636] - ethtool: add two coalesce attributes for CQE mode (Ivan Vecera) [2047636] - ethtool: add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - docs: ethtool: Add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - ethtool: return error from ethnl_ops_begin if dev is NULL (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent in ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move netif_device_present check from ethnl_parse_header_dev_get to ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move implementation of ethnl_ops_begin/complete to netlink.c (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent before ethtool ioctl ops (Ivan Vecera) [2047636] Resolves: rhbz# 2047636, rhbz#2055300, rhbz#1924149, rhbz#2064990, rhbz#2066146, rhbz#2063682, rhbz#2058835, rhbz#2066225, rhbz#2045254, rhbz#2046537, rhbz#2037486, rhbz#1873827, rhbz#2062513, rhbz#2047836, rhbz#2070369, rhbz#070624, rhbz#2062762 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-07 11:17:18 +00:00
# CONFIG_TCG_INFINEON is not set
# CONFIG_TCG_NSC is not set
# CONFIG_TCG_TIS_I2C_ATMEL is not set
# CONFIG_TCG_TIS_I2C_CR50 is not set
kernel-5.14.0-77.el9 * Thu Apr 07 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-77.el9] - NFSD: Fix offset type in I/O trace points (Benjamin Coddington) [2063045] - NFSD: COMMIT operations must not return NFS?ERR_INVAL (Benjamin Coddington) [2063045] - NFSD: Clamp WRITE offsets (Benjamin Coddington) [2063045] - NFSD: Fix NFSv3 SETATTR/CREATE's handling of large file sizes (Benjamin Coddington) [2063045] - NFSD: Fix ia_size underflow (Benjamin Coddington) [2063045] - NFSD: Fix the behavior of READ near OFFSET_MAX (Benjamin Coddington) [2063045] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2054100] - Revert "include/linux/ioport.h: Pad resource struct for KABI" (Prarit Bhargava) [2055736] - powerpc/pseries: Fix use after free in remove_phb_dynamic() (Prarit Bhargava) [2055736] - lib/sbitmap: kill 'depth' from sbitmap_word (Ming Lei) [2064695] - KVM: use __vcalloc for very large allocations (David Hildenbrand) [2057367] - mm: use vmalloc_array and vcalloc for array allocations (David Hildenbrand) [2057367] - mm: vmalloc: introduce array allocation functions (David Hildenbrand) [2057367] - tpm: fix potential NULL pointer access in tpm_del_char_device (Desnes A. Nunes do Rosario) [2032117] - x86/speculation: Warn about eIBRS + LFENCE + Unprivileged eBPF + SMT (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Warn about Spectre v2 LFENCE mitigation (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Update link to AMD speculation whitepaper (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Use generic retpoline by default on AMD (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - Documentation/hw-vuln: Update spectre doc (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Add eIBRS + Retpoline options (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Rename RETPOLINE_AMD to RETPOLINE_LFENCE (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86,bugs: Unconditionally allow spectre_v2=retpoline,amd (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86: deduplicate the spectre_v2_user documentation (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - redhat/configs: aarch64: Enable ARM_SPE_PMU (Mark Salter) [2063234] - drm/i915/audio: Use BIOS provided value for RKL HDA link (Mika Penttilä) [2046691] - redhat: configs: Disable TPM 1.2 device drivers (Jerry Snitselaar) [2060516] - redhat: configs: Change aarch64 default dma domain to lazy (Jerry Snitselaar) [2050415] - powerpc/64s/hash: Make hash faults work in NMI context (Desnes A. Nunes do Rosario) [2062762] - CI: Remove deprecated option (Veronika Kabatova) - redhat/configs: make SHA512_arch algos and CRYPTO_USER built-ins (Vladis Dronov) [2070624] - futex: Fix PREEMPT_RT build (Fernando Pacheco) [2070369] - crypto: ccp - ccp_dmaengine_unregister release dma channels (Vladis Dronov) [2047836] - crypto: ccp - remove redundant ret variable (Vladis Dronov) [2047836] - crypto: ccp - Ensure psp_ret is always init'd in __sev_platform_init_locked() (Vladis Dronov) [2047836] - crypto: ccp - remove unneeded semicolon (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT_EX support (Vladis Dronov) [2047836] - crypto: ccp - Add psp_init_on_probe module parameter (Vladis Dronov) [2047836] - crypto: ccp - Refactor out sev_fw_alloc() (Vladis Dronov) [2047836] - crypto: ccp - Move SEV_INIT retry for corrupted data (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT rc error logging on init (Vladis Dronov) [2047836] - crypto: ccp - no need to initialise statics to 0 (Vladis Dronov) [2047836] - perf tests attr: Add missing topdown metrics events (Michael Petlan) [2062513] - powerpc/papr_scm: Implement initial support for injecting smart errors (Steve Best) [1873827] - redhat/koji/Makefile: Decouple koji Makefile from Makefile.common (Andrea Claudi) [2037486] - redhat: fix make {distg-brew,distg-koji} (Andrea Claudi) [2037486] - mm: memcg: synchronize objcg lists with a dedicated spinlock (Waiman Long) [2046537] - mm: memcontrol: remove the kmem states (Waiman Long) [2046537] - mm: memcontrol: remove kmemcg_id reparenting (Waiman Long) [2046537] - mm/memcg: remove obsolete memcg_free_kmem() (Waiman Long) [2046537] - PCI: rcar: Check if device is runtime suspended instead of __clk_is_enabled() (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for MEM resource type (Myron Stowe) [2045254] - PCI/MSI: Move non-mask check back into low level accessors (Myron Stowe) [2045254] - PCI: aardvark: Implement re-issuing config requests on CRS response (Myron Stowe) [2045254] - PCI: aardvark: Deduplicate code in advk_pcie_rd_conf() (Myron Stowe) [2045254] - PCI: aardvark: Fix preserving PCI_EXP_RTCTL_CRSSVE flag on emulated bridge (Myron Stowe) [2045254] - PCI: ACPI: Drop acpi_pci_bus (Myron Stowe) [2045254] - ACPI: glue: Eliminate acpi_platform_notify() (Myron Stowe) [2045254] - ACPI: bus: Rename functions to avoid name collision (Myron Stowe) [2045254] - ACPI: glue: Change return type of two functions to void (Myron Stowe) [2045254] - ACPI: glue: Rearrange acpi_device_notify() (Myron Stowe) [2045254] - PCI/MSI: Handle msi_populate_sysfs() errors correctly (Myron Stowe) [2045254] - MAINTAINERS: Add Nirmal Patel as VMD maintainer (Myron Stowe) [2045254] - PCI: Add AMD GPU multi-function power dependencies (Myron Stowe) [2045254] - tools: PCI: Zero-initialize param (Myron Stowe) [2045254] - PCI: controller: PCI_IXP4XX should depend on ARCH_IXP4XX (Myron Stowe) [2045254] - Documentation: PCI: endpoint/pci-endpoint-cfs: Guide to use SR-IOV (Myron Stowe) [2045254] - misc: pci_endpoint_test: Populate sriov_configure ops to configure SR-IOV device (Myron Stowe) [2045254] - PCI: cadence: Add support to configure virtual functions (Myron Stowe) [2045254] - PCI: cadence: Simplify code to get register base address for configuring BAR (Myron Stowe) [2045254] - PCI: endpoint: Add virtual function number in pci_epc ops (Myron Stowe) [2045254] - PCI: endpoint: Add support to link a physical function to a virtual function (Myron Stowe) [2045254] - PCI: endpoint: Add support to add virtual function in endpoint core (Myron Stowe) [2045254] - dt-bindings: PCI: pci-ep: Add binding to specify virtual function (Myron Stowe) [2045254] - PCI: xilinx-nwl: Enable the clock through CCF (Myron Stowe) [2045254] - dt-bindings: pci: xilinx-nwl: Document optional clock property (Myron Stowe) [2045254] - PCI: xgene-msi: Remove redundant dev_err() call in xgene_msi_probe() (Myron Stowe) [2045254] - PCI: tegra194: Cleanup unused code (Myron Stowe) [2045254] - PCI: tegra194: Don't allow suspend when Tegra PCIe is in EP mode (Myron Stowe) [2045254] - PCI: tegra194: Disable interrupts before entering L2 (Myron Stowe) [2045254] - PCI: tegra194: Fix MSI-X programming (Myron Stowe) [2045254] - PCI: tegra194: Fix handling BME_CHGED event (Myron Stowe) [2045254] - PCI: tegra: make const array err_msg static (Myron Stowe) [2045254] - PCI: tegra: Use 'seq_puts' instead of 'seq_printf' (Myron Stowe) [2045254] - PCI: tegra: Fix OF node reference leak (Myron Stowe) [2045254] - PCI: tegra: Remove unused struct tegra_pcie_bus (Myron Stowe) [2045254] - PCI: rcar: Add L1 link state fix into data abort hook (Myron Stowe) [2045254] - PCI: rcar: Fix runtime PM imbalance in rcar_pcie_ep_probe() (Myron Stowe) [2045254] - PCI: mediatek: Use PCI domain to handle ports detection (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get irq number (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get shared pcie-cfg base address (Myron Stowe) [2045254] - dt-bindings: PCI: mediatek: Update the Device tree bindings (Myron Stowe) [2045254] - PCI: keembay: Add support for Intel Keem Bay (Myron Stowe) [2045254] - dt-bindings: PCI: Add Intel Keem Bay PCIe controller (Myron Stowe) [2045254] - PCI: iproc: Fix BCMA probe resource handling (Myron Stowe) [2045254] - PCI: of: Don't fail devm_pci_alloc_host_bridge() on missing 'ranges' (Myron Stowe) [2045254] - misc: pci_endpoint_test: Add deviceID for AM64 and J7200 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for AM64 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for J7200 (Myron Stowe) [2045254] - PCI: cadence: Add quirk flag to set minimum delay in LTSSM Detect.Quiet state (Myron Stowe) [2045254] - PCI: cadence: Use bitfield for *quirk_retrain_flag* instead of bool (Myron Stowe) [2045254] - PCI: aardvark: Fix masking and unmasking legacy INTx interrupts (Myron Stowe) [2045254] - PCI: aardvark: Configure PCIe resources from 'ranges' DT property (Myron Stowe) [2045254] - PCI: aardvark: Fix reporting CRS value (Myron Stowe) [2045254] - PCI: pci-bridge-emul: Add PCIe Root Capabilities Register (Myron Stowe) [2045254] - PCI: aardvark: Increase polling delay to 1.5s while waiting for PIO response (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for PIO status (Myron Stowe) [2045254] - MAINTAINERS: Add entries for Toshiba Visconti PCIe controller (Myron Stowe) [2045254] - PCI: visconti: Add Toshiba Visconti PCIe host controller driver (Myron Stowe) [2045254] - PCI: rockchip-dwc: Add Rockchip RK356X host controller driver (Myron Stowe) [2045254] - PCI: dwc: Remove surplus break statement after return (Myron Stowe) [2045254] - PCI: artpec6: Remove local code block from switch statement (Myron Stowe) [2045254] - PCI: artpec6: Remove surplus break statement after return (Myron Stowe) [2045254] - x86/PCI: sta2x11: switch from 'pci_' to 'dma_' API (Myron Stowe) [2045254] - PCI: Sync __pci_register_driver() stub for CONFIG_PCI=n (Myron Stowe) [2045254] - PCI: Add schedule point in proc_bus_pci_read() (Myron Stowe) [2045254] - PCI: Correct the pci_iomap.h header guard #endif comment (Myron Stowe) [2045254] - PCI/PTM: Remove error message at boot (Myron Stowe) [2045254] - PCI: Fix pci_dev_str_match_path() alloc while atomic bug (Myron Stowe) [2045254] - x86/PCI: Add pci_numachip_init() declaration (Myron Stowe) [2045254] - PCI/ACS: Enforce pci=noats with Transaction Blocking (Myron Stowe) [2045254] - PCI: Add ACS quirks for Cavium multi-function devices (Myron Stowe) [2045254] - PCI: Add ACS quirks for NXP LX2xx0 and LX2xx2 platforms (Myron Stowe) [2045254] - PCI: Optimize pci_resource_len() to reduce kernel size (Myron Stowe) [2045254] - PCI: Refactor pci_ioremap_bar() and pci_ioremap_wc_bar() (Myron Stowe) [2045254] - PCI/portdrv: Enable Bandwidth Notification only if port supports it (Myron Stowe) [2045254] - PCI: Bulk conversion to generic_handle_domain_irq() (Myron Stowe) [2045254] - PCI: Set dma-can-stall for HiSilicon chips (Myron Stowe) [2045254] - PCI: ibmphp: Fix double unmap of io_mem (Myron Stowe) [2045254] - PCI: Return int from pciconfig_read() syscall (Myron Stowe) [2045254] - PCI: Return ~0 data on pciconfig_read() CAP_SYS_ADMIN failure (Myron Stowe) [2045254] - PCI: Restrict ASMedia ASM1062 SATA Max Payload Size Supported (Myron Stowe) [2045254] - PCI: Call Max Payload Size-related fixup quirks early (Myron Stowe) [2045254] - platform-msi: Add ABI to show msi_irqs of platform devices (Myron Stowe) [2045254] - genirq/msi: Move MSI sysfs handling from PCI to MSI core (Myron Stowe) [2045254] - genirq/timings: Fix error return code in irq_timings_test_irqs() (Myron Stowe) [2045254] - PCI/MSI: Use new mask/unmask functions (Myron Stowe) [2045254] - PCI/MSI: Provide a new set of mask and unmask functions (Myron Stowe) [2045254] - PCI/MSI: Cleanup msi_mask() (Myron Stowe) [2045254] - PCI/MSI: Deobfuscate virtual MSI-X (Myron Stowe) [2045254] - PCI/MSI: Consolidate error handling in msi_capability_init() (Myron Stowe) [2045254] - PCI/MSI: Rename msi_desc::masked (Myron Stowe) [2045254] - PCI/MSI: Simplify msi_verify_entries() (Myron Stowe) [2045254] - s390/pci: Do not mask MSI[-X] entries on teardown (Myron Stowe) [2045254] - genirq: Improve "hwirq" output in /proc and /sys/ (Myron Stowe) [2045254] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2066225] - ibmvnic: Allow queueing resets during probe (Diego Domingos) [2058835] - ibmvnic: clear fop when retrying probe (Diego Domingos) [2058835] - ibmvnic: init init_done_rc earlier (Diego Domingos) [2058835] - ibmvnic: register netdev after init of adapter (Diego Domingos) [2058835] - ibmvnic: complete init_done on transport events (Diego Domingos) [2058835] - ibmvnic: define flush_reset_queue helper (Diego Domingos) [2058835] - ibmvnic: initialize rc before completing wait (Diego Domingos) [2058835] - ibmvnic: free reset-work-item when flushing (Diego Domingos) [2058835] - powerpc/smp: Update cpu_core_map on all PowerPc systems (Diego Domingos) [2063682] - nvmet: revert "nvmet: make discovery NQN configurable" (Gopal Tiwari) [2066146] - nvme-tcp: send H2CData PDUs based on MAXH2CDATA (Gopal Tiwari) [2066146] - nvme: also mark passthrough-only namespaces ready in nvme_update_ns_info (Gopal Tiwari) [2066146] - nvme: don't return an error from nvme_configure_metadata (Gopal Tiwari) [2066146] - block: fix surprise removal for drivers calling blk_set_queue_dying (Gopal Tiwari) [2066146] - nvme-tcp: fix bogus request completion when failing to send AER (Gopal Tiwari) [2066146] - nvme: add nvme_complete_req tracepoint for batched completion (Gopal Tiwari) [2066146] - nvme-fabrics: remove the unneeded ret variable in nvmf_dev_show (Gopal Tiwari) [2066146] - nvme-pci: add the IGNORE_DEV_SUBNQN quirk for Intel P4500/P4600 SSDs (Gopal Tiwari) [2066146] - block: introduce rq_list_for_each_safe macro (Gopal Tiwari) [2066146] - block: move rq_list macros to blk-mq.h (Gopal Tiwari) [2066146] - nvme: add 'iopolicy' module parameter (Gopal Tiwari) [2066146] - nvme-fabrics: print out valid arguments when reading from /dev/nvme-fabrics (Gopal Tiwari) [2066146] - nvme: separate command prep and issue (Gopal Tiwari) [2066146] - nvme: split command copy into a helper (Gopal Tiwari) [2066146] - nvmet-tcp: fix possible list corruption for unexpected command failure (Gopal Tiwari) [2066146] - nvme: fix use after free when disconnecting a reconnecting ctrl (Gopal Tiwari) [2066146] - nvme-multipath: set ana_log_size to 0 after free ana_log_buf (Gopal Tiwari) [2066146] - nvme: disable namespace access for unsupported metadata (Gopal Tiwari) [2066146] - nvme: report write pointer for a full zone as zone start + zone len (Gopal Tiwari) [2066146] - nvme: show subsys nqn for duplicate cntlids (Gopal Tiwari) [2066146] - nvme-pci: add NO APST quirk for Kioxia device (Gopal Tiwari) [2066146] - nvme: fix write zeroes pi (Gopal Tiwari) [2066146] - nvmet: use flex_array_size and struct_size (Gopal Tiwari) [2066146] - nvmet: use struct_size over open coded arithmetic (Gopal Tiwari) [2066146] - nvme-pci: clear shadow doorbell memory on resets (Gopal Tiwari) [2066146] - nvmet: use macro definitions for setting cmic value (Gopal Tiwari) [2066146] - nvme-multipath: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme-rdma: fix error code in nvme_rdma_setup_ctrl (Gopal Tiwari) [2066146] - nvmet: use macro definition for setting nmic value (Gopal Tiwari) [2066146] - nvmet-rdma: implement get_max_queue_size controller op (Gopal Tiwari) [2066146] - nvmet: add get_max_queue_size op for controllers (Gopal Tiwari) [2066146] - nvme-rdma: limit the maximal queue size for RDMA controllers (Gopal Tiwari) [2066146] - nvme: generate uevent once a multipath namespace is operational again (Gopal Tiwari) [2066146] - nvme-fc: add support for ->map_queues (Gopal Tiwari) [2066146] - nvme: loop: clear NVME_CTRL_ADMIN_Q_STOPPED after admin queue is reallocated (Gopal Tiwari) [2066146] - nvme: don't memset() the normal read/write command (Gopal Tiwari) [2066146] - nvme: move command clear into the various setup helpers (Gopal Tiwari) [2066146] - nvme: wire up completion batching for the IRQ path (Gopal Tiwari) [2066146] - nvme: add support for batched completion of polled IO (Gopal Tiwari) [2066146] - nvme-multipath: enable polled I/O (Gopal Tiwari) [2066146] - nvme: remove the call to nvme_update_disk_info in nvme_ns_remove (Gopal Tiwari) [2066146] - nvme-rdma: destroy cm id before destroy qp to avoid use after free (Gopal Tiwari) [2066146] - nvme: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme: only call synchronize_srcu when clearing current path (Gopal Tiwari) [2066146] - nvme-multipath: revalidate paths during rescan (Gopal Tiwari) [2066146] - nvme-multipath: set QUEUE_FLAG_NOWAIT (Gopal Tiwari) [2066146] - nvme: remove the unused NVME_NS_* enum (Gopal Tiwari) [2066146] - nvme: remove nvm_ndev from ns (Gopal Tiwari) [2066146] - nvme: Have NVME_FABRICS select NVME_CORE instead of transport drivers (Gopal Tiwari) [2066146] - nvme-pci: disable hmb on idle suspend (Gopal Tiwari) [2066146] - nvme: allow user toggling hmb usage (Gopal Tiwari) [2066146] - nvme-fabrics: remove superfluous nvmf_host_put in nvmf_parse_options (Gopal Tiwari) [2066146] - nvme: add set feature tracing support (Gopal Tiwari) [2066146] - nvmet: add set feature tracing support (Gopal Tiwari) [2066146] - nvme-pci: cmb sysfs: one file, one value (Gopal Tiwari) [2066146] - nvme-pci: use attribute group for cmb sysfs (Gopal Tiwari) [2066146] - remove the lightnvm subsystem (Gopal Tiwari) [2066146] - compiler.h: Introduce absolute_pointer macro (Gopal Tiwari) [2066146] - mm/usercopy: return 1 from hardened_usercopy __setup() handler (Rafael Aquini) [2064990] - mm: madvise: return correct bytes advised with process_madvise (Rafael Aquini) [2064990] - mm/thp: refix __split_huge_pmd_locked() for migration PMD (Rafael Aquini) [2064990] - mm/mlock: fix potential imbalanced rlimit ucounts adjustment (Rafael Aquini) [2064990] - mm/hwpoison: fix error page recovered but reported "not recovered" (Rafael Aquini) [2064990] - mm/page_alloc: check high-order pages for corruption during PCP operations (Rafael Aquini) [2064990] - mm/page_alloc: do not prefetch buddies during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: limit number of high-order pages on PCP during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: free pages in a single pass during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: drain the requested list first during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: simplify how many pages are selected per pcp list during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: track range of active PCP lists during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: fetch the correct pcp buddy during bulk free (Rafael Aquini) [2064990] - mm/pages_alloc.c: don't create ZONE_MOVABLE beyond the end of a node (Rafael Aquini) [2064990] - mm/page_alloc: mark pagesets as __maybe_unused (Rafael Aquini) [2064990] - mm: Consider __GFP_NOWARN flag for oversized kvmalloc() calls (Rafael Aquini) [2064990] - kasan: test: prevent cache merging in kmem_cache_double_destroy (Rafael Aquini) [2064990] - mm: don't try to NUMA-migrate COW pages that have other uses (Rafael Aquini) [2064990] - mm/debug_vm_pgtable: remove pte entry from the page table (Rafael Aquini) [2064990] - Revert "mm/gup: small refactoring: simplify try_grab_page()" (Rafael Aquini) [2064990] - kasan: fix quarantine conflicting with init_on_free (Rafael Aquini) [2064990] - kasan: test: add test case for double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: add ability to detect double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: test: add globals left-out-of-bounds test (Rafael Aquini) [2064990] - mm/slab_common: use WARN() if cache still has objects on destroy (Rafael Aquini) [2064990] - mm: mempolicy: fix THP allocations escaping mempolicy restrictions (Rafael Aquini) [2064990] - kfence: fix memory leak when cat kfence objects (Rafael Aquini) [2064990] - mm/memcg: relocate mod_objcg_mlstate(), get_obj_stock() and put_obj_stock() (Rafael Aquini) [2064990] - mm/slub: fix endianness bug for alloc/free_traces attributes (Rafael Aquini) [2064990] - hugetlb, userfaultfd: fix reservation restore on userfaultfd error (Rafael Aquini) [2064990] - mm/zsmalloc.c: close race window between zs_pool_dec_isolated() and zs_unregister_migration() (Rafael Aquini) [2064990] - hugetlb: remove unnecessary set_page_count in prep_compound_gigantic_page (Rafael Aquini) [2064990] - mm: move fold_vm_numa_events() to fix NUMA without SMP (Rafael Aquini) [2064990] - mm/page_alloc.c: fix obsolete comment in free_pcppages_bulk() (Rafael Aquini) [2064990] - mm/large system hash: avoid possible NULL deref in alloc_large_system_hash (Rafael Aquini) [2064990] - lib/test_vmalloc.c: use swap() to make code cleaner (Rafael Aquini) [2064990] - mm/vmalloc: be more explicit about supported gfp flags (Rafael Aquini) [2064990] - vmalloc: choose a better start address in vm_area_register_early() (Rafael Aquini) [2064990] - vmalloc: back off when the current task is OOM-killed (Rafael Aquini) [2064990] - mm/vmalloc: check various alignments when debugging (Rafael Aquini) [2064990] - mm/vmalloc: make sure to dump unpurged areas in /proc/vmallocinfo (Rafael Aquini) [2064990] - mm/vmalloc: make show_numa_info() aware of hugepage mappings (Rafael Aquini) [2064990] - mm/vmalloc: don't allow VM_NO_GUARD on vmap() (Rafael Aquini) [2064990] - mm/vmalloc: repair warn_alloc()s in __vmalloc_area_node() (Rafael Aquini) [2064990] - mm/mremap: don't account pages in vma_to_resize() (Rafael Aquini) [2064990] - mm/filemap.c: remove bogus VM_BUG_ON (Rafael Aquini) [2064990] - kasan: fix tag for large allocations when using CONFIG_SLAB (Rafael Aquini) [2064990] - mm: don't include <linux/dax.h> in <linux/mempolicy.h> (Rafael Aquini) [2064990] - mm: disable NUMA_BALANCING_DEFAULT_ENABLED and TRANSPARENT_HUGEPAGE on PREEMPT_RT (Rafael Aquini) [2064990] - mm, slub: use prefetchw instead of prefetch (Rafael Aquini) [2064990] - mm/slub: increase default cpu partial list sizes (Rafael Aquini) [2064990] - mm, slub: change percpu partial accounting from objects to pages (Rafael Aquini) [2064990] - slub: add back check for free nonslab objects (Rafael Aquini) [2064990] - mm/slab.c: remove useless lines in enable_cpucache() (Rafael Aquini) [2064990] - mm: move kvmalloc-related functions to slab.h (Rafael Aquini) [2064990] - selftests: kvm: add amx_test to .gitignore (Paul Lai) [1924149] - kvm: Move KVM_GET_XSAVE2 IOCTL definition at the end of kvm.h (Paul Lai) [1924149] - kvm: selftests: sync uapi/linux/kvm.h with Linux header (Paul Lai) [1924149] - kvm: selftests: conditionally build vm_xsave_req_perm() (Paul Lai) [1924149] - KVM: x86/cpuid: Exclude unpermitted xfeatures sizes at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - KVM: x86/cpuid: Clear XFD for component i if the base feature is missing (Paul Lai) [1924149] - selftest: kvm: Add amx selftest (Paul Lai) [1924149] - selftest: kvm: Move struct kvm_x86_state to header (Paul Lai) [1924149] - selftest: kvm: Reorder vcpu_load_state steps for AMX (Paul Lai) [1924149] - kvm: x86: Disable interception for IA32_XFD on demand (Paul Lai) [1924149] - x86/fpu: Provide fpu_sync_guest_vmexit_xfd_state() (Paul Lai) [1924149] - kvm: selftests: Add support for KVM_CAP_XSAVE2 (Paul Lai) [1924149] - kvm: x86: Add support for getting/setting expanded xstate buffer (Paul Lai) [1924149] - x86/fpu: Add uabi_size to guest_fpu (Paul Lai) [1924149] - kvm: x86: Add CPUID support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Add XCR0 support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Disable RDMSR interception of IA32_XFD_ERR (Paul Lai) [1924149] - kvm: x86: Intercept #NM for saving IA32_XFD_ERR (Paul Lai) [1924149] - x86/fpu: Make XFD initialization in __fpstate_reset() a function argument (Paul Lai) [1924149] - kvm: x86: Exclude unpermitted xfeatures at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - kvm: x86: Fix xstate_required_size() to follow XSTATE alignment rule (Paul Lai) [1924149] - kvm: selftests: move ucall declarations into ucall_common.h (Paul Lai) [1924149] - kvm: selftests: move base kvm_util.h declarations to kvm_util_base.h (Paul Lai) [1924149] - rename c9s pipeline from centos-stream-9 to c9s (Bruno Goncalves) - genirq: Provide new interfaces for affinity hints (Phil Auld) [2055300] - um: vector: adjust to coalesce API changes (Ivan Vecera) [2047636] - igb: fix deadlock caused by taking RTNL in RPM resume path (Ivan Vecera) [2047636] - ethtool: Fix link extended state for big endian (Ivan Vecera) [2047636] - ethtool: use phydev variable (Ivan Vecera) [2047636] - ethtool: Remove redundant ret assignments (Ivan Vecera) [2047636] - ethtool: do not perform operations on net devices being unregistered (Ivan Vecera) [2047636] - ethtool: netlink: Slightly simplify 'ethnl_features_to_bitmap()' (Ivan Vecera) [2047636] - ethtool: ioctl: fix potential NULL deref in ethtool_set_coalesce() (Ivan Vecera) [2047636] - net: ethtool: set a default driver name (Ivan Vecera) [2047636] - ethtool: extend ringparam setting/getting API with rx_buf_len (Ivan Vecera) [2047636] - ethtool: add support to set/get rx buf len via ethtool (Ivan Vecera) [2047636] - ethtool: add support to set/get tx copybreak buf size via ethtool (Ivan Vecera) [2047636] - ethtool: fix ethtool msg len calculation for pause stats (Ivan Vecera) [2047636] - ethtool: don't drop the rtnl_lock half way thru the ioctl (Ivan Vecera) [2047636] - devlink: expose get/put functions (Ivan Vecera) [2047636] - ethtool: handle info/flash data copying outside rtnl_lock (Ivan Vecera) [2047636] - ethtool: push the rtnl_lock into dev_ethtool() (Ivan Vecera) [2047636] - ethtool: Add transceiver module extended state (Ivan Vecera) [2047636] - ethtool: Add ability to control transceiver modules' power mode (Ivan Vecera) [2047636] - ethtool: ioctl: Use array_size() helper in copy_{from,to}_user() (Ivan Vecera) [2047636] - ethtool: prevent endless loop if eeprom size is smaller than announced (Ivan Vecera) [2047636] - ethtool: extend coalesce setting uAPI with CQE mode (Ivan Vecera) [2047636] - ethtool: add two coalesce attributes for CQE mode (Ivan Vecera) [2047636] - ethtool: add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - docs: ethtool: Add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - ethtool: return error from ethnl_ops_begin if dev is NULL (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent in ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move netif_device_present check from ethnl_parse_header_dev_get to ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move implementation of ethnl_ops_begin/complete to netlink.c (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent before ethtool ioctl ops (Ivan Vecera) [2047636] Resolves: rhbz# 2047636, rhbz#2055300, rhbz#1924149, rhbz#2064990, rhbz#2066146, rhbz#2063682, rhbz#2058835, rhbz#2066225, rhbz#2045254, rhbz#2046537, rhbz#2037486, rhbz#1873827, rhbz#2062513, rhbz#2047836, rhbz#2070369, rhbz#070624, rhbz#2062762 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-07 11:17:18 +00:00
# CONFIG_TCG_TIS_I2C_INFINEON is not set
CONFIG_TCG_TIS_I2C_NUVOTON=y
kernel-5.14.0-358.el9 * Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9] - KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808] - KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155} - usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462] - i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462] - i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462] - i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462] - power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462] - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855} - netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390} - netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390} - wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821] - wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821] - wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821] - wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821] - Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821] - wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821] - wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821] - wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821] - wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821] - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821] - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821] - wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821] - wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821] - wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821] - wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821] - wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821] - wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821] - wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821] - wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821] - wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821] - wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821] - wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821] - config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821] - config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821] - wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821] - wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821] - wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821] - wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821] - wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821] - wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821] - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821] - wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821] - wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821] - wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821] - wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821] - wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821] - wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821] - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821] - wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821] - mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821] - wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821] - wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821] - wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821] - wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821] - wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821] - wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821] - wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821] - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821] - wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821] - wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821] - wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821] - mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821] - wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821] - wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821] - wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821] - wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821] - wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821] - wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821] - wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821] - wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821] - wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821] - wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821] - wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821] - wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821] - wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821] - wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821] - wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821] - wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821] - wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821] - wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821] - wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821] - wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821] - wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821] - wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821] - wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821] - wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821] - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821] - wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821] - wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821] - wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821] - mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821] - wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821] - wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821] - wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821] - wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821] - wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821] - wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821] - wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821] - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821] - wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821] - wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821] - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821] - wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821] - wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821] - wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821] - wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821] - wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821] - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821] - wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821] - wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821] - wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821] - wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821] - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821] - wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821] - wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821] - wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821] - wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821] - wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821] - wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821] - wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821] - wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821] - wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821] - wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821] - wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821] - wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821] - wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821] - wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821] - wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821] - wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821] - wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821] - wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821] - wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821] - wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821] - wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821] - wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821] - wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821] - wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821] - wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821] - wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821] - wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821] - wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821] - wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821] - wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821] - wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821] - wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821] - wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821] - wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821] - wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821] - wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821] - wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821] - wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821] - wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821] - wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821] - wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821] - wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821] - wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821] - wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821] - wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821] - wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821] - wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821] - wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821] - wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821] - wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821] - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821] - bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821] - bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821] - net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821] - net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821] - wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821] - wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821] - wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821] - wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821] - wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821] - wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821] - wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821] - wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821] - bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821] - bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821] - bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821] - wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821] - wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821] - wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821] - wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821] - wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821] - wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821] - wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821] - wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821] - wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821] - wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821] - wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821] - wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821] - wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821] - wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821] - wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821] - wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821] - mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821] - wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821] - wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821] - wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821] - wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821] - wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821] - wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821] - wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821] - mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821] - mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821] - wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821] - wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821] - wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821] - wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821] - wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821] - wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821] - wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821] - wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821] - wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821] - wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821] - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821] - wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821] - wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821] - wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821] - wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821] - wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821] - wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821] - wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821] - wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821] - wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821] - wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821] - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821] - net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821] - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380} - wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821] - wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821] - wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821] - wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821] - wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821] - wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821] - wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821] - wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821] - wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821] - wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821] - wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821] - bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821] - wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821] - wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821] - wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821] - bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821] - bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821] - wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821] - wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821] - wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821] - wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821] - wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821] - wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821] - wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821] - wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821] - wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821] - wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821] - wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821] - wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821] - wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821] - wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821] - wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821] - wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821] - wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821] - wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821] - wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821] - wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821] - wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821] - wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821] - wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821] - wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821] - wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821] - wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821] - wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821] - wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821] - gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821] - wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821] - wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821] - wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821] - wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821] - wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821] - wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821] - wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821] - wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821] - wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821] - wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821] - wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821] - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821] - wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821] - wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821] - wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821] - wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821] - wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821] - wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821] - wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821] - wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821] - wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821] - wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821] - wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821] - wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821] - wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821] - wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821] - wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821] - wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821] - wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821] - wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821] - wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821] - wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821] - wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821] - wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821] - wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821] - wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821] - wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821] - wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821] - wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821] - wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821] - tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368] - tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058] - tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058] - tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058] - tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058] - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058] - tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058] - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058] - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058] - tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058] - tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058] - tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058] - spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368] - spi: Add TPM HW flow flag (Štěpán Horáček) [2168368] - tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058] - tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058] - spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368] - spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368] - spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368] - tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058] - tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058] - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058] - tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058] - redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058] - xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773} - netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147} - netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004} Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 14:51:42 +00:00
# CONFIG_TCG_TIS_SPI_CR50 is not set
CONFIG_TCG_TIS_SPI=y
kernel-5.14.0-77.el9 * Thu Apr 07 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-77.el9] - NFSD: Fix offset type in I/O trace points (Benjamin Coddington) [2063045] - NFSD: COMMIT operations must not return NFS?ERR_INVAL (Benjamin Coddington) [2063045] - NFSD: Clamp WRITE offsets (Benjamin Coddington) [2063045] - NFSD: Fix NFSv3 SETATTR/CREATE's handling of large file sizes (Benjamin Coddington) [2063045] - NFSD: Fix ia_size underflow (Benjamin Coddington) [2063045] - NFSD: Fix the behavior of READ near OFFSET_MAX (Benjamin Coddington) [2063045] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2054100] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2054100] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2054100] - Revert "include/linux/ioport.h: Pad resource struct for KABI" (Prarit Bhargava) [2055736] - powerpc/pseries: Fix use after free in remove_phb_dynamic() (Prarit Bhargava) [2055736] - lib/sbitmap: kill 'depth' from sbitmap_word (Ming Lei) [2064695] - KVM: use __vcalloc for very large allocations (David Hildenbrand) [2057367] - mm: use vmalloc_array and vcalloc for array allocations (David Hildenbrand) [2057367] - mm: vmalloc: introduce array allocation functions (David Hildenbrand) [2057367] - tpm: fix potential NULL pointer access in tpm_del_char_device (Desnes A. Nunes do Rosario) [2032117] - x86/speculation: Warn about eIBRS + LFENCE + Unprivileged eBPF + SMT (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Warn about Spectre v2 LFENCE mitigation (Waiman Long) [2062158 2062168] {CVE-2021-26401 CVE-2022-0001 CVE-2022-0002} - x86/speculation: Update link to AMD speculation whitepaper (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Use generic retpoline by default on AMD (Waiman Long) [2062168] {CVE-2021-26401} - x86/speculation: Include unprivileged eBPF status in Spectre v2 mitigation reporting (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - Documentation/hw-vuln: Update spectre doc (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Add eIBRS + Retpoline options (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86/speculation: Rename RETPOLINE_AMD to RETPOLINE_LFENCE (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86,bugs: Unconditionally allow spectre_v2=retpoline,amd (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - x86: deduplicate the spectre_v2_user documentation (Waiman Long) [2062158] {CVE-2022-0001 CVE-2022-0002} - redhat/configs: aarch64: Enable ARM_SPE_PMU (Mark Salter) [2063234] - drm/i915/audio: Use BIOS provided value for RKL HDA link (Mika Penttilä) [2046691] - redhat: configs: Disable TPM 1.2 device drivers (Jerry Snitselaar) [2060516] - redhat: configs: Change aarch64 default dma domain to lazy (Jerry Snitselaar) [2050415] - powerpc/64s/hash: Make hash faults work in NMI context (Desnes A. Nunes do Rosario) [2062762] - CI: Remove deprecated option (Veronika Kabatova) - redhat/configs: make SHA512_arch algos and CRYPTO_USER built-ins (Vladis Dronov) [2070624] - futex: Fix PREEMPT_RT build (Fernando Pacheco) [2070369] - crypto: ccp - ccp_dmaengine_unregister release dma channels (Vladis Dronov) [2047836] - crypto: ccp - remove redundant ret variable (Vladis Dronov) [2047836] - crypto: ccp - Ensure psp_ret is always init'd in __sev_platform_init_locked() (Vladis Dronov) [2047836] - crypto: ccp - remove unneeded semicolon (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT_EX support (Vladis Dronov) [2047836] - crypto: ccp - Add psp_init_on_probe module parameter (Vladis Dronov) [2047836] - crypto: ccp - Refactor out sev_fw_alloc() (Vladis Dronov) [2047836] - crypto: ccp - Move SEV_INIT retry for corrupted data (Vladis Dronov) [2047836] - crypto: ccp - Add SEV_INIT rc error logging on init (Vladis Dronov) [2047836] - crypto: ccp - no need to initialise statics to 0 (Vladis Dronov) [2047836] - perf tests attr: Add missing topdown metrics events (Michael Petlan) [2062513] - powerpc/papr_scm: Implement initial support for injecting smart errors (Steve Best) [1873827] - redhat/koji/Makefile: Decouple koji Makefile from Makefile.common (Andrea Claudi) [2037486] - redhat: fix make {distg-brew,distg-koji} (Andrea Claudi) [2037486] - mm: memcg: synchronize objcg lists with a dedicated spinlock (Waiman Long) [2046537] - mm: memcontrol: remove the kmem states (Waiman Long) [2046537] - mm: memcontrol: remove kmemcg_id reparenting (Waiman Long) [2046537] - mm/memcg: remove obsolete memcg_free_kmem() (Waiman Long) [2046537] - PCI: rcar: Check if device is runtime suspended instead of __clk_is_enabled() (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for MEM resource type (Myron Stowe) [2045254] - PCI/MSI: Move non-mask check back into low level accessors (Myron Stowe) [2045254] - PCI: aardvark: Implement re-issuing config requests on CRS response (Myron Stowe) [2045254] - PCI: aardvark: Deduplicate code in advk_pcie_rd_conf() (Myron Stowe) [2045254] - PCI: aardvark: Fix preserving PCI_EXP_RTCTL_CRSSVE flag on emulated bridge (Myron Stowe) [2045254] - PCI: ACPI: Drop acpi_pci_bus (Myron Stowe) [2045254] - ACPI: glue: Eliminate acpi_platform_notify() (Myron Stowe) [2045254] - ACPI: bus: Rename functions to avoid name collision (Myron Stowe) [2045254] - ACPI: glue: Change return type of two functions to void (Myron Stowe) [2045254] - ACPI: glue: Rearrange acpi_device_notify() (Myron Stowe) [2045254] - PCI/MSI: Handle msi_populate_sysfs() errors correctly (Myron Stowe) [2045254] - MAINTAINERS: Add Nirmal Patel as VMD maintainer (Myron Stowe) [2045254] - PCI: Add AMD GPU multi-function power dependencies (Myron Stowe) [2045254] - tools: PCI: Zero-initialize param (Myron Stowe) [2045254] - PCI: controller: PCI_IXP4XX should depend on ARCH_IXP4XX (Myron Stowe) [2045254] - Documentation: PCI: endpoint/pci-endpoint-cfs: Guide to use SR-IOV (Myron Stowe) [2045254] - misc: pci_endpoint_test: Populate sriov_configure ops to configure SR-IOV device (Myron Stowe) [2045254] - PCI: cadence: Add support to configure virtual functions (Myron Stowe) [2045254] - PCI: cadence: Simplify code to get register base address for configuring BAR (Myron Stowe) [2045254] - PCI: endpoint: Add virtual function number in pci_epc ops (Myron Stowe) [2045254] - PCI: endpoint: Add support to link a physical function to a virtual function (Myron Stowe) [2045254] - PCI: endpoint: Add support to add virtual function in endpoint core (Myron Stowe) [2045254] - dt-bindings: PCI: pci-ep: Add binding to specify virtual function (Myron Stowe) [2045254] - PCI: xilinx-nwl: Enable the clock through CCF (Myron Stowe) [2045254] - dt-bindings: pci: xilinx-nwl: Document optional clock property (Myron Stowe) [2045254] - PCI: xgene-msi: Remove redundant dev_err() call in xgene_msi_probe() (Myron Stowe) [2045254] - PCI: tegra194: Cleanup unused code (Myron Stowe) [2045254] - PCI: tegra194: Don't allow suspend when Tegra PCIe is in EP mode (Myron Stowe) [2045254] - PCI: tegra194: Disable interrupts before entering L2 (Myron Stowe) [2045254] - PCI: tegra194: Fix MSI-X programming (Myron Stowe) [2045254] - PCI: tegra194: Fix handling BME_CHGED event (Myron Stowe) [2045254] - PCI: tegra: make const array err_msg static (Myron Stowe) [2045254] - PCI: tegra: Use 'seq_puts' instead of 'seq_printf' (Myron Stowe) [2045254] - PCI: tegra: Fix OF node reference leak (Myron Stowe) [2045254] - PCI: tegra: Remove unused struct tegra_pcie_bus (Myron Stowe) [2045254] - PCI: rcar: Add L1 link state fix into data abort hook (Myron Stowe) [2045254] - PCI: rcar: Fix runtime PM imbalance in rcar_pcie_ep_probe() (Myron Stowe) [2045254] - PCI: mediatek: Use PCI domain to handle ports detection (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get irq number (Myron Stowe) [2045254] - PCI: mediatek: Add new method to get shared pcie-cfg base address (Myron Stowe) [2045254] - dt-bindings: PCI: mediatek: Update the Device tree bindings (Myron Stowe) [2045254] - PCI: keembay: Add support for Intel Keem Bay (Myron Stowe) [2045254] - dt-bindings: PCI: Add Intel Keem Bay PCIe controller (Myron Stowe) [2045254] - PCI: iproc: Fix BCMA probe resource handling (Myron Stowe) [2045254] - PCI: of: Don't fail devm_pci_alloc_host_bridge() on missing 'ranges' (Myron Stowe) [2045254] - misc: pci_endpoint_test: Add deviceID for AM64 and J7200 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for AM64 (Myron Stowe) [2045254] - PCI: j721e: Add PCIe support for J7200 (Myron Stowe) [2045254] - PCI: cadence: Add quirk flag to set minimum delay in LTSSM Detect.Quiet state (Myron Stowe) [2045254] - PCI: cadence: Use bitfield for *quirk_retrain_flag* instead of bool (Myron Stowe) [2045254] - PCI: aardvark: Fix masking and unmasking legacy INTx interrupts (Myron Stowe) [2045254] - PCI: aardvark: Configure PCIe resources from 'ranges' DT property (Myron Stowe) [2045254] - PCI: aardvark: Fix reporting CRS value (Myron Stowe) [2045254] - PCI: pci-bridge-emul: Add PCIe Root Capabilities Register (Myron Stowe) [2045254] - PCI: aardvark: Increase polling delay to 1.5s while waiting for PIO response (Myron Stowe) [2045254] - PCI: aardvark: Fix checking for PIO status (Myron Stowe) [2045254] - MAINTAINERS: Add entries for Toshiba Visconti PCIe controller (Myron Stowe) [2045254] - PCI: visconti: Add Toshiba Visconti PCIe host controller driver (Myron Stowe) [2045254] - PCI: rockchip-dwc: Add Rockchip RK356X host controller driver (Myron Stowe) [2045254] - PCI: dwc: Remove surplus break statement after return (Myron Stowe) [2045254] - PCI: artpec6: Remove local code block from switch statement (Myron Stowe) [2045254] - PCI: artpec6: Remove surplus break statement after return (Myron Stowe) [2045254] - x86/PCI: sta2x11: switch from 'pci_' to 'dma_' API (Myron Stowe) [2045254] - PCI: Sync __pci_register_driver() stub for CONFIG_PCI=n (Myron Stowe) [2045254] - PCI: Add schedule point in proc_bus_pci_read() (Myron Stowe) [2045254] - PCI: Correct the pci_iomap.h header guard #endif comment (Myron Stowe) [2045254] - PCI/PTM: Remove error message at boot (Myron Stowe) [2045254] - PCI: Fix pci_dev_str_match_path() alloc while atomic bug (Myron Stowe) [2045254] - x86/PCI: Add pci_numachip_init() declaration (Myron Stowe) [2045254] - PCI/ACS: Enforce pci=noats with Transaction Blocking (Myron Stowe) [2045254] - PCI: Add ACS quirks for Cavium multi-function devices (Myron Stowe) [2045254] - PCI: Add ACS quirks for NXP LX2xx0 and LX2xx2 platforms (Myron Stowe) [2045254] - PCI: Optimize pci_resource_len() to reduce kernel size (Myron Stowe) [2045254] - PCI: Refactor pci_ioremap_bar() and pci_ioremap_wc_bar() (Myron Stowe) [2045254] - PCI/portdrv: Enable Bandwidth Notification only if port supports it (Myron Stowe) [2045254] - PCI: Bulk conversion to generic_handle_domain_irq() (Myron Stowe) [2045254] - PCI: Set dma-can-stall for HiSilicon chips (Myron Stowe) [2045254] - PCI: ibmphp: Fix double unmap of io_mem (Myron Stowe) [2045254] - PCI: Return int from pciconfig_read() syscall (Myron Stowe) [2045254] - PCI: Return ~0 data on pciconfig_read() CAP_SYS_ADMIN failure (Myron Stowe) [2045254] - PCI: Restrict ASMedia ASM1062 SATA Max Payload Size Supported (Myron Stowe) [2045254] - PCI: Call Max Payload Size-related fixup quirks early (Myron Stowe) [2045254] - platform-msi: Add ABI to show msi_irqs of platform devices (Myron Stowe) [2045254] - genirq/msi: Move MSI sysfs handling from PCI to MSI core (Myron Stowe) [2045254] - genirq/timings: Fix error return code in irq_timings_test_irqs() (Myron Stowe) [2045254] - PCI/MSI: Use new mask/unmask functions (Myron Stowe) [2045254] - PCI/MSI: Provide a new set of mask and unmask functions (Myron Stowe) [2045254] - PCI/MSI: Cleanup msi_mask() (Myron Stowe) [2045254] - PCI/MSI: Deobfuscate virtual MSI-X (Myron Stowe) [2045254] - PCI/MSI: Consolidate error handling in msi_capability_init() (Myron Stowe) [2045254] - PCI/MSI: Rename msi_desc::masked (Myron Stowe) [2045254] - PCI/MSI: Simplify msi_verify_entries() (Myron Stowe) [2045254] - s390/pci: Do not mask MSI[-X] entries on teardown (Myron Stowe) [2045254] - genirq: Improve "hwirq" output in /proc and /sys/ (Myron Stowe) [2045254] - perf/x86/intel/uncore: Fix Intel SPR CHA event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR IIO event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IMC free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Support IIO free-running counters on Sapphire Rapids server (Michael Petlan) [2066225] - perf/x86/intel/uncore: Factor out snr_uncore_mmio_map() (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add alias PMU name (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server MDF support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M3UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server UPI support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2M support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IMC support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server PCU support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server M2PCIe support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IRP support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server IIO support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server CHA support (Michael Petlan) [2066225] - perf/x86/intel/uncore: Add Sapphire Rapids server framework (Michael Petlan) [2066225] - ibmvnic: Allow queueing resets during probe (Diego Domingos) [2058835] - ibmvnic: clear fop when retrying probe (Diego Domingos) [2058835] - ibmvnic: init init_done_rc earlier (Diego Domingos) [2058835] - ibmvnic: register netdev after init of adapter (Diego Domingos) [2058835] - ibmvnic: complete init_done on transport events (Diego Domingos) [2058835] - ibmvnic: define flush_reset_queue helper (Diego Domingos) [2058835] - ibmvnic: initialize rc before completing wait (Diego Domingos) [2058835] - ibmvnic: free reset-work-item when flushing (Diego Domingos) [2058835] - powerpc/smp: Update cpu_core_map on all PowerPc systems (Diego Domingos) [2063682] - nvmet: revert "nvmet: make discovery NQN configurable" (Gopal Tiwari) [2066146] - nvme-tcp: send H2CData PDUs based on MAXH2CDATA (Gopal Tiwari) [2066146] - nvme: also mark passthrough-only namespaces ready in nvme_update_ns_info (Gopal Tiwari) [2066146] - nvme: don't return an error from nvme_configure_metadata (Gopal Tiwari) [2066146] - block: fix surprise removal for drivers calling blk_set_queue_dying (Gopal Tiwari) [2066146] - nvme-tcp: fix bogus request completion when failing to send AER (Gopal Tiwari) [2066146] - nvme: add nvme_complete_req tracepoint for batched completion (Gopal Tiwari) [2066146] - nvme-fabrics: remove the unneeded ret variable in nvmf_dev_show (Gopal Tiwari) [2066146] - nvme-pci: add the IGNORE_DEV_SUBNQN quirk for Intel P4500/P4600 SSDs (Gopal Tiwari) [2066146] - block: introduce rq_list_for_each_safe macro (Gopal Tiwari) [2066146] - block: move rq_list macros to blk-mq.h (Gopal Tiwari) [2066146] - nvme: add 'iopolicy' module parameter (Gopal Tiwari) [2066146] - nvme-fabrics: print out valid arguments when reading from /dev/nvme-fabrics (Gopal Tiwari) [2066146] - nvme: separate command prep and issue (Gopal Tiwari) [2066146] - nvme: split command copy into a helper (Gopal Tiwari) [2066146] - nvmet-tcp: fix possible list corruption for unexpected command failure (Gopal Tiwari) [2066146] - nvme: fix use after free when disconnecting a reconnecting ctrl (Gopal Tiwari) [2066146] - nvme-multipath: set ana_log_size to 0 after free ana_log_buf (Gopal Tiwari) [2066146] - nvme: disable namespace access for unsupported metadata (Gopal Tiwari) [2066146] - nvme: report write pointer for a full zone as zone start + zone len (Gopal Tiwari) [2066146] - nvme: show subsys nqn for duplicate cntlids (Gopal Tiwari) [2066146] - nvme-pci: add NO APST quirk for Kioxia device (Gopal Tiwari) [2066146] - nvme: fix write zeroes pi (Gopal Tiwari) [2066146] - nvmet: use flex_array_size and struct_size (Gopal Tiwari) [2066146] - nvmet: use struct_size over open coded arithmetic (Gopal Tiwari) [2066146] - nvme-pci: clear shadow doorbell memory on resets (Gopal Tiwari) [2066146] - nvmet: use macro definitions for setting cmic value (Gopal Tiwari) [2066146] - nvme-multipath: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme-rdma: fix error code in nvme_rdma_setup_ctrl (Gopal Tiwari) [2066146] - nvmet: use macro definition for setting nmic value (Gopal Tiwari) [2066146] - nvmet-rdma: implement get_max_queue_size controller op (Gopal Tiwari) [2066146] - nvmet: add get_max_queue_size op for controllers (Gopal Tiwari) [2066146] - nvme-rdma: limit the maximal queue size for RDMA controllers (Gopal Tiwari) [2066146] - nvme: generate uevent once a multipath namespace is operational again (Gopal Tiwari) [2066146] - nvme-fc: add support for ->map_queues (Gopal Tiwari) [2066146] - nvme: loop: clear NVME_CTRL_ADMIN_Q_STOPPED after admin queue is reallocated (Gopal Tiwari) [2066146] - nvme: don't memset() the normal read/write command (Gopal Tiwari) [2066146] - nvme: move command clear into the various setup helpers (Gopal Tiwari) [2066146] - nvme: wire up completion batching for the IRQ path (Gopal Tiwari) [2066146] - nvme: add support for batched completion of polled IO (Gopal Tiwari) [2066146] - nvme-multipath: enable polled I/O (Gopal Tiwari) [2066146] - nvme: remove the call to nvme_update_disk_info in nvme_ns_remove (Gopal Tiwari) [2066146] - nvme-rdma: destroy cm id before destroy qp to avoid use after free (Gopal Tiwari) [2066146] - nvme: add error handling support for add_disk() (Gopal Tiwari) [2066146] - nvme: only call synchronize_srcu when clearing current path (Gopal Tiwari) [2066146] - nvme-multipath: revalidate paths during rescan (Gopal Tiwari) [2066146] - nvme-multipath: set QUEUE_FLAG_NOWAIT (Gopal Tiwari) [2066146] - nvme: remove the unused NVME_NS_* enum (Gopal Tiwari) [2066146] - nvme: remove nvm_ndev from ns (Gopal Tiwari) [2066146] - nvme: Have NVME_FABRICS select NVME_CORE instead of transport drivers (Gopal Tiwari) [2066146] - nvme-pci: disable hmb on idle suspend (Gopal Tiwari) [2066146] - nvme: allow user toggling hmb usage (Gopal Tiwari) [2066146] - nvme-fabrics: remove superfluous nvmf_host_put in nvmf_parse_options (Gopal Tiwari) [2066146] - nvme: add set feature tracing support (Gopal Tiwari) [2066146] - nvmet: add set feature tracing support (Gopal Tiwari) [2066146] - nvme-pci: cmb sysfs: one file, one value (Gopal Tiwari) [2066146] - nvme-pci: use attribute group for cmb sysfs (Gopal Tiwari) [2066146] - remove the lightnvm subsystem (Gopal Tiwari) [2066146] - compiler.h: Introduce absolute_pointer macro (Gopal Tiwari) [2066146] - mm/usercopy: return 1 from hardened_usercopy __setup() handler (Rafael Aquini) [2064990] - mm: madvise: return correct bytes advised with process_madvise (Rafael Aquini) [2064990] - mm/thp: refix __split_huge_pmd_locked() for migration PMD (Rafael Aquini) [2064990] - mm/mlock: fix potential imbalanced rlimit ucounts adjustment (Rafael Aquini) [2064990] - mm/hwpoison: fix error page recovered but reported "not recovered" (Rafael Aquini) [2064990] - mm/page_alloc: check high-order pages for corruption during PCP operations (Rafael Aquini) [2064990] - mm/page_alloc: do not prefetch buddies during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: limit number of high-order pages on PCP during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: free pages in a single pass during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: drain the requested list first during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: simplify how many pages are selected per pcp list during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: track range of active PCP lists during bulk free (Rafael Aquini) [2064990] - mm/page_alloc: fetch the correct pcp buddy during bulk free (Rafael Aquini) [2064990] - mm/pages_alloc.c: don't create ZONE_MOVABLE beyond the end of a node (Rafael Aquini) [2064990] - mm/page_alloc: mark pagesets as __maybe_unused (Rafael Aquini) [2064990] - mm: Consider __GFP_NOWARN flag for oversized kvmalloc() calls (Rafael Aquini) [2064990] - kasan: test: prevent cache merging in kmem_cache_double_destroy (Rafael Aquini) [2064990] - mm: don't try to NUMA-migrate COW pages that have other uses (Rafael Aquini) [2064990] - mm/debug_vm_pgtable: remove pte entry from the page table (Rafael Aquini) [2064990] - Revert "mm/gup: small refactoring: simplify try_grab_page()" (Rafael Aquini) [2064990] - kasan: fix quarantine conflicting with init_on_free (Rafael Aquini) [2064990] - kasan: test: add test case for double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: add ability to detect double-kmem_cache_destroy() (Rafael Aquini) [2064990] - kasan: test: add globals left-out-of-bounds test (Rafael Aquini) [2064990] - mm/slab_common: use WARN() if cache still has objects on destroy (Rafael Aquini) [2064990] - mm: mempolicy: fix THP allocations escaping mempolicy restrictions (Rafael Aquini) [2064990] - kfence: fix memory leak when cat kfence objects (Rafael Aquini) [2064990] - mm/memcg: relocate mod_objcg_mlstate(), get_obj_stock() and put_obj_stock() (Rafael Aquini) [2064990] - mm/slub: fix endianness bug for alloc/free_traces attributes (Rafael Aquini) [2064990] - hugetlb, userfaultfd: fix reservation restore on userfaultfd error (Rafael Aquini) [2064990] - mm/zsmalloc.c: close race window between zs_pool_dec_isolated() and zs_unregister_migration() (Rafael Aquini) [2064990] - hugetlb: remove unnecessary set_page_count in prep_compound_gigantic_page (Rafael Aquini) [2064990] - mm: move fold_vm_numa_events() to fix NUMA without SMP (Rafael Aquini) [2064990] - mm/page_alloc.c: fix obsolete comment in free_pcppages_bulk() (Rafael Aquini) [2064990] - mm/large system hash: avoid possible NULL deref in alloc_large_system_hash (Rafael Aquini) [2064990] - lib/test_vmalloc.c: use swap() to make code cleaner (Rafael Aquini) [2064990] - mm/vmalloc: be more explicit about supported gfp flags (Rafael Aquini) [2064990] - vmalloc: choose a better start address in vm_area_register_early() (Rafael Aquini) [2064990] - vmalloc: back off when the current task is OOM-killed (Rafael Aquini) [2064990] - mm/vmalloc: check various alignments when debugging (Rafael Aquini) [2064990] - mm/vmalloc: make sure to dump unpurged areas in /proc/vmallocinfo (Rafael Aquini) [2064990] - mm/vmalloc: make show_numa_info() aware of hugepage mappings (Rafael Aquini) [2064990] - mm/vmalloc: don't allow VM_NO_GUARD on vmap() (Rafael Aquini) [2064990] - mm/vmalloc: repair warn_alloc()s in __vmalloc_area_node() (Rafael Aquini) [2064990] - mm/mremap: don't account pages in vma_to_resize() (Rafael Aquini) [2064990] - mm/filemap.c: remove bogus VM_BUG_ON (Rafael Aquini) [2064990] - kasan: fix tag for large allocations when using CONFIG_SLAB (Rafael Aquini) [2064990] - mm: don't include <linux/dax.h> in <linux/mempolicy.h> (Rafael Aquini) [2064990] - mm: disable NUMA_BALANCING_DEFAULT_ENABLED and TRANSPARENT_HUGEPAGE on PREEMPT_RT (Rafael Aquini) [2064990] - mm, slub: use prefetchw instead of prefetch (Rafael Aquini) [2064990] - mm/slub: increase default cpu partial list sizes (Rafael Aquini) [2064990] - mm, slub: change percpu partial accounting from objects to pages (Rafael Aquini) [2064990] - slub: add back check for free nonslab objects (Rafael Aquini) [2064990] - mm/slab.c: remove useless lines in enable_cpucache() (Rafael Aquini) [2064990] - mm: move kvmalloc-related functions to slab.h (Rafael Aquini) [2064990] - selftests: kvm: add amx_test to .gitignore (Paul Lai) [1924149] - kvm: Move KVM_GET_XSAVE2 IOCTL definition at the end of kvm.h (Paul Lai) [1924149] - kvm: selftests: sync uapi/linux/kvm.h with Linux header (Paul Lai) [1924149] - kvm: selftests: conditionally build vm_xsave_req_perm() (Paul Lai) [1924149] - KVM: x86/cpuid: Exclude unpermitted xfeatures sizes at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - KVM: x86/cpuid: Clear XFD for component i if the base feature is missing (Paul Lai) [1924149] - selftest: kvm: Add amx selftest (Paul Lai) [1924149] - selftest: kvm: Move struct kvm_x86_state to header (Paul Lai) [1924149] - selftest: kvm: Reorder vcpu_load_state steps for AMX (Paul Lai) [1924149] - kvm: x86: Disable interception for IA32_XFD on demand (Paul Lai) [1924149] - x86/fpu: Provide fpu_sync_guest_vmexit_xfd_state() (Paul Lai) [1924149] - kvm: selftests: Add support for KVM_CAP_XSAVE2 (Paul Lai) [1924149] - kvm: x86: Add support for getting/setting expanded xstate buffer (Paul Lai) [1924149] - x86/fpu: Add uabi_size to guest_fpu (Paul Lai) [1924149] - kvm: x86: Add CPUID support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Add XCR0 support for Intel AMX (Paul Lai) [1924149] - kvm: x86: Disable RDMSR interception of IA32_XFD_ERR (Paul Lai) [1924149] - kvm: x86: Intercept #NM for saving IA32_XFD_ERR (Paul Lai) [1924149] - x86/fpu: Make XFD initialization in __fpstate_reset() a function argument (Paul Lai) [1924149] - kvm: x86: Exclude unpermitted xfeatures at KVM_GET_SUPPORTED_CPUID (Paul Lai) [1924149] - kvm: x86: Fix xstate_required_size() to follow XSTATE alignment rule (Paul Lai) [1924149] - kvm: selftests: move ucall declarations into ucall_common.h (Paul Lai) [1924149] - kvm: selftests: move base kvm_util.h declarations to kvm_util_base.h (Paul Lai) [1924149] - rename c9s pipeline from centos-stream-9 to c9s (Bruno Goncalves) - genirq: Provide new interfaces for affinity hints (Phil Auld) [2055300] - um: vector: adjust to coalesce API changes (Ivan Vecera) [2047636] - igb: fix deadlock caused by taking RTNL in RPM resume path (Ivan Vecera) [2047636] - ethtool: Fix link extended state for big endian (Ivan Vecera) [2047636] - ethtool: use phydev variable (Ivan Vecera) [2047636] - ethtool: Remove redundant ret assignments (Ivan Vecera) [2047636] - ethtool: do not perform operations on net devices being unregistered (Ivan Vecera) [2047636] - ethtool: netlink: Slightly simplify 'ethnl_features_to_bitmap()' (Ivan Vecera) [2047636] - ethtool: ioctl: fix potential NULL deref in ethtool_set_coalesce() (Ivan Vecera) [2047636] - net: ethtool: set a default driver name (Ivan Vecera) [2047636] - ethtool: extend ringparam setting/getting API with rx_buf_len (Ivan Vecera) [2047636] - ethtool: add support to set/get rx buf len via ethtool (Ivan Vecera) [2047636] - ethtool: add support to set/get tx copybreak buf size via ethtool (Ivan Vecera) [2047636] - ethtool: fix ethtool msg len calculation for pause stats (Ivan Vecera) [2047636] - ethtool: don't drop the rtnl_lock half way thru the ioctl (Ivan Vecera) [2047636] - devlink: expose get/put functions (Ivan Vecera) [2047636] - ethtool: handle info/flash data copying outside rtnl_lock (Ivan Vecera) [2047636] - ethtool: push the rtnl_lock into dev_ethtool() (Ivan Vecera) [2047636] - ethtool: Add transceiver module extended state (Ivan Vecera) [2047636] - ethtool: Add ability to control transceiver modules' power mode (Ivan Vecera) [2047636] - ethtool: ioctl: Use array_size() helper in copy_{from,to}_user() (Ivan Vecera) [2047636] - ethtool: prevent endless loop if eeprom size is smaller than announced (Ivan Vecera) [2047636] - ethtool: extend coalesce setting uAPI with CQE mode (Ivan Vecera) [2047636] - ethtool: add two coalesce attributes for CQE mode (Ivan Vecera) [2047636] - ethtool: add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - docs: ethtool: Add two link extended substates of bad signal integrity (Ivan Vecera) [2047636] - ethtool: return error from ethnl_ops_begin if dev is NULL (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent in ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move netif_device_present check from ethnl_parse_header_dev_get to ethnl_ops_begin (Ivan Vecera) [2047636] - ethtool: move implementation of ethnl_ops_begin/complete to netlink.c (Ivan Vecera) [2047636] - ethtool: runtime-resume netdev parent before ethtool ioctl ops (Ivan Vecera) [2047636] Resolves: rhbz# 2047636, rhbz#2055300, rhbz#1924149, rhbz#2064990, rhbz#2066146, rhbz#2063682, rhbz#2058835, rhbz#2066225, rhbz#2045254, rhbz#2046537, rhbz#2037486, rhbz#1873827, rhbz#2062513, rhbz#2047836, rhbz#2070369, rhbz#070624, rhbz#2062762 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-07 11:17:18 +00:00
# CONFIG_TCG_TIS_ST33ZP24_I2C is not set
# CONFIG_TCG_TIS_ST33ZP24_SPI is not set
CONFIG_TCG_TIS=y
CONFIG_TCG_TPM=y
kernel-5.14.0-347.el9 * Fri Jul 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-347.el9] - rxrpc: Truncate UTS_RELEASE for rxrpc version (Marc Dionne) [2222918] - rxrpc: Use alloc_ordered_workqueue() to create ordered workqueues (Marc Dionne) [2222918] - rxrpc: Fix timeout of a call that hasn't yet been granted a channel (Marc Dionne) [2222918] - rxrpc: Make it so that a waiting process can be aborted (Marc Dionne) [2222918] - rxrpc: Fix hard call timeout units (Marc Dionne) [2222918] - rxrpc: Fix potential data race in rxrpc_wait_to_be_connected() (Marc Dionne) [2222918] - rxrpc: Fix error when reading rxrpc tokens (Marc Dionne) [2222918] - rxrpc: Fix potential race in error handling in afs_make_call() (Marc Dionne) [2222918] - rxrpc: Fix overproduction of wakeups to recvmsg() (Marc Dionne) [2222918] - rxrpc: use bvec_set_page to initialize a bvec (Marc Dionne) [2222918] - rxrpc: Kill service bundle (Marc Dionne) [2222918] - rxrpc: Remove local->defrag_sem (Marc Dionne) [2222918] - rxrpc: Don't lock call->tx_lock to access call->tx_buffer (Marc Dionne) [2222918] - rxrpc: Simplify ACK handling (Marc Dionne) [2222918] - rxrpc: De-atomic call->ackr_window and call->ackr_nr_unacked (Marc Dionne) [2222918] - rxrpc: Generate extra pings for RTT during heavy-receive call (Marc Dionne) [2222918] - rxrpc: Convert call->recvmsg_lock to a spinlock (Marc Dionne) [2222918] - rxrpc: Reduce unnecessary ack transmission (Marc Dionne) [2222918] - rxrpc: Trace ack.rwind (Marc Dionne) [2222918] - rxrpc: Shrink the tabulation in the rxrpc trace header a bit (Marc Dionne) [2222918] - rxrpc: Remove whitespace before ')' in trace header (Marc Dionne) [2222918] - rxrpc: Fix overwaking on call poking (Marc Dionne) [2222918] - rxrpc: Fix trace string (Marc Dionne) [2222918] - afs: Fix accidental truncation when storing data (Marc Dionne) [2222918] - afs: convert pagevec to folio_batch in afs_extend_writeback() (Marc Dionne) [2222918] - afs: Fix vlserver probe RTT handling (Marc Dionne) [2222918] - afs: Fix setting of mtime when creating a file/dir/symlink (Marc Dionne) [2222918] - afs: Avoid endless loop if file is larger than expected (Marc Dionne) [2222918] - afs: Fix getattr to report server i_size on dirs, not local size (Marc Dionne) [2222918] - afs: Fix updating of i_size with dv jump from server (Marc Dionne) [2222918] - afs: split afs_pagecache_valid() out of afs_validate() (Marc Dionne) [2222918] - afs: use bvec_set_folio to initialize a bvec (Marc Dionne) [2222918] - afs: Stop implementing ->writepage() (Marc Dionne) [2222918] - ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2186058] - ACPI: video: Stop trying to use vendor backlight control on laptops from after ~2012 (Mark Langsdorf) [2186058] - ACPI: video: Remove register_backlight_delay module option and code (Mark Langsdorf) [2186058] - ACPI: video: Don't enable fallback path for creating ACPI backlight by default (Mark Langsdorf) [2186058] - ACPI: video: Prefer native over vendor (Mark Langsdorf) [2186058] - ACPI: video: Simplify __acpi_video_get_backlight_type() (Mark Langsdorf) [2186058] - PM/devfreq: governor: Add a private governor_data for governor (Steve Best) [2212961] - redhat/configs: Enable CONFIG_TCG_VTPM_PROXY (Štěpán Horáček) [2210263] - iavf: fix reset task race with iavf_remove() (Petr Oros) [2223599] - iavf: fix a deadlock caused by rtnl and driver's lock circular dependencies (Petr Oros) [2223599] - Revert "iavf: Do not restart Tx queues after reset task failure" (Petr Oros) [2223599] - Revert "iavf: Detach device during reset task" (Petr Oros) [2223599] - iavf: Wait for reset in callbacks which trigger it (Petr Oros) [2223599] - iavf: use internal state to free traffic IRQs (Petr Oros) [2223599] - iavf: Fix out-of-bounds when setting channels on remove (Petr Oros) [2223599] - iavf: Fix use-after-free in free_netdev (Petr Oros) [2223599] - iavf: make functions static where possible (Petr Oros) [2223599] - iavf: fix err handling for MAC replace (Petr Oros) [2223599] - iavf: remove some unused functions and pointless wrappers (Petr Oros) [2223599] - iavf: remove mask from iavf_irq_enable_queues() (Petr Oros) [2223599] - iavf: send VLAN offloading caps once after VFR (Petr Oros) [2223599] Resolves: rhbz#2186058, rhbz#2210263, rhbz#2212961, rhbz#2222918, rhbz#2223599 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-28 16:48:21 +00:00
CONFIG_TCG_VTPM_PROXY=m
# CONFIG_TCG_XEN is not set
CONFIG_TCM_FC=m
CONFIG_TCM_FILEIO=m
CONFIG_TCM_IBLOCK=m
CONFIG_TCM_PSCSI=m
# CONFIG_TCM_QLA2XXX is not set
CONFIG_TCM_USER2=m
CONFIG_TCP_CONG_ADVANCED=y
CONFIG_TCP_CONG_BBR=m
CONFIG_TCP_CONG_BIC=m
# CONFIG_TCP_CONG_CDG is not set
CONFIG_TCP_CONG_CUBIC=y
CONFIG_TCP_CONG_DCTCP=m
# CONFIG_TCP_CONG_HSTCP is not set
CONFIG_TCP_CONG_HTCP=m
# CONFIG_TCP_CONG_HYBLA is not set
# CONFIG_TCP_CONG_ILLINOIS is not set
# CONFIG_TCP_CONG_LP is not set
CONFIG_TCP_CONG_NV=m
# CONFIG_TCP_CONG_SCALABLE is not set
# CONFIG_TCP_CONG_VEGAS is not set
# CONFIG_TCP_CONG_VENO is not set
CONFIG_TCP_CONG_WESTWOOD=m
# CONFIG_TCP_CONG_YEAH is not set
CONFIG_TCP_MD5SIG=y
# CONFIG_TCS3414 is not set
# CONFIG_TCS3472 is not set
CONFIG_TEE=m
CONFIG_TELCLOCK=m
CONFIG_TERANETICS_PHY=m
# CONFIG_TEST_ASYNC_DRIVER_PROBE is not set
# CONFIG_TEST_BITMAP is not set
# CONFIG_TEST_BITOPS is not set
# CONFIG_TEST_BLACKHOLE_DEV is not set
kernel-5.14.0-62.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-62.el9] - ibmvnic: remove unused defines (Diego Domingos) [2047921] - ibmvnic: Update driver return codes (Diego Domingos) [2047921] - powerpc/fadump: opt out from freeing pages on cma activation failure (Diego Domingos) [2040289] - mm/cma: provide option to opt out from exposing pages on activation failure (Diego Domingos) [2040289] - firmware: smccc: Fix check for ARCH_SOC_ID not implemented (Vitaly Kuznetsov) [2052908] - rcu: Tighten rcu_advance_cbs_nowake() checks (Daniel Vacek) [2026991] - redhat/configs: Disable CONFIG_MACINTOSH_DRIVERS (Prarit Bhargava) [2053028] - ibmvnic: remove unused ->wait_capability (Diego Domingos) [2047928] - ibmvnic: don't spin in tasklet (Diego Domingos) [2047928] - ibmvnic: init ->running_cap_crqs early (Diego Domingos) [2047928] - ibmvnic: Allow extra failures before disabling (Diego Domingos) [2047928] - s390/pv: fix the forcing of the swiotlb (Thomas Huth) [2051581] - scsi: vmw_pvscsi: Set residual data length conditionally (Cathy Avery) [2048178] - selinux: fix double free of cond_list on error paths (Ondrej Mosnacek) [2052439] - selinux: fix NULL-pointer dereference when hashtab allocation fails (Ondrej Mosnacek) [2052436] - isdn: cpai: check ctr->cnr to avoid array index out of bound (Chris von Recklinghausen) [2016492] {CVE-2021-43389} - mm/hwpoison: fix unpoison_memory() (Aristeu Rozanski) [1972220] - mm/hwpoison: remove MF_MSG_BUDDY_2ND and MF_MSG_POISONED_HUGE (Aristeu Rozanski) [1972220] - mm/hwpoison: mf_mutex for soft offline and unpoison (Aristeu Rozanski) [1972220] - mm/hwpoison: clear MF_COUNT_INCREASED before retrying get_any_page() (Aristeu Rozanski) [1972220] - mm, hwpoison: fix condition in free hugetlb page path (Aristeu Rozanski) [1972220] - filemap: remove PageHWPoison check from next_uptodate_page() (Aristeu Rozanski) [1972220] - mm: hwpoison: handle non-anonymous THP correctly (Aristeu Rozanski) [1972220] - mm: hwpoison: refactor refcount check handling (Aristeu Rozanski) [1972220] - mm: filemap: coding style cleanup for filemap_map_pmd() (Aristeu Rozanski) [1972220] - scsi: bnx2fc: Make bnx2fc_recv_frame() mp safe (John Meneghini) [2031648] - scsi: bnx2fc: Flush destroy_work queue before calling bnx2fc_interface_put() (John Meneghini) [2006606] - redhat/configs: Enable CONFIG_TEST_BPF (Viktor Malik) [2035168] - ext4: fix potential NULL pointer dereference in ext4_fill_super() (Lukas Czerner) [2051466] - netfilter: ipset: Emit deprecation warning at set creation time (Phil Sutter) [2048194] - redhat: move CONFIG_ARM64_MTE to aarch64 config directory (Herton R. Krzesinski) - cgroup/cpuset: Fix "suspicious RCU usage" lockdep warning (Waiman Long) [1996015] - cpuset: Fix the bug that subpart_cpus updated wrongly in update_cpumask() (Waiman Long) [1996015] - net ticp:fix a kernel-infoleak in __tipc_sendmsg() (Xin Long) [2050039] - tipc: discard MSG_CRYPTO msgs when key_exchange_enabled is not set (Xin Long) [2050039] - tipc: delete the unlikely branch in tipc_aead_encrypt (Xin Long) [2050039] - blktrace: switch trace spinlock to a raw spinlock (Wander Lairson Costa) [2047971] - NFSv4.1: handle NFS4ERR_NOSPC by CREATE_SESSION (Steve Dickson) [2027447] - igb: fix deadlock caused by taking RTNL in RPM resume path (Corinna Vinschen) [2040312] - igb: Fix removal of unicast MAC filters of VFs (Corinna Vinschen) [2040312] - igb: fix netpoll exit with traffic (Corinna Vinschen) [2040312] - igb: unbreak I2C bit-banging on i350 (Corinna Vinschen) [2040312] - igb: Avoid memcpy() over-reading of ETH_SS_STATS (Corinna Vinschen) [2040312] - igb: Add counter to i21x doublecheck (Corinna Vinschen) [2040312] - net: create netdev->dev_addr assignment helpers (Josef Oskera) [2030720] Resolves: rhbz#1972220, rhbz#1996015, rhbz#2006606, rhbz#2016492, rhbz#2026991, rhbz#2027447, rhbz#2030720, rhbz#2031648, rhbz#2035168, rhbz#2040289, rhbz#2040312, rhbz#2047921, rhbz#2047928, rhbz#2047971, rhbz#2048178, rhbz#2048194, rhbz#2050039, rhbz#2051466, rhbz#2051581, rhbz#2052436, rhbz#2052439, rhbz#2052908, rhbz#2053028 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 23:51:51 +00:00
CONFIG_TEST_BPF=m
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_TEST_CLOCKSOURCE_WATCHDOG is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_TEST_DIV64 is not set
# CONFIG_TEST_FIRMWARE is not set
CONFIG_TEST_FPU=m
# CONFIG_TEST_FREE_PAGES is not set
# CONFIG_TEST_HASH is not set
# CONFIG_TEST_HEXDUMP is not set
kernel-5.14.0-97.el9 * Mon May 23 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-97.el9] - tcp: drop the hash_32() part from the index calculation (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: increase source port perturb table to 2^16 (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: dynamically allocate the perturb table used by source ports (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: add small random increments to the source port (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: resalt the secret every 10 seconds (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: use different parts of the port_offset for index and offset (Guillaume Nault) [2064868] {CVE-2022-1012} - secure_seq: use the 64 bits of the siphash for port offset calculation (Guillaume Nault) [2064868] {CVE-2022-1012} - esp: limit skb_page_frag_refill use to a single page (Sabrina Dubroca) [2082951] {CVE-2022-27666} - esp: Fix possible buffer overflow in ESP transformation (Sabrina Dubroca) [2082951] {CVE-2022-27666} - cifs: truncate the inode and mapping when we simulate fcollapse (Ronnie Sahlberg) [1997367] - bpf: Fix renaming task_getsecid_subj->current_getsecid_subj. (Ondrej Mosnacek) [2083580] - selinux: use correct type for context length (Ondrej Mosnacek) [2083580] - selinux: drop return statement at end of void functions (Ondrej Mosnacek) [2083580] - selinux: parse contexts for mount options early (Ondrej Mosnacek) [2083580] - selinux: various sparse fixes (Ondrej Mosnacek) [2083580] - selinux: try to use preparsed sid before calling parse_sid() (Ondrej Mosnacek) [2083580] - selinux: Fix selinux_sb_mnt_opts_compat() (Ondrej Mosnacek) [2083580] - LSM: general protection fault in legacy_parse_param (Ondrej Mosnacek) [2083580] - selinux: fix a type cast problem in cred_init_security() (Ondrej Mosnacek) [2083580] - selinux: drop unused macro (Ondrej Mosnacek) [2083580] - selinux: simplify cred_init_security (Ondrej Mosnacek) [2083580] - selinux: do not discard const qualifier in cast (Ondrej Mosnacek) [2083580] - selinux: drop unused parameter of avtab_insert_node (Ondrej Mosnacek) [2083580] - selinux: drop cast to same type (Ondrej Mosnacek) [2083580] - selinux: enclose macro arguments in parenthesis (Ondrej Mosnacek) [2083580] - selinux: declare name parameter of hash_eval const (Ondrej Mosnacek) [2083580] - selinux: declare path parameters of _genfs_sid const (Ondrej Mosnacek) [2083580] - selinux: check return value of sel_make_avc_files (Ondrej Mosnacek) [2083580] - selinux: access superblock_security_struct in LSM blob way (Ondrej Mosnacek) [2083580] - selinux: fix misuse of mutex_is_locked() (Ondrej Mosnacek) [2050966 2083580] - selinux: minor tweaks to selinux_add_opt() (Ondrej Mosnacek) [2083580] - selinux: fix potential memleak in selinux_add_opt() (Ondrej Mosnacek) [2083580] - security,selinux: remove security_add_mnt_opt() (Ondrej Mosnacek) [2083580] - selinux: Use struct_size() helper in kmalloc() (Ondrej Mosnacek) [2083580] - lsm: security_task_getsecid_subj() -> security_current_getsecid_subj() (Ondrej Mosnacek) [2083580] - selinux: initialize proto variable in selinux_ip_postroute_compat() (Ondrej Mosnacek) [2083580] - selinux: fix sleeping function called from invalid context (Ondrej Mosnacek) [2083580] - selinux: fix a sock regression in selinux_ip_postroute_compat() (Ondrej Mosnacek) [2083580] - LSM: Avoid warnings about potentially unused hook variables (Ondrej Mosnacek) [2083580] - selinux: fix all of the W=1 build warnings (Ondrej Mosnacek) [2083580] - selinux: make better use of the nf_hook_state passed to the NF hooks (Ondrej Mosnacek) [2083580] - selinux: fix race condition when computing ocontext SIDs (Ondrej Mosnacek) [2083580] - selinux: remove unneeded ipv6 hook wrappers (Ondrej Mosnacek) [2083580] - security: remove unneeded subdir-$(CONFIG_...) (Ondrej Mosnacek) [2083580] - selinux: return early for possible NULL audit buffers (Ondrej Mosnacek) [2083580] - quota: make dquot_quota_sync return errors from ->sync_fs (Lukas Czerner) [2083053] - redhat: Enable VM kselftests (Nico Pache) [2081818] - selftests/vm: Makefile: s/TARGETS/VMTARGETS/g (Joel Savitz) [2081818] - redhat: Enable HMM test to be used by the kselftest test suite (Nico Pache) [2081818] - redhat: enable CONFIG_TEST_VMALLOC for vm selftests (Nico Pache) [2081818] - net: bridge: switchdev: check br_vlan_group() return value (Ivan Vecera) [2081601] - net: bridge: mst: Restrict info size queries to bridge ports (Ivan Vecera) [2081601] - net: bridge: mst: prevent NULL deref in br_mst_info_size() (Ivan Vecera) [2081601] - selftests: forwarding: Use same VRF for port and VLAN upper (Ivan Vecera) [2081601] - selftests: forwarding: Disable learning before link up (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to query a port's MST state (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to check if MST is enabled (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to map an MSTI to a VID set (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of MST state changes (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of VLAN MSTI migrations (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of MST mode changes (Ivan Vecera) [2081601] - net: bridge: mst: Support setting and reporting MST port states (Ivan Vecera) [2081601] - net: bridge: mst: Allow changing a VLAN's MSTI (Ivan Vecera) [2081601] - net: bridge: mst: Multiple Spanning Tree (MST) mode (Ivan Vecera) [2081601] - net: switchdev: remove lag_mod_cb from switchdev_handle_fdb_event_to_device (Ivan Vecera) [2081601] - selftests: forwarding: tests of locked port feature (Ivan Vecera) [2081601] - net: bridge: Add support for offloading of locked port flag (Ivan Vecera) [2081601] - net: bridge: Add support for bridge port in locked mode (Ivan Vecera) [2081601] - net: switchdev: avoid infinite recursion from LAG to bridge with port object handler (Ivan Vecera) [2081601] - bridge: switch br_net_exit to batch mode (Ivan Vecera) [2081601] - net: bridge: multicast: notify switchdev driver whenever MC processing gets disabled (Ivan Vecera) [2081601] - net: switchdev: introduce switchdev_handle_port_obj_{add,del} for foreign interfaces (Ivan Vecera) [2081601] - net: switchdev: rename switchdev_lower_dev_find to switchdev_lower_dev_find_rcu (Ivan Vecera) [2081601] - net: bridge: switchdev: replay all VLAN groups (Ivan Vecera) [2081601] - net: bridge: make nbp_switchdev_unsync_objs() follow reverse order of sync() (Ivan Vecera) [2081601] - net: bridge: switchdev: differentiate new VLANs from changed ones (Ivan Vecera) [2081601] - net: bridge: vlan: notify switchdev only when something changed (Ivan Vecera) [2081601] - net: bridge: vlan: make __vlan_add_flags react only to PVID and UNTAGGED (Ivan Vecera) [2081601] - net: bridge: vlan: don't notify to switchdev master VLANs without BRENTRY flag (Ivan Vecera) [2081601] - net: bridge: vlan: check early for lack of BRENTRY flag in br_vlan_add_existing (Ivan Vecera) [2081601] - net: bridge: vlan: check for errors from __vlan_del in __vlan_flush (Ivan Vecera) [2081601] - net/switchdev: use struct_size over open coded arithmetic (Ivan Vecera) [2081601] - net: bridge: vlan: fix memory leak in __allowed_ingress (Ivan Vecera) [2081601] - net: bridge: vlan: fix single net device option dumping (Ivan Vecera) [2081601] - net: bridge: Get SIOCGIFBR/SIOCSIFBR ioctl working in compat mode (Ivan Vecera) [2081601] - bridge: use __set_bit in __br_vlan_set_default_pvid (Ivan Vecera) [2081601] - net: bridge: Allow base 16 inputs in sysfs (Ivan Vecera) [2081601] - net/bridge: replace simple_strtoul to kstrtol (Ivan Vecera) [2081601] - net: bridge: Slightly optimize 'find_portno()' (Ivan Vecera) [2081601] - net: bridge: switchdev: fix shim definition for br_switchdev_mdb_notify (Ivan Vecera) [2081601] - net: bridge: switchdev: consistent function naming (Ivan Vecera) [2081601] - net: bridge: mdb: move all switchdev logic to br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: split out the switchdev portion of br_mdb_notify (Ivan Vecera) [2081601] - net: bridge: move br_vlan_replay to br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: provide shim definition for br_vlan_flags (Ivan Vecera) [2081601] - net: switchdev: merge switchdev_handle_fdb_{add,del}_to_device (Ivan Vecera) [2081601] - net: bridge: create a common function for populating switchdev FDB entries (Ivan Vecera) [2081601] - net: bridge: move br_fdb_replay inside br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: reduce indentation level in fdb_create (Ivan Vecera) [2081601] - net: bridge: rename br_fdb_insert to br_fdb_add_local (Ivan Vecera) [2081601] - net: bridge: rename fdb_insert to fdb_add_local (Ivan Vecera) [2081601] - net: bridge: remove fdb_insert forward declaration (Ivan Vecera) [2081601] - net: bridge: remove fdb_notify forward declaration (Ivan Vecera) [2081601] - scsi: ses: Fix unsigned comparison with less than zero (Tomas Henzl) [2065658] - scsi: ses: Retry failed Send/Receive Diagnostic commands (Tomas Henzl) [2065658] - redhat/configs: enable GUP_TEST in debug kernel (Joel Savitz) [2079631] Resolves: rhbz#2064868, rhbz#2082951, rhbz#1997367, rhbz#2083580, rhbz#2050966, rhbz#2083053, rhbz#2081818, rhbz#2081601, rhbz#2065658, rhbz#2079631 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-23 07:52:03 +00:00
CONFIG_TEST_HMM=m
# CONFIG_TEST_IDA is not set
# CONFIG_TEST_KMOD is not set
CONFIG_TEST_KSTRTOX=y
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_TEST_LIST_SORT=m
CONFIG_TEST_LIVEPATCH=m
# CONFIG_TEST_LKM is not set
# CONFIG_TEST_LOCKUP is not set
kernel-5.14.0-291.el9 * Thu Mar 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-291.el9] - intel_idle: add Emerald Rapids Xeon support (David Arcari) [2156825] - redhat/configs: Disable CONFIG_GCC_PLUGINS (Prarit Bhargava) [2177294] - redhat: define Maple Tree configs (Nico Pache) [2166668] - maple_tree: reduce stack usage with gcc-9 and earlier (Nico Pache) [2166668] - maple_tree: fix mas_prev() and mas_find() state handling (Nico Pache) [2166668] - maple_tree: fix handle of invalidated state in mas_wr_store_setup() (Nico Pache) [2166668] - test_maple_tree: test modifications while iterating (Nico Pache) [2166668] - maple_tree: reduce user error potential (Nico Pache) [2166668] - maple_tree: fix potential rcu issue (Nico Pache) [2166668] - maple_tree: add mas_init() function (Nico Pache) [2166668] - maple_tree: fix comment of mte_destroy_walk (Nico Pache) [2166668] - maple_tree: remove GFP_ZERO from kmem_cache_alloc() and kmem_cache_alloc_bulk() (Nico Pache) [2166668] - maple_tree: refine mab_calc_split function (Nico Pache) [2166668] - maple_tree: refine ma_state init from mas_start() (Nico Pache) [2166668] - maple_tree: remove the redundant code (Nico Pache) [2166668] - maple_tree: use macro MA_ROOT_PARENT instead of number (Nico Pache) [2166668] - maple_tree: use mt_node_max() instead of direct operations mt_max[] (Nico Pache) [2166668] - maple_tree: remove extra return statement (Nico Pache) [2166668] - maple_tree: remove extra space and blank line (Nico Pache) [2166668] - maple_tree: should get pivots boundary by type (Nico Pache) [2166668] - maple_tree: fix mas_empty_area_rev() lower bound validation (Nico Pache) [2166668] - maple_tree: allow TEST_MAPLE_TREE only when DEBUG_KERNEL is set (Nico Pache) [2166668] - test_maple_tree: add test for mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668] - maple_tree: fix mas_find_rev() comment (Nico Pache) [2166668] - maple_tree: mte_set_full() and mte_clear_full() clang-analyzer clean up (Nico Pache) [2166668] - maple_tree: don't set a new maximum on the node when not reusing nodes (Nico Pache) [2166668] - maple_tree: fix depth tracking in maple_state (Nico Pache) [2166668] - maple_tree: reorganize testing to restore module testing (Nico Pache) [2166668] - maple_tree: mas_anode_descend() clang-analyzer cleanup (Nico Pache) [2166668] - maple_tree: remove pointer to pointer use in mas_alloc_nodes() (Nico Pache) [2166668] - lib: maple_tree: remove unneeded initialization in mtree_range_walk() (Nico Pache) [2166668] - lib/test_maple_tree: add testing for maple tree (Nico Pache) [2166668] - radix tree test suite: add lockdep_is_held to header (Nico Pache) [2166668] - radix tree test suite: add support for slab bulk APIs (Nico Pache) [2166668] - radix tree test suite: add allocation counts and size to kmem_cache (Nico Pache) [2166668] - radix tree test suite: add kmem_cache_set_non_kernel() (Nico Pache) [2166668] - radix tree test suite: add pr_err define (Nico Pache) [2166668] - Maple Tree: add new data structure (Nico Pache) [2166668] - mips: rename mt_init to mips_mt_init (Nico Pache) [2166668] - redhat/configs: Revert "enable DAMON configs" (Vladis Dronov) - powercap: intel_rapl: add support for Emerald Rapids (David Arcari) [2156805] - gfs2: Improve gfs2_make_fs_rw error handling (Andreas Gruenbacher) [2109548] - Revert "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2109548] - gfs2: Evict inodes cooperatively (Andreas Gruenbacher) [2109548] - gfs2: Flush delete work before shrinking inode cache (Andreas Gruenbacher) [2109548] - gfs2: Cease delete work during unmount (Bob Peterson) [2109548] - gfs2: Add SDF_DEACTIVATING super block flag (Bob Peterson) [2109548] - gfs2: check gl_object in rgrp glops (Bob Peterson) [2109548] - gfs2: Split the two kinds of glock "delete" work (Andreas Gruenbacher) [2109548] - gfs2: Move delete workqueue into super block (Andreas Gruenbacher) [2109548] - gfs2: Get rid of GLF_PENDING_DELETE flag (Andreas Gruenbacher) [2109548] - gfs2: Make glock lru list scanning safer (Andreas Gruenbacher) [2109548] - gfs2: Clean up gfs2_scan_glock_lru (Andreas Gruenbacher) [2109548] - gfs2: Improve gfs2_upgrade_iopen_glock comment (Andreas Gruenbacher) [2109548] - gfs2: gl_object races fix (Andreas Gruenbacher) [2109548] - iomap/gfs2: Unlock and put folio in page_done handler (Andreas Gruenbacher) [2109548] - iomap: Add __iomap_put_folio helper (Andreas Gruenbacher) [2109548] - Revert "gfs2: stop using generic_writepages in gfs2_ail1_start_one" (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (2) (Andreas Gruenbacher) [2109548] - gfs2: Remove support for glock holder auto-demotion (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_try_evict cleanup (Andreas Gruenbacher) [2109548] - gfs2: Partially revert gfs2_inode_lookup change (Andreas Gruenbacher) [2109548] - gfs2: Add gfs2_inode_lookup comment (Andreas Gruenbacher) [2109548] - gfs2: Uninline and improve glock_{set,clear}_object (Andreas Gruenbacher) [2109548] - gfs2: Simply dequeue iopen glock in gfs2_evict_inode (Andreas Gruenbacher) [2109548] - gfs2: Clean up after gfs2_create_inode rework (Andreas Gruenbacher) [2109548] - gfs2: Avoid dequeuing GL_ASYNC glock holders twice (Andreas Gruenbacher) [2109548] - gfs2: Make gfs2_glock_hold return its glock argument (Andreas Gruenbacher) [2109548] - gfs2: Always check inode size of inline inodes (Andreas Gruenbacher) [2109548] - gfs2: Cosmetic gfs2_dinode_{in,out} cleanup (Andreas Gruenbacher) [2109548] - gfs2: Handle -EBUSY result of insert_inode_locked4 (Andreas Gruenbacher) [2109548] - gfs2: Fix and clean up create / evict interaction (Andreas Gruenbacher) [2109548] - gfs2: Clean up initialization of "ip" in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Get rid of ghs[] in gfs2_create_inode (Andreas Gruenbacher) [2109548] - gfs2: Add extra error check in alloc_dinode (Andreas Gruenbacher) [2109548] - gfs2: Check sb_bsize_shift after reading superblock (Andrew Price) [2109548] - gfs2: Switch from strlcpy to strscpy (Andreas Gruenbacher) [2109548] - gfs2: Clear flags when withdraw prevents xmote (Bob Peterson) [2109548] - gfs2: Dequeue waiters when withdrawn (Bob Peterson) [2109548] - gfs2: Prevent double iput for journal on error (Bob Peterson) [2109548] - gfs2: Convert gfs2_jhead_process_page() to use a folio (Andreas Gruenbacher) [2109548] - gfs2: remove ->writepage (Andreas Gruenbacher) [2109548] - gfs2: stop using generic_writepages in gfs2_ail1_start_one (Andreas Gruenbacher) [2109548] - gfs2: List traversal in do_promote is safe (Andreas Gruenbacher) [2109548] - gfs2: do_promote glock holder stealing fix (Bob Peterson) [2109548] - gfs2: Use better variable name (Andreas Gruenbacher) [2109548] - gfs2: Make go_instantiate take a glock (Andreas Gruenbacher) [2109548] - gfs2: Add new go_held glock operation (Andreas Gruenbacher) [2109548] - gfs2: Revert 'Fix "truncate in progress" hang' (Andreas Gruenbacher) [2109548] - gfs2: Instantiate glocks ouside of glock state engine (Andreas Gruenbacher) [2109548] - gfs2: Fix up gfs2_glock_async_wait (Andreas Gruenbacher) [2109548] - gfs2: Mark the remaining process-independent glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Mark flock glock holders as GL_NOPID (Andreas Gruenbacher) [2109548] - gfs2: Add GL_NOPID flag for process-independent glock holders (Andreas Gruenbacher) [2109548] - gfs2: Add flocks to glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Add glockfd debugfs file (Andreas Gruenbacher) [2109548] - gfs2: Minor gfs2_glock_nq_m cleanup (Andreas Gruenbacher) [2109548] - gfs2: Fix spelling mistake in comment (Andreas Gruenbacher) [2109548] - gfs2: Rewrap overlong comment in do_promote (Bob Peterson) [2109548] - gfs2: Remove redundant NULL check before kfree (Andreas Gruenbacher) [2109548] - gfs2: Use container_of() for gfs2_glock(aspace) (Andreas Gruenbacher) [2109548] - gfs2: dump inode object for iopen glocks (Bob Peterson) [2109548] Resolves: rhbz#2156805, rhbz#2156825, rhbz#2166668, rhbz#2177294 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-23 10:30:58 +00:00
# CONFIG_TEST_MAPLE_TREE is not set
# CONFIG_TEST_MEMCAT_P is not set
# CONFIG_TEST_MEMINIT is not set
CONFIG_TEST_MIN_HEAP=m
# CONFIG_TEST_OBJAGG is not set
# CONFIG_TEST_OVERFLOW is not set
# CONFIG_TEST_PARMAN is not set
# CONFIG_TEST_POWER is not set
# CONFIG_TEST_PRINTF is not set
kernel-5.14.0-123.el9 * Fri Jul 01 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-123.el9] - exec: Force single empty string when argv is empty (Diego Domingos) [2099482] - scsi: ibmvfc: Store vhost pointer during subcrq allocation (Gustavo Walbon) [2098103] - powerpc: Enable execve syscall exit tracepoint (Steve Best) [2095526] - rcu-tasks: Use delayed_work to delay rcu_tasks_verify_self_tests() (Waiman Long) [2094506] - rcu-tasks: Be more patient for RCU Tasks boot-time testing (Waiman Long) [2094506] - redhat/configs: Add new refcnt tracker options (Ivan Vecera) [2096377] - net/sched: cls_u32: fix possible leak in u32_init_knode() (Ivan Vecera) [2096377] - net/sched: cls_u32: fix netns refcount changes in u32_change() (Ivan Vecera) [2096377] - net: add dev->dev_registered_tracker (Ivan Vecera) [2096377] - net/smc: use GFP_ATOMIC allocation in smc_pnet_add_eth() (Ivan Vecera) [2096377] - net/smc: fix ref_tracker issue in smc_pnet_add() (Ivan Vecera) [2096377] - net: refine dev_put()/dev_hold() debugging (Ivan Vecera) [2096377] - ref_tracker: add a count of untracked references (Ivan Vecera) [2096377] - ref_tracker: implement use-after-free detection (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct rpc_xprt (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct gss_auth (Ivan Vecera) [2096377] - SUNRPC: add netns refcount tracker to struct svc_xprt (Ivan Vecera) [2096377] - net/smc: fix possible NULL deref in smc_pnet_add_eth() (Ivan Vecera) [2096377] - net: bridge: fix net device refcount tracking issue in error path (Ivan Vecera) [2096377] - ref_tracker: use __GFP_NOFAIL more carefully (Ivan Vecera) [2096377] - net: sched: do not allocate a tracker in tcf_exts_init() (Ivan Vecera) [2096377] - af_packet: fix tracking issues in packet_do_bind() (Ivan Vecera) [2096377] - netlink: do not allocate a device refcount tracker in ethnl_default_notify() (Ivan Vecera) [2096377] - net/sched: add missing tracker information in qdisc_create() (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: add netns refcount tracker to masq_dev_work (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: defer conntrack walk to work queue (Ivan Vecera) [2096377] - netfilter: nf_nat_masquerade: make async masq_inet6_event handling generic (Ivan Vecera) [2096377] - netfilter: nfnetlink: add netns refcount tracker to struct nfulnl_instance (Ivan Vecera) [2096377] - ethtool: always write dev in ethnl_parse_header_dev_get (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct packet_type (Ivan Vecera) [2096377] - ethtool: use ethnl_parse_header_dev_put() (Ivan Vecera) [2096377] - net: dev_replace_track() cleanup (Ivan Vecera) [2096377] - net: linkwatch: be more careful about dev->linkwatch_dev_tracker (Ivan Vecera) [2096377] - mptcp: adjust to use netns refcount tracker (Ivan Vecera) [2096377] - ipv6: use GFP_ATOMIC in rt6_probe() (Ivan Vecera) [2096377] - xfrm: add net device refcount tracker to struct xfrm_state_offload (Ivan Vecera) [2096377] - ethtool: fix null-ptr-deref on ref tracker (Ivan Vecera) [2096377] - ppp: add netns refcount tracker (Ivan Vecera) [2096377] - l2tp: add netns refcount tracker to l2tp_dfs_seq_data (Ivan Vecera) [2096377] - net: sched: add netns refcount tracker to struct tcf_exts (Ivan Vecera) [2096377] - net: add netns refcount tracker to struct seq_net_private (Ivan Vecera) [2096377] - net: add netns refcount tracker to struct sock (Ivan Vecera) [2096377] - net: add networking namespace refcount tracker (Ivan Vecera) [2096377] - xfrm: use net device refcount tracker helpers (Ivan Vecera) [2096377] - net: sched: act_mirred: add net device refcount tracker (Ivan Vecera) [2096377] - openvswitch: add net device refcount tracker to struct vport (Ivan Vecera) [2096377] - netlink: add net device refcount tracker to struct ethnl_req_info (Ivan Vecera) [2096377] - net/smc: add net device tracker to struct smc_pnetentry (Ivan Vecera) [2096377] - pktgen add net device refcount tracker (Ivan Vecera) [2096377] - llc: add net device refcount tracker (Ivan Vecera) [2096377] - ax25: add net device refcount tracker (Ivan Vecera) [2096377] - inet: add net device refcount tracker to struct fib_nh_common (Ivan Vecera) [2096377] - net: switchdev: add net device refcount tracker (Ivan Vecera) [2096377] - net: watchdog: add net device refcount tracker (Ivan Vecera) [2096377] - net: bridge: add net device refcount tracker (Ivan Vecera) [2096377] - vlan: add net device refcount tracker (Ivan Vecera) [2096377] - net: eql: add net device refcount tracker (Ivan Vecera) [2096377] - vrf: use dev_replace_track() for better tracking (Ivan Vecera) [2096377] - netpoll: add net device refcount tracker to struct netpoll (Ivan Vecera) [2096377] - ipmr, ip6mr: add net device refcount tracker to struct vif_device (Ivan Vecera) [2096377] - net: failover: add net device refcount tracker (Ivan Vecera) [2096377] - net: linkwatch: add net device refcount tracker (Ivan Vecera) [2096377] - net/sched: add net device refcount tracker to struct Qdisc (Ivan Vecera) [2096377] - ipv4: add net device refcount tracker to struct in_device (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to struct inet6_dev (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_adjacent (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct neigh_parms (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct pneigh_entry (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct neighbour (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to struct ip6_tnl (Ivan Vecera) [2096377] - sit: add net device refcount tracking to ip_tunnel (Ivan Vecera) [2096377] - ipv6: add net device refcount tracker to rt6_probe_deferred() (Ivan Vecera) [2096377] - net: dst: add net device refcount tracking to dst_entry (Ivan Vecera) [2096377] - drop_monitor: add net device refcount tracker (Ivan Vecera) [2096377] - net: add net device refcount tracker to dev_ifsioc() (Ivan Vecera) [2096377] - net: add net device refcount tracker to ethtool_phys_id() (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_queue (Ivan Vecera) [2096377] - net: add net device refcount tracker to struct netdev_rx_queue (Ivan Vecera) [2096377] - net: add net device refcount tracker infrastructure (Ivan Vecera) [2096377] - lib: add tests for reference tracker (Ivan Vecera) [2096377] - lib: add reference counting tracking infrastructure (Ivan Vecera) [2096377] - net: drop nopreempt requirement on sock_prot_inuse_add() (Ivan Vecera) [2096377] - net: merge net->core.prot_inuse and net->core.sock_inuse (Ivan Vecera) [2096377] - net: make sock_inuse_add() available (Ivan Vecera) [2096377] - net: inline sock_prot_inuse_add() (Ivan Vecera) [2096377] - netdevice: move xdp_rxq within netdev_rx_queue (Ivan Vecera) [2096377] - nfs: fix broken handling of the softreval mount option (Benjamin Coddington) [2095275] Resolves: rhbz#2099482, rhbz#2098103, rhbz#2095526, rhbz#2094506, rhbz#2096377, rhbz#2095275 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-01 07:45:38 +00:00
# CONFIG_TEST_REF_TRACKER is not set
# CONFIG_TEST_RHASHTABLE is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_TEST_SCANF is not set
kernel-5.14.0-306.el9 * Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9] - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822] - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822] - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822] - x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536] - redhat: add new kunit tests (Nico Pache) [2168378] - kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378] - lib/cpumask_kunit: log mask contents (Nico Pache) [2168378] - lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378] - lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378] - lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378] - cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378] - lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378] - cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378] - cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378] - iio: test: rescale: add MODULE_* information (Nico Pache) [2168378] - iio: test: format: add MODULE_* information (Nico Pache) [2168378] - list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378] - kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378] - Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378] - Documentation: KUnit: reword description of assertions (Nico Pache) [2168378] - kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378] - kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378] - tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378] - tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378] - kunit: fix assert_type for comparison macros (Nico Pache) [2168378] - module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378] - MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378] - drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378] - Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378] - kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378] - Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378] - kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378] - iio: test: rework Kconfig to support modules (Nico Pache) [2168378] - iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378] - kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378] - clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378] - mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378] - kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378] - kunit: unify module and builtin suite definitions (Nico Pache) [2168378] - Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378] - drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378] - drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378] - Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378] - kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378] - kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378] - kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378] - kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378] - kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378] - kunit: tool: introduce --qemu_args (Nico Pache) [2168378] - kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378] - kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378] - kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378] - kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378] - kunit: tool: drop unused load_config argument (Nico Pache) [2168378] - kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378] - apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378] - lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378] - kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378] - panic: Taint kernel if tests are run (Nico Pache) [2168378] - drm/doc: Add KUnit documentation (Nico Pache) [2168378] - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378] - platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378] - kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378] - kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378] - kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378] - kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378] - kunit: take `kunit_assert` as `const` (Nico Pache) [2168378] - kunit: tool: misc cleanups (Nico Pache) [2168378] - kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378] - kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378] - kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378] - kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378] - kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378] - kunit: tool: update test counts summary line format (Nico Pache) [2168378] - kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378] - lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378] - kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378] - drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378] - drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378] - kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378] - kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378] - kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378] - kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378] - Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378] - kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378] - list: test: Test the hlist structure (Nico Pache) [2168378] - Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378] - kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378] - kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378] - kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378] - kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378] - kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378] - kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378] - kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378] - apparmor: test: Use NULL macros (Nico Pache) [2168378] - kasan: test: Use NULL macros (Nico Pache) [2168378] - kunit: use NULL macros (Nico Pache) [2168378] - kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378] - kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378] - kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378] - kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378] - Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378] - Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378] - kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378] - lib: stackinit: Convert to KUnit (Nico Pache) [2168378] - lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378] - lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378] - lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378] - clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378] - binfmt_elf: Introduce KUnit test (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378] - dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378] - iio: afe: rescale: add temperature transducers (Nico Pache) [2168378] - iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378] - iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378] - lib: overflow: Convert to Kunit (Nico Pache) [2168378] - overflow: Provide constant expression struct_size (Nico Pache) [2168378] - overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378] - test_overflow: Regularize test reporting output (Nico Pache) [2168378] - iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378] - iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378] - iio: afe: rescale: add offset support (Nico Pache) [2168378] - iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378] - iio: afe: rescale: expose scale processing function (Nico Pache) [2168378] - list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378] - list: test: Add a test for list_is_head() (Nico Pache) [2168378] - list: test: Add test for list_del_init_careful() (Nico Pache) [2168378] - Documentation: KUnit: Fix usage bug (Nico Pache) [2168378] - kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378] - kunit: cleanup assertion macro internal variables (Nico Pache) [2168378] - kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378] - kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378] - kunit: remove va_format from kunit_assert (Nico Pache) [2168378] - kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378] - kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378] - kunit: decrease macro layering for integer asserts (Nico Pache) [2168378] - kunit: reduce layering in string assertion macros (Nico Pache) [2168378] - kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378] - kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378] - kunit: tool: Import missing importlib.abc (Nico Pache) [2168378] - kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378] - kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378] - kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378] - kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378] - kunit: move check if assertion passed into the macros (Nico Pache) [2168378] - kunit: add example test case showing off all the expect macros (Nico Pache) [2168378] - clk: gate: Add some kunit test suites (Nico Pache) [2168378] - kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - test_hash.c: refactor into kunit (Nico Pache) [2168378] - lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378] - test_hash.c: split test_hash_init (Nico Pache) [2168378] - test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378] - hash.h: remove unused define directive (Nico Pache) [2168378] - Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378] - Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378] - Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378] - Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378] - Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378] - Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378] - Documentation: KUnit: Rewrite main page (Nico Pache) [2168378] - kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378] - kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378] - kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378] - kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378] - kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378] - kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378] - kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378] - kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378] - kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378] - kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378] - kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378] - kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378] - kunit: Don't crash if no parameters are generated (Nico Pache) [2168378] - kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378] - kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378] - kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378] - Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378] - kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378] - net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378] - nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378] - include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378] - kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378] - kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378] - kunit: Reset suite count after running tests (Nico Pache) [2168378] - kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378] - kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378] - kunit: tool: support running each suite/test separately (Nico Pache) [2168378] - kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378] - kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378] - kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378] - kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378] - kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378] - kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378] - kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378] - kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378] - kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378] - bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378] - gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378] - kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378] - kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378] - kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378] - kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378] - lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378] - math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378] - math: make RATIONAL tristate (Nico Pache) [2168378] - kunit: Print test statistics on failure (Nico Pache) [2168378] - kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378] - kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378] - kunit: ubsan integration (Nico Pache) [2168378] - fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378] - rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378] - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937] - RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937] - RDMA/restrack: Correct spelling (Kamal Heib) [2168937] - scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937] - RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937] - RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937] - RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936] - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936] - RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936] - RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936] - IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936] - RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936] - RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936] - RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936] - RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936] - RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936] - RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936] - RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936] - RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936] - RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936] - IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936] - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936] - RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936] - RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936] - IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936] - RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936] - RDMA/core: fix repeated words in comments (Kamal Heib) [2168936] - IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936] - IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936] - IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936] - RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936] - RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936] - IB/uverbs: fix the typo of optional (Kamal Heib) [2168936] - RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936] - treewide: use get_random_u32() when possible (Kamal Heib) [2168933] - treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933] - RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933] - RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933] - RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933] - RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933] - RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933] - RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933] - IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933] - IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933] - IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933] - RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933] - RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933] - RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933] - RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933] - RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933] - IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933] - treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933] - RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933] - scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963] - scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963] - nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195] - Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195] - Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195] - ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195] - selftests/net: test nexthop without gw (Guillaume Nault) [2189195] - ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195] - skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789] - tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789] - udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789] - udf: Get rid of 0-length arrays (Eric Chanudet) [2166789] - udf: Remove unused declaration (Eric Chanudet) [2166789] - udf: Check LVID earlier (Eric Chanudet) [2166789] - treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789] - hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789] - scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789] - ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873] - ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241] - ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241] - ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241] - ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241] - ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241] - ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241] - ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241] - ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241] - ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241] - ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241] - ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241] - ext4: make kobj_type structures constant (Carlos Maiolino) [2188241] - ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241] - ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241] - ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241] - ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241] - ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241] - jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241] - ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241] - ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241] - ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241] - ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241] - ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241] - ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241] - ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241] - ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241] - ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241] - ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241] - ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241] - ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241] - fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241] - ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241] - ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241] - ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241] - NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741] - SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741] - NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741] - SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741] - SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741] - SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741] - SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741] - SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741] - SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741] - SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741] - SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741] - SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741] - SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741] - SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741] - SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741] - SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741] - SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741] - NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741] - SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741] - SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741] - SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741] - SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741] - SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741] - SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741] - SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741] - SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741] - Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741] - sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464] - sfc: support unicast PTP (Íñigo Huguet) [2151464] - sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464] - sfc: store PTP filters in a list (Íñigo Huguet) [2151464] - arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616] - arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616] - arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616] - clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616] - pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616] - interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616] - clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616] - dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616] - soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616] - dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616] - clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616] - netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510] - nfqueue: enable to set skb->priority (Florian Westphal) [2087510] - netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510] - KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983] - Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983] - KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983] - KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983] - KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983] - KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983] - KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983] - KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983] - KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983] - s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983] - s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983] - s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983] - s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983] - s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983] - s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983] - s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983] - s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983] - selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065] - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065] - selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065] - selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065] - selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065] - selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065] - selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065] - selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065] - selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065] - selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065] - selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065] - selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065] - selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065] - selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064] - ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064] - ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064] - ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064] - selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064] - net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064] - net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064] - media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456] - media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456] - media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456] - dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456] - of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456] - driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456] - resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456] - i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456] - container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456] - drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456] - iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456] - ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456] - ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456] - ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456] - nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124] - NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124] - NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124] - NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124] - NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124] - NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124] - NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124] - NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124] - NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124] - NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124] - l2tp: generate correct module alias strings (Ivan Vecera) [2178211] - tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211] - flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211] - net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211] - flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211] - uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211] - scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960] - scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960] - scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960] - scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960] - scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960] - scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960] - scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960] - scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960] - scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960] - scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960] - scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960] - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960] - arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062] - crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062] - crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062] - RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378] - RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378] - RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378] - RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378] - RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378] - RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378] - RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378] - RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378] - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378] - RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378] - RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378] - dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677] - dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677] - dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677] - dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677] - dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677] - dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677] - dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677] - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375] - i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375] Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 08:56:53 +00:00
# CONFIG_TEST_SIPHASH is not set
CONFIG_TEST_SORT=m
# CONFIG_TEST_STACKINIT is not set
# CONFIG_TEST_STATIC_KEYS is not set
CONFIG_TEST_STRING_HELPERS=m
# CONFIG_TEST_STRSCPY is not set
# CONFIG_TEST_SYSCTL is not set
# CONFIG_TEST_UDELAY is not set
# CONFIG_TEST_USER_COPY is not set
# CONFIG_TEST_UUID is not set
kernel-5.14.0-97.el9 * Mon May 23 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-97.el9] - tcp: drop the hash_32() part from the index calculation (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: increase source port perturb table to 2^16 (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: dynamically allocate the perturb table used by source ports (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: add small random increments to the source port (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: resalt the secret every 10 seconds (Guillaume Nault) [2064868] {CVE-2022-1012} - tcp: use different parts of the port_offset for index and offset (Guillaume Nault) [2064868] {CVE-2022-1012} - secure_seq: use the 64 bits of the siphash for port offset calculation (Guillaume Nault) [2064868] {CVE-2022-1012} - esp: limit skb_page_frag_refill use to a single page (Sabrina Dubroca) [2082951] {CVE-2022-27666} - esp: Fix possible buffer overflow in ESP transformation (Sabrina Dubroca) [2082951] {CVE-2022-27666} - cifs: truncate the inode and mapping when we simulate fcollapse (Ronnie Sahlberg) [1997367] - bpf: Fix renaming task_getsecid_subj->current_getsecid_subj. (Ondrej Mosnacek) [2083580] - selinux: use correct type for context length (Ondrej Mosnacek) [2083580] - selinux: drop return statement at end of void functions (Ondrej Mosnacek) [2083580] - selinux: parse contexts for mount options early (Ondrej Mosnacek) [2083580] - selinux: various sparse fixes (Ondrej Mosnacek) [2083580] - selinux: try to use preparsed sid before calling parse_sid() (Ondrej Mosnacek) [2083580] - selinux: Fix selinux_sb_mnt_opts_compat() (Ondrej Mosnacek) [2083580] - LSM: general protection fault in legacy_parse_param (Ondrej Mosnacek) [2083580] - selinux: fix a type cast problem in cred_init_security() (Ondrej Mosnacek) [2083580] - selinux: drop unused macro (Ondrej Mosnacek) [2083580] - selinux: simplify cred_init_security (Ondrej Mosnacek) [2083580] - selinux: do not discard const qualifier in cast (Ondrej Mosnacek) [2083580] - selinux: drop unused parameter of avtab_insert_node (Ondrej Mosnacek) [2083580] - selinux: drop cast to same type (Ondrej Mosnacek) [2083580] - selinux: enclose macro arguments in parenthesis (Ondrej Mosnacek) [2083580] - selinux: declare name parameter of hash_eval const (Ondrej Mosnacek) [2083580] - selinux: declare path parameters of _genfs_sid const (Ondrej Mosnacek) [2083580] - selinux: check return value of sel_make_avc_files (Ondrej Mosnacek) [2083580] - selinux: access superblock_security_struct in LSM blob way (Ondrej Mosnacek) [2083580] - selinux: fix misuse of mutex_is_locked() (Ondrej Mosnacek) [2050966 2083580] - selinux: minor tweaks to selinux_add_opt() (Ondrej Mosnacek) [2083580] - selinux: fix potential memleak in selinux_add_opt() (Ondrej Mosnacek) [2083580] - security,selinux: remove security_add_mnt_opt() (Ondrej Mosnacek) [2083580] - selinux: Use struct_size() helper in kmalloc() (Ondrej Mosnacek) [2083580] - lsm: security_task_getsecid_subj() -> security_current_getsecid_subj() (Ondrej Mosnacek) [2083580] - selinux: initialize proto variable in selinux_ip_postroute_compat() (Ondrej Mosnacek) [2083580] - selinux: fix sleeping function called from invalid context (Ondrej Mosnacek) [2083580] - selinux: fix a sock regression in selinux_ip_postroute_compat() (Ondrej Mosnacek) [2083580] - LSM: Avoid warnings about potentially unused hook variables (Ondrej Mosnacek) [2083580] - selinux: fix all of the W=1 build warnings (Ondrej Mosnacek) [2083580] - selinux: make better use of the nf_hook_state passed to the NF hooks (Ondrej Mosnacek) [2083580] - selinux: fix race condition when computing ocontext SIDs (Ondrej Mosnacek) [2083580] - selinux: remove unneeded ipv6 hook wrappers (Ondrej Mosnacek) [2083580] - security: remove unneeded subdir-$(CONFIG_...) (Ondrej Mosnacek) [2083580] - selinux: return early for possible NULL audit buffers (Ondrej Mosnacek) [2083580] - quota: make dquot_quota_sync return errors from ->sync_fs (Lukas Czerner) [2083053] - redhat: Enable VM kselftests (Nico Pache) [2081818] - selftests/vm: Makefile: s/TARGETS/VMTARGETS/g (Joel Savitz) [2081818] - redhat: Enable HMM test to be used by the kselftest test suite (Nico Pache) [2081818] - redhat: enable CONFIG_TEST_VMALLOC for vm selftests (Nico Pache) [2081818] - net: bridge: switchdev: check br_vlan_group() return value (Ivan Vecera) [2081601] - net: bridge: mst: Restrict info size queries to bridge ports (Ivan Vecera) [2081601] - net: bridge: mst: prevent NULL deref in br_mst_info_size() (Ivan Vecera) [2081601] - selftests: forwarding: Use same VRF for port and VLAN upper (Ivan Vecera) [2081601] - selftests: forwarding: Disable learning before link up (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to query a port's MST state (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to check if MST is enabled (Ivan Vecera) [2081601] - net: bridge: mst: Add helper to map an MSTI to a VID set (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of MST state changes (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of VLAN MSTI migrations (Ivan Vecera) [2081601] - net: bridge: mst: Notify switchdev drivers of MST mode changes (Ivan Vecera) [2081601] - net: bridge: mst: Support setting and reporting MST port states (Ivan Vecera) [2081601] - net: bridge: mst: Allow changing a VLAN's MSTI (Ivan Vecera) [2081601] - net: bridge: mst: Multiple Spanning Tree (MST) mode (Ivan Vecera) [2081601] - net: switchdev: remove lag_mod_cb from switchdev_handle_fdb_event_to_device (Ivan Vecera) [2081601] - selftests: forwarding: tests of locked port feature (Ivan Vecera) [2081601] - net: bridge: Add support for offloading of locked port flag (Ivan Vecera) [2081601] - net: bridge: Add support for bridge port in locked mode (Ivan Vecera) [2081601] - net: switchdev: avoid infinite recursion from LAG to bridge with port object handler (Ivan Vecera) [2081601] - bridge: switch br_net_exit to batch mode (Ivan Vecera) [2081601] - net: bridge: multicast: notify switchdev driver whenever MC processing gets disabled (Ivan Vecera) [2081601] - net: switchdev: introduce switchdev_handle_port_obj_{add,del} for foreign interfaces (Ivan Vecera) [2081601] - net: switchdev: rename switchdev_lower_dev_find to switchdev_lower_dev_find_rcu (Ivan Vecera) [2081601] - net: bridge: switchdev: replay all VLAN groups (Ivan Vecera) [2081601] - net: bridge: make nbp_switchdev_unsync_objs() follow reverse order of sync() (Ivan Vecera) [2081601] - net: bridge: switchdev: differentiate new VLANs from changed ones (Ivan Vecera) [2081601] - net: bridge: vlan: notify switchdev only when something changed (Ivan Vecera) [2081601] - net: bridge: vlan: make __vlan_add_flags react only to PVID and UNTAGGED (Ivan Vecera) [2081601] - net: bridge: vlan: don't notify to switchdev master VLANs without BRENTRY flag (Ivan Vecera) [2081601] - net: bridge: vlan: check early for lack of BRENTRY flag in br_vlan_add_existing (Ivan Vecera) [2081601] - net: bridge: vlan: check for errors from __vlan_del in __vlan_flush (Ivan Vecera) [2081601] - net/switchdev: use struct_size over open coded arithmetic (Ivan Vecera) [2081601] - net: bridge: vlan: fix memory leak in __allowed_ingress (Ivan Vecera) [2081601] - net: bridge: vlan: fix single net device option dumping (Ivan Vecera) [2081601] - net: bridge: Get SIOCGIFBR/SIOCSIFBR ioctl working in compat mode (Ivan Vecera) [2081601] - bridge: use __set_bit in __br_vlan_set_default_pvid (Ivan Vecera) [2081601] - net: bridge: Allow base 16 inputs in sysfs (Ivan Vecera) [2081601] - net/bridge: replace simple_strtoul to kstrtol (Ivan Vecera) [2081601] - net: bridge: Slightly optimize 'find_portno()' (Ivan Vecera) [2081601] - net: bridge: switchdev: fix shim definition for br_switchdev_mdb_notify (Ivan Vecera) [2081601] - net: bridge: switchdev: consistent function naming (Ivan Vecera) [2081601] - net: bridge: mdb: move all switchdev logic to br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: split out the switchdev portion of br_mdb_notify (Ivan Vecera) [2081601] - net: bridge: move br_vlan_replay to br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: provide shim definition for br_vlan_flags (Ivan Vecera) [2081601] - net: switchdev: merge switchdev_handle_fdb_{add,del}_to_device (Ivan Vecera) [2081601] - net: bridge: create a common function for populating switchdev FDB entries (Ivan Vecera) [2081601] - net: bridge: move br_fdb_replay inside br_switchdev.c (Ivan Vecera) [2081601] - net: bridge: reduce indentation level in fdb_create (Ivan Vecera) [2081601] - net: bridge: rename br_fdb_insert to br_fdb_add_local (Ivan Vecera) [2081601] - net: bridge: rename fdb_insert to fdb_add_local (Ivan Vecera) [2081601] - net: bridge: remove fdb_insert forward declaration (Ivan Vecera) [2081601] - net: bridge: remove fdb_notify forward declaration (Ivan Vecera) [2081601] - scsi: ses: Fix unsigned comparison with less than zero (Tomas Henzl) [2065658] - scsi: ses: Retry failed Send/Receive Diagnostic commands (Tomas Henzl) [2065658] - redhat/configs: enable GUP_TEST in debug kernel (Joel Savitz) [2079631] Resolves: rhbz#2064868, rhbz#2082951, rhbz#1997367, rhbz#2083580, rhbz#2050966, rhbz#2083053, rhbz#2081818, rhbz#2081601, rhbz#2065658, rhbz#2079631 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-23 07:52:03 +00:00
CONFIG_TEST_VMALLOC=m
# CONFIG_TEST_XARRAY is not set
# CONFIG_THERMAL_DEFAULT_GOV_FAIR_SHARE is not set
CONFIG_THERMAL_DEFAULT_GOV_STEP_WISE=y
# CONFIG_THERMAL_DEFAULT_GOV_USER_SPACE is not set
CONFIG_THERMAL_EMERGENCY_POWEROFF_DELAY_MS=0
# CONFIG_THERMAL_EMULATION is not set
# CONFIG_THERMAL_GOV_BANG_BANG is not set
CONFIG_THERMAL_GOV_FAIR_SHARE=y
# CONFIG_THERMAL_GOV_POWER_ALLOCATOR is not set
CONFIG_THERMAL_GOV_STEP_WISE=y
# CONFIG_THERMAL_GOV_USER_SPACE is not set
CONFIG_THERMAL_HWMON=y
# CONFIG_THERMAL_MMIO is not set
CONFIG_THERMAL_NETLINK=y
CONFIG_THERMAL_OF=y
# CONFIG_THERMAL_STATISTICS is not set
# CONFIG_THERMAL_WRITABLE_TRIPS is not set
CONFIG_THERMAL=y
# CONFIG_THRUSTMASTER_FF is not set
CONFIG_THUNDERX2_PMU=m
# CONFIG_TI_ADC081C is not set
# CONFIG_TI_ADC0832 is not set
# CONFIG_TI_ADC084S021 is not set
# CONFIG_TI_ADC108S102 is not set
# CONFIG_TI_ADC12138 is not set
# CONFIG_TI_ADC128S052 is not set
# CONFIG_TI_ADC161S626 is not set
# CONFIG_TI_ADS1015 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_TI_ADS124S08 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_TI_ADS131E08 is not set
# CONFIG_TI_ADS7950 is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
# CONFIG_TI_ADS8344 is not set
# CONFIG_TI_ADS8688 is not set
# CONFIG_TI_DAC082S085 is not set
# CONFIG_TI_DAC5571 is not set
# CONFIG_TI_DAC7311 is not set
# CONFIG_TI_DAC7612 is not set
CONFIG_TIFM_7XX1=m
CONFIG_TIFM_CORE=m
CONFIG_TIGON3_HWMON=y
CONFIG_TIGON3=m
kernel-5.14.0-61.el9 * Wed Feb 16 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-61.el9] - Enable KUNIT tests for redhat kernel-modules-internal (Nico Pache) [2048326] - kasan: test: fix compatibility with FORTIFY_SOURCE (Nico Pache) [2048326] - kasan: test: silence intentional read overflow warnings (Nico Pache) [2048326] - kasan: test: bypass __alloc_size checks (Nico Pache) [2048326] - mm/damon/vaddr-test: split a test function having >1024 bytes frame size (Nico Pache) [2048326] - lib: Introduce CONFIG_MEMCPY_KUNIT_TEST (Nico Pache) [2048326] - nvme-rdma: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme-tcp: fix possible use-after-free in transport error_recovery work (Chris Leech) [1994618 2033414] - nvme: fix a possible use-after-free in controller reset during load (Chris Leech) [1994618 2033414] - redhat/configs: enable CONFIG_CMA on aarch64 as tech-preview (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_AREAS (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUG (David Hildenbrand) [2043141] - redhat/configs: simplify CONFIG_CMA_DEBUGFS (David Hildenbrand) [2043141] - bpf: Fix kernel address leakage in atomic fetch (Jiri Olsa) [2046636] {CVE-2021-4203} - IB/rdmavt: Validate remote_addr during loopback atomic tests (Kamal Heib) [2032114] - [s390] scsi: zfcp: Fix failed recovery on gone remote port with non-NPIV FCP devices (Mete Durlu) [2049016] - [s390] s390/cpumf: Support for CPU Measurement Sampling Facility LS bit (Mete Durlu) [2048979] - [s390] s390/cpumf: Support for CPU Measurement Facility CSVN 7 (Mete Durlu) [2048979] - net: openvswitch: Fix ct_state nat flags for conns arriving from tc (Antoine Tenart) [2045048] - net: openvswitch: Fix matching zone id for invalid conns arriving from tc (Antoine Tenart) [2045048] - net/sched: flow_dissector: Fix matching on zone id for invalid conns (Antoine Tenart) [2045048] - net/sched: Extend qdisc control block with tc control block (Antoine Tenart) [2045048] - openvswitch: fix sparse warning incorrect type (Antoine Tenart) [2045048] - openvswitch: fix alignment issues (Antoine Tenart) [2045048] - openvswitch: update kdoc OVS_DP_ATTR_PER_CPU_PIDS (Antoine Tenart) [2045048] - openvswitch: Introduce per-cpu upcall dispatch (Antoine Tenart) [2045048] - netfilter: fix regression in looped (broad|multi)cast's MAC handling (Florian Westphal) [2044272] - selftests: nft_concat_range: add test for reload with no element add/del (Florian Westphal) [2044272] - selftests: netfilter: Add correctness test for mac,net set type (Florian Westphal) [2044272] - netfilter: conntrack: don't increment invalid counter on NF_REPEAT (Florian Westphal) [2044272] - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone (Florian Westphal) [2044272] - netfilter: nft_payload: do not update layer 4 checksum when mangling fragments (Florian Westphal) [2044272] - netfilter: bridge: add support for pppoe filtering (Florian Westphal) [2044272] - netfilter: nf_tables: fix use-after-free in nft_set_catchall_destroy() (Florian Westphal) [2044272] - netfilter: conntrack: annotate data-races around ct->timeout (Florian Westphal) [2044272] - netfilter: nft_exthdr: break evaluation if setting TCP option fails (Florian Westphal) [2044272] - nft_set_pipapo: Fix bucket load in AVX2 lookup routine for six 8-bit groups (Florian Westphal) [2044272] - tracing: Tag trace_percpu_buffer as a percpu pointer (Jerome Marchand) [2035164] - tracing: Fix check for trace_percpu_buffer validity in get_trace_buf() (Jerome Marchand) [2035164] - selftests: cgroup: Test open-time cgroup namespace usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Test open-time credential usage for migration checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - selftests: cgroup: Make cg_create() use 0755 for permission instead of 0644 (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time cgroup namespace for process migration perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Allocate cgroup_file_ctx for kernfs_open_file->priv (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - cgroup: Use open-time credentials for process migraton perm checks (Chris von Recklinghausen) [2035768] {CVE-2021-4197} - x86/sched: Decrease further the priorities of SMT siblings (Prarit Bhargava) [1971926] Resolves: rhbz#1971926, rhbz#1994618, rhbz#2032114, rhbz#2033414, rhbz#2035164, rhbz#2035768, rhbz#2043141, rhbz#2044272, rhbz#2045048, rhbz#2046636, rhbz#2048326, rhbz#2048979, rhbz#2049016 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-16 01:50:05 +00:00
CONFIG_TIME_KUNIT_TEST=m
CONFIG_TIME_NS=y
CONFIG_TIMERLAT_TRACER=y
# CONFIG_TINYDRM_HX8357D is not set
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
# CONFIG_TINYDRM_ILI9163 is not set
# CONFIG_TINYDRM_ILI9225 is not set
# CONFIG_TINYDRM_ILI9341 is not set
# CONFIG_TINYDRM_ILI9486 is not set
# CONFIG_TINYDRM_MI0283QT is not set
# CONFIG_TINYDRM_REPAPER is not set
# CONFIG_TINYDRM_ST7586 is not set
# CONFIG_TINYDRM_ST7735R is not set
CONFIG_TIPC_CRYPTO=y
CONFIG_TIPC_DIAG=m
CONFIG_TIPC=m
CONFIG_TIPC_MEDIA_IB=y
CONFIG_TIPC_MEDIA_UDP=y
# CONFIG_TI_ST is not set
# CONFIG_TI_TLC4541 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_TI_TSC2046 is not set
CONFIG_TLS_DEVICE=y
CONFIG_TLS=m
# CONFIG_TLS_TOE is not set
# CONFIG_TMP006 is not set
# CONFIG_TMP007 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_TMP117 is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_TMPFS_INODE64=y
CONFIG_TMPFS_POSIX_ACL=y
CONFIG_TMPFS_XATTR=y
CONFIG_TMPFS=y
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
CONFIG_TORTURE_TEST=m
# CONFIG_TOSHIBA_HAPS is not set
# CONFIG_TOSHIBA_WMI is not set
# CONFIG_TOUCHSCREEN_AD7877 is not set
# CONFIG_TOUCHSCREEN_AD7879 is not set
# CONFIG_TOUCHSCREEN_ADS7846 is not set
# CONFIG_TOUCHSCREEN_AR1021_I2C is not set
# CONFIG_TOUCHSCREEN_ATMEL_MXT is not set
# CONFIG_TOUCHSCREEN_AUO_PIXCIR is not set
# CONFIG_TOUCHSCREEN_BU21013 is not set
# CONFIG_TOUCHSCREEN_BU21029 is not set
# CONFIG_TOUCHSCREEN_CHIPONE_ICN8318 is not set
# CONFIG_TOUCHSCREEN_CHIPONE_ICN8505 is not set
# CONFIG_TOUCHSCREEN_CY8CTMA140 is not set
# CONFIG_TOUCHSCREEN_CY8CTMG110 is not set
# CONFIG_TOUCHSCREEN_CYTTSP4_CORE is not set
# CONFIG_TOUCHSCREEN_CYTTSP_CORE is not set
# CONFIG_TOUCHSCREEN_DYNAPRO is not set
# CONFIG_TOUCHSCREEN_EDT_FT5X06 is not set
# CONFIG_TOUCHSCREEN_EETI is not set
# CONFIG_TOUCHSCREEN_EGALAX is not set
# CONFIG_TOUCHSCREEN_EGALAX_SERIAL is not set
# CONFIG_TOUCHSCREEN_EKTF2127 is not set
# CONFIG_TOUCHSCREEN_ELAN is not set
# CONFIG_TOUCHSCREEN_ELO is not set
# CONFIG_TOUCHSCREEN_EXC3000 is not set
# CONFIG_TOUCHSCREEN_FUJITSU is not set
# CONFIG_TOUCHSCREEN_GOODIX is not set
# CONFIG_TOUCHSCREEN_GUNZE is not set
# CONFIG_TOUCHSCREEN_HAMPSHIRE is not set
# CONFIG_TOUCHSCREEN_HIDEEP is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_TOUCHSCREEN_HYCON_HY46XX is not set
# CONFIG_TOUCHSCREEN_ILI210X is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_TOUCHSCREEN_ILITEK is not set
# CONFIG_TOUCHSCREEN_IMX6UL_TSC is not set
# CONFIG_TOUCHSCREEN_INEXIO is not set
# CONFIG_TOUCHSCREEN_IQS5XX is not set
# CONFIG_TOUCHSCREEN_MAX11801 is not set
# CONFIG_TOUCHSCREEN_MCS5000 is not set
# CONFIG_TOUCHSCREEN_MELFAS_MIP4 is not set
# CONFIG_TOUCHSCREEN_MK712 is not set
# CONFIG_TOUCHSCREEN_MMS114 is not set
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
# CONFIG_TOUCHSCREEN_MSG2638 is not set
# CONFIG_TOUCHSCREEN_MTOUCH is not set
# CONFIG_TOUCHSCREEN_PENMOUNT is not set
# CONFIG_TOUCHSCREEN_PIXCIR is not set
# CONFIG_TOUCHSCREEN_RM_TS is not set
# CONFIG_TOUCHSCREEN_ROHM_BU21023 is not set
# CONFIG_TOUCHSCREEN_S6SY761 is not set
# CONFIG_TOUCHSCREEN_SILEAD is not set
# CONFIG_TOUCHSCREEN_SIS_I2C is not set
# CONFIG_TOUCHSCREEN_ST1232 is not set
# CONFIG_TOUCHSCREEN_STMFTS is not set
# CONFIG_TOUCHSCREEN_SUR40 is not set
# CONFIG_TOUCHSCREEN_SURFACE3_SPI is not set
# CONFIG_TOUCHSCREEN_SX8654 is not set
# CONFIG_TOUCHSCREEN_TOUCHIT213 is not set
# CONFIG_TOUCHSCREEN_TOUCHRIGHT is not set
# CONFIG_TOUCHSCREEN_TOUCHWIN is not set
# CONFIG_TOUCHSCREEN_TPS6507X is not set
# CONFIG_TOUCHSCREEN_TSC2004 is not set
# CONFIG_TOUCHSCREEN_TSC2005 is not set
# CONFIG_TOUCHSCREEN_TSC2007 is not set
# CONFIG_TOUCHSCREEN_TSC_SERIO is not set
# CONFIG_TOUCHSCREEN_USB_COMPOSITE is not set
CONFIG_TOUCHSCREEN_WACOM_I2C=m
# CONFIG_TOUCHSCREEN_WACOM_W8001 is not set
# CONFIG_TOUCHSCREEN_WDT87XX_I2C is not set
# CONFIG_TOUCHSCREEN_WM97XX is not set
# CONFIG_TOUCHSCREEN_ZET6223 is not set
# CONFIG_TOUCHSCREEN_ZFORCE is not set
# CONFIG_TOUCHSCREEN_ZINITIX is not set
# CONFIG_TPL0102 is not set
# CONFIG_TPM_KEY_PARSER is not set
# CONFIG_TPS6105X is not set
# CONFIG_TPS65010 is not set
# CONFIG_TPS6507X is not set
# CONFIG_TQMX86_WDT is not set
# CONFIG_TRACE_EVAL_MAP_FILE is not set
# CONFIG_TRACE_EVENT_INJECT is not set
kernel-5.14.0-312.el9 * Thu May 11 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-312.el9] - s390/qeth: Convert sprintf/snprintf to scnprintf (Tobias Huschle) [2160097] - s390/qeth: Convert sysfs sprintf to sysfs_emit (Tobias Huschle) [2160097] - s390/qeth: Use constant for IP address buffers (Tobias Huschle) [2160097] - s390/ctcm: cleanup indenting (Tobias Huschle) [2160097] - s390/iucv: sort out physical vs virtual pointers usage (Tobias Huschle) [2160097] - net/af_iucv: fix kernel doc comments (Tobias Huschle) [2160097] - net/iucv: fix kernel doc comments (Tobias Huschle) [2160097] - net/iucv: get rid of register asm usage (Tobias Huschle) [2160097] - net/af_iucv: remove wrappers around iucv (de-)registration (Tobias Huschle) [2160097] - net/af_iucv: clean up a try_then_request_module() (Tobias Huschle) [2160097] - net/af_iucv: support drop monitoring (Tobias Huschle) [2160097] - s390/ipl: add loadparm parameter to eckd ipl/reipl data (Tobias Huschle) [2160041] - s390/ipl: add DEFINE_GENERIC_LOADPARM() (Tobias Huschle) [2160041] - s390/ipl: use octal values instead of S_* macros (Tobias Huschle) [2160041] - s390/ipl: add eckd support (Tobias Huschle) [2160041] - redhat/configs: add CONFIG_TRACE_MMIO_ACCESS (Adrien Thierry) [2196244] - asm-generic/io: Add _RET_IP_ to MMIO trace for more accurate debug info (Adrien Thierry) [2196244] - drm/meson: Fix overflow implicit truncation warnings (Adrien Thierry) [2196244] - lib: Add register read/write tracing support (Adrien Thierry) [2196244] - asm-generic/io: Add logging support for MMIO accessors (Adrien Thierry) [2196244] - arm64: io: Use asm-generic high level MMIO accessors (Adrien Thierry) [2196244] - coresight: etm4x: Use asm-generic IO memory barriers (Adrien Thierry) [2196244] - irqchip/tegra: Fix overflow implicit truncation warnings (Adrien Thierry) [2196244] - kernel.h: split out instruction pointer accessors (Adrien Thierry) [2196244] - kernel.h: drop unneeded <linux/kernel.h> inclusion from other headers (Adrien Thierry) [2196244] - sched/psi: Fix use-after-free in ep_remove_wait_queue() (Phil Auld) [RHEL-311] - sched/psi: Use task->psi_flags to clear in CPU migration (Phil Auld) [RHEL-311] - sched/psi: Stop relying on timer_pending() for poll_work rescheduling (Phil Auld) [RHEL-311] - sched/psi: Fix avgs_work re-arm in psi_avgs_work() (Phil Auld) [RHEL-311] - sched/psi: Fix possible missing or delayed pending event (Phil Auld) [RHEL-311] - sched/psi: Cache parent psi_group to speed up group iteration (Phil Auld) [RHEL-311] - sched/psi: Consolidate cgroup_psi() (Phil Auld) [RHEL-311] - sched/psi: Add PSI_IRQ to track IRQ/SOFTIRQ pressure (Phil Auld) [RHEL-311] - sched/psi: Remove NR_ONCPU task accounting (Phil Auld) [RHEL-311] - sched/psi: Optimize task switch inside shared cgroups again (Phil Auld) [RHEL-311] - sched/psi: Move private helpers to sched/stats.h (Phil Auld) [RHEL-311] - sched/psi: Save percpu memory when !psi_cgroups_enabled (Phil Auld) [RHEL-311] - sched/psi: Don't create cgroup PSI files when psi_disabled (Phil Auld) [RHEL-311] - sched/psi: Fix periodic aggregation shut off (Phil Auld) [RHEL-311] - headers/prep: Fix header to build standalone: <linux/psi.h> (Phil Auld) [RHEL-311] - wait: add wake_up_pollfree() (Phil Auld) [RHEL-311] - psi: Fix PSI_MEM_FULL state when tasks are in memstall and doing reclaim (Phil Auld) [RHEL-311] - selftests: bonding: add bonding prio option test (Hangbin Liu) [2092194] - bonding: fix lockdep splat in bond_miimon_commit() (Hangbin Liu) [2092194] - bonding: do failover when high prio link up (Hangbin Liu) [2092194] - bonding: add missed __rcu annotation for curr_active_slave (Hangbin Liu) [2092194] - Bonding: add per-port priority for failover re-selection (Hangbin Liu) [2092194] - bonding: add slave_dev field for bond_opt_value (Hangbin Liu) [2092194] - s390/vfio-ap: fix memory leak in vfio_ap device driver (Alex Williamson) [2188088] - docs: vfio: fix header path (Alex Williamson) [2188088] - docs: vfio: Update vfio.rst per latest interfaces (Alex Williamson) [2188088] - vfio: Update the kdoc for vfio_device_ops (Alex Williamson) [2188088] - vfio: no need to pass kvm pointer during device open (Alex Williamson) [2188088] - vfio: revert "iommu driver notify callback" (Alex Williamson) [2188088] - vfio/type1: revert "implement notify callback" (Alex Williamson) [2188088] - vfio/type1: revert "block on invalid vaddr" (Alex Williamson) [2188088] - vfio/type1: restore locked_vm (Alex Williamson) [2188088] - vfio/type1: track locked_vm per dma (Alex Williamson) [2188088] - vfio/type1: prevent underflow of locked_vm via exec() (Alex Williamson) [2188088] - vfio/type1: exclude mdevs from VFIO_UPDATE_VADDR (Alex Williamson) [2188088] - samples: fix the prompt about SAMPLE_VFIO_MDEV_MBOCHS (Alex Williamson) [2188088] - vfio/mdev: Use sysfs_emit() to instead of sprintf() (Alex Williamson) [2188088] - vfio-mdev: add back CONFIG_VFIO dependency (Alex Williamson) [2188088] - vfio/platform: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088] - vfio/fsl-mc: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088] - vfio: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088] - vfio-mdev: remove an non-existing driver from vfio-mediated-device (Alex Williamson) [2188088] - vfio-mdev: move the mtty usage documentation (Alex Williamson) [2188088] - vfio-mdev: turn VFIO_MDEV into a selectable symbol (Alex Williamson) [2188088] - s390/Kconfig: fix indentation (Alex Williamson) [2188088] - vfio-mdev: allow building the samples into the kernel (Alex Williamson) [2188088] - vfio: platform: No need to check res again (Alex Williamson) [2188088] - MAINTAINERS: step down as vfio reviewer (Alex Williamson) [2188088] - vfio-mdev/mdpy-fb: Do not set struct fb_info.apertures (Alex Williamson) [2188088] - Documentation: s390: correct spelling (Alex Williamson) [2188088] - s390: vfio-ap: tighten the NIB validity check (Alex Williamson) [2188088] - s390/vfio_ap: increase max wait time for reset verification (Alex Williamson) [2188088] - s390/vfio_ap: fix handling of error response codes (Alex Williamson) [2188088] - s390/vfio_ap: verify ZAPQ completion after return of response code zero (Alex Williamson) [2188088] - s390/vfio_ap: use TAPQ to verify reset in progress completes (Alex Williamson) [2188088] - s390/vfio_ap: check TAPQ response code when waiting for queue reset (Alex Williamson) [2188088] - s390/vfio-ap: verify reset complete in separate function (Alex Williamson) [2188088] - s390/vfio-ap: fix an error handling path in vfio_ap_mdev_probe_queue() (Alex Williamson) [2188088] - vfio/ccw: remove old IDA format restrictions (Alex Williamson) [2187660 2188088] - vfio/ccw: don't group contiguous pages on 2K IDAWs (Alex Williamson) [2187660 2188088] - vfio/ccw: handle a guest Format-1 IDAL (Alex Williamson) [2187660 2188088] - vfio/ccw: allocate/populate the guest idal (Alex Williamson) [2187660 2188088] - vfio/ccw: calculate number of IDAWs regardless of format (Alex Williamson) [2187660 2188088] - vfio/ccw: read only one Format-1 IDAW (Alex Williamson) [2187660 2188088] - vfio/ccw: refactor the idaw counter (Alex Williamson) [2187660 2188088] - vfio/ccw: populate page_array struct inline (Alex Williamson) [2187660 2188088] - vfio/ccw: pass page count to page_array struct (Alex Williamson) [2187660 2188088] - vfio/ccw: remove unnecessary malloc alignment (Alex Williamson) [2187660 2188088] - vfio/ccw: simplify CCW chain fetch routines (Alex Williamson) [2187660 2188088] - vfio/ccw: replace copy_from_iova with vfio_dma_rw (Alex Williamson) [2187660 2188088] - vfio/ccw: move where IDA flag is set in ORB (Alex Williamson) [2187660 2188088] - vfio/ccw: allow non-zero storage keys (Alex Williamson) [2187660 2188088] - vfio/ccw: simplify the cp_get_orb interface (Alex Williamson) [2187660 2188088] - vfio/ccw: cleanup some of the mdev commentary (Alex Williamson) [2187660 2188088] - bpf: Fix struct_meta lookup for bpf_obj_free_fields kfunc call (Jerome Marchand) [2177177] - selftests: add prepare_system.sh (Jiri Benc) [2177177] - xfrm: lwtunnel: squelch kernel warning in case XFRM encap type is not available (Jiri Benc) [2177177] - selftests/bpf: Cover listener cloning with progs attached to sockmap (Jiri Benc) [2177177] - selftests/bpf: Pass BPF skeleton to sockmap_listen ops tests (Jiri Benc) [2177177] - bpf, sockmap: Check for any of tcp_bpf_prots when cloning a listener (Jiri Benc) [2177177] - bpf, sockmap: Don't let sock_map_{close,destroy,unhash} call itself (Jiri Benc) [2177177] - net: skb: remove old comments about frag_size for build_skb() (Jiri Benc) [2177177] - selftests/bpf: Test bpf_skb_adjust_room on CHECKSUM_PARTIAL (Jiri Benc) [2177177] - skbuff: Introduce slab_build_skb() (Jiri Benc) [2177177] - net: xsk: Don't include <linux/rculist.h> (Jiri Benc) [2177177] - selftests/bpf: Allow building bpf tests with CONFIG_XFRM_INTERFACE=[m|n] (Jiri Benc) [2177177] - selftests/bpf: add xfrm_info tests (Jiri Benc) [2177177] - tools: add IFLA_XFRM_COLLECT_METADATA to uapi/linux/if_link.h (Jiri Benc) [2177177] - xfrm: interface: Add unstable helpers for setting/getting XFRM metadata from TC-BPF (Jiri Benc) [2177177] - xfrm: interface: rename xfrm_interface.c to xfrm_interface_core.c (Jiri Benc) [2177177] - xfrm: lwtunnel: add lwtunnel support for xfrm interfaces in collect_md mode (Jiri Benc) [2177177] - xfrm: interface: support collect metadata mode (Jiri Benc) [2177177] - net: allow storing xfrm interface metadata in metadata_dst (Jiri Benc) [2177177] - Revert "veth: Avoid drop packets when xdp_redirect performs" (Jiri Benc) [2177177] - Revert "bpf: veth driver panics when xdp prog attached before veth_open" (Jiri Benc) [2177177] - bpf: veth driver panics when xdp prog attached before veth_open (Jiri Benc) [2177177] - veth: Avoid drop packets when xdp_redirect performs (Jiri Benc) [2177177] - Delete duplicate words from kernel docs (Jerome Marchand) [2177177] - Remove duplicate words inside documentation (Jerome Marchand) [2177177] - bpf: fix precision propagation verbose logging (Jerome Marchand) [2177177] - selftests/bpf: Fix out-of-srctree build (Jerome Marchand) [2177177] - bpf: Fix the kernel crash caused by bpf_setsockopt(). (Jerome Marchand) [2177177] - selftests/bpf: Use __failure macro in task kfunc testsuite (Jerome Marchand) [2177177] - bpf: Add missing btf_put to register_btf_id_dtor_kfuncs (Jerome Marchand) [2177177] - selftests/bpf: Verify copy_register_state() preserves parent/live fields (Jerome Marchand) [2177177] - bpf: Fix to preserve reg parent/live fields when copying range info (Jerome Marchand) [2177177] - bpf: Fix a possible task gone issue with bpf_send_signal[_thread]() helpers (Jerome Marchand) [2177177] - bpf: Fix pointer-leak due to insufficient speculative store bypass mitigation (Jerome Marchand) [2177177] - bpf: hash map, avoid deadlock with suitable hash mask (Jerome Marchand) [2177177] - bpf_doc: Fix build error with older python versions (Jerome Marchand) [2177177] - libbpf: Fix map creation flags sanitization (Jerome Marchand) [2177177] - bpf: remove the do_idr_lock parameter from bpf_prog_free_id() (Jerome Marchand) [2177177] - bpf: restore the ebpf program ID for BPF_AUDIT_UNLOAD and PERF_BPF_EVENT_PROG_UNLOAD (Jerome Marchand) [2177177] - bpf: Skip task with pid=1 in send_signal_common() (Jerome Marchand) [2177177] - bpf: Skip invalid kfunc call in backtrack_insn (Jerome Marchand) [2177177] - bpf: Always use maximal size for copy_array() (Jerome Marchand) [2177177] - selftests/bpf: add a test for iter/task_vma for short-lived processes (Jerome Marchand) [2177177] - bpf: keep a reference to the mm, in case the task is dead. (Jerome Marchand) [2177177] - selftests/bpf: Add host-tools to gitignore (Jerome Marchand) [2177177] - selftests/bpf: check null propagation only neither reg is PTR_TO_BTF_ID (Jerome Marchand) [2177177] - bpf: fix nullness propagation for reg to reg comparisons (Jerome Marchand) [2177177] - bpftool: Fix linkage with statically built libllvm (Jerome Marchand) [2177177] - bpf: Define sock security related BTF IDs under CONFIG_SECURITY_NETWORK (Jerome Marchand) [2177177] - selftests/bpf: Add a test for using a cpumap from an freplace-to-XDP program (Jerome Marchand) [2177177] - bpf: Resolve fext program type when checking map compatibility (Jerome Marchand) [2177177] - bpf: Synchronize dispatcher update with bpf_dispatcher_xdp_func (Jerome Marchand) [2177177] - libbpf: Fix single-line struct definition output in btf_dump (Jerome Marchand) [2177177] - selftests/bpf: Select CONFIG_FUNCTION_ERROR_INJECTION (Jerome Marchand) [2177177] - selftests/bpf: Fix a selftest compilation error with CONFIG_SMP=n (Jerome Marchand) [2177177] - docs/bpf: Reword docs for BPF_MAP_TYPE_SK_STORAGE (Jerome Marchand) [2177177] - selftests/bpf: test case for relaxed prunning of active_lock.id (Jerome Marchand) [2177177] - selftests/bpf: Add pruning test case for bpf_spin_lock (Jerome Marchand) [2177177] - bpf: use check_ids() for active_lock comparison (Jerome Marchand) [2177177] - selftests/bpf: verify states_equal() maintains idmap across all frames (Jerome Marchand) [2177177] - bpf: states_equal() must build idmap for all function frames (Jerome Marchand) [2177177] - selftests/bpf: test cases for regsafe() bug skipping check_id() (Jerome Marchand) [2177177] - bpf: regsafe() must not skip check_ids() (Jerome Marchand) [2177177] - docs/bpf: Add documentation for BPF_MAP_TYPE_SK_STORAGE (Jerome Marchand) [2177177] - selftests/bpf: Add test for dynptr reinit in user_ringbuf callback (Jerome Marchand) [2177177] - bpf: Use memmove for bpf_dynptr_{read,write} (Jerome Marchand) [2177177] - bpf: Move PTR_TO_STACK alignment check to process_dynptr_func (Jerome Marchand) [2177177] - bpf: Rework check_func_arg_reg_off (Jerome Marchand) [2177177] - bpf: Rework process_dynptr_func (Jerome Marchand) [2177177] - bpf: Propagate errors from process_* checks in check_func_arg (Jerome Marchand) [2177177] - bpf: Refactor ARG_PTR_TO_DYNPTR checks into process_dynptr_func (Jerome Marchand) [2177177] - bpf: Skip rcu_barrier() if rcu_trace_implies_rcu_gp() is true (Jerome Marchand) [2177177] - bpf: Reuse freed element in free_by_rcu during allocation (Jerome Marchand) [2177177] - selftests/bpf: Bring test_offload.py back to life (Jerome Marchand) [2177177] - bpf: Fix comment error in fixup_kfunc_call function (Jerome Marchand) [2177177] - bpf: Do not zero-extend kfunc return values (Jerome Marchand) [2177177] - bpf/docs: Document struct cgroup * kfuncs (Jerome Marchand) [2177177] - bpf/docs: Document struct task_struct * kfuncs (Jerome Marchand) [2177177] - selftests/bpf: convert dynptr_fail and map_kptr_fail subtests to generic tester (Jerome Marchand) [2177177] - selftests/bpf: add generic BPF program tester-loader (Jerome Marchand) [2177177] - bpf: Remove unused insn_cnt argument from visit_[func_call_]insn() (Jerome Marchand) [2177177] - bpf: do not rely on ALLOW_ERROR_INJECTION for fmod_ret (Jerome Marchand) [2177177] - bpf: remove unnecessary prune and jump points (Jerome Marchand) [2177177] - bpf: mostly decouple jump history management from is_state_visited() (Jerome Marchand) [2177177] - bpf: decouple prune and jump points (Jerome Marchand) [2177177] - bpf: Loosen alloc obj test in verifier's reg_btf_record (Jerome Marchand) [2177177] - bpf: Don't use rcu_users to refcount in task kfuncs (Jerome Marchand) [2177177] - selftests/bpf: Use CONFIG_TEST_BPF=m instead of CONFIG_TEST_BPF=y (Jerome Marchand) [2177177] - selftests/bpf: Use "is not set" instead of "=n" (Jerome Marchand) [2177177] - selftests/bpf: Install all required files to run selftests (Jerome Marchand) [2177177] - libbpf: Parse usdt args without offset on x86 (e.g. 8@(%%rsp)) (Jerome Marchand) [2177177] - bpftool: Fix memory leak in do_build_table_cb (Jerome Marchand) [2177177] - selftests/bpf: Fix conflicts with built-in functions in bpf_iter_ksym (Jerome Marchand) [2177177] - bpf: Add sleepable prog tests for cgrp local storage (Jerome Marchand) [2177177] - bpf: Enable sleeptable support for cgrp local storage (Jerome Marchand) [2177177] - bpf, docs: BPF Iterator Document (Jerome Marchand) [2177177] - bpf: Do not mark certain LSM hook arguments as trusted (Jerome Marchand) [2177177] - docs/bpf: Add KF_RCU documentation (Jerome Marchand) [2177177] - selftests/bpf: Fix rcu_read_lock test with new MEM_RCU semantics (Jerome Marchand) [2177177] - bpf: Handle MEM_RCU type properly (Jerome Marchand) [2177177] - libbpf: Improve usability of libbpf Makefile (Jerome Marchand) [2177177] - selftests/bpf: Add GCC compatible builtins to bpf_legacy.h (Jerome Marchand) [2177177] - bpf, docs: Correct the example of BPF_XOR (Jerome Marchand) [2177177] - selftests/bpf: Validate multiple ref release_on_unlock logic (Jerome Marchand) [2177177] - bpf: Fix release_on_unlock release logic for multiple refs (Jerome Marchand) [2177177] - bpf: Fix a compilation failure with clang lto build (Jerome Marchand) [2177177] - bpf: Tighten ptr_to_btf_id checks. (Jerome Marchand) [2177177] - selftests/bpf: Add ingress tests for txmsg with apply_bytes (Jerome Marchand) [2177177] - bpf, sockmap: Fix data loss caused by using apply_bytes on ingress redirect (Jerome Marchand) [2177177] - bpf, sockmap: Fix missing BPF_F_INGRESS flag when using apply_bytes (Jerome Marchand) [2177177] - bpf, sockmap: Fix repeated calls to sock_put() when msg has more_data (Jerome Marchand) [2177177] - selftests/bpf: Add bench test to arm64 and s390x denylist (Jerome Marchand) [2177177] - selftests/bpf: Make sure enum-less bpf_enable_stats() API works in C++ mode (Jerome Marchand) [2177177] - libbpf: Avoid enum forward-declarations in public API in C++ mode (Jerome Marchand) [2177177] - selftests/bpf: Avoid pinning prog when attaching to tc ingress in btf_skc_cls_ingress (Jerome Marchand) [2177177] - selftests/bpf: Remove serial from tests using {open,close}_netns (Jerome Marchand) [2177177] - selftests/bpf: Remove the "/sys" mount and umount dance in {open,close}_netns (Jerome Marchand) [2177177] - selftests/bpf: Avoid pinning bpf prog in the netns_load_bpf() callers (Jerome Marchand) [2177177] - selftests/bpf: Avoid pinning bpf prog in the tc_redirect_peer_l3 test (Jerome Marchand) [2177177] - selftests/bpf: Avoid pinning bpf prog in the tc_redirect_dtime test (Jerome Marchand) [2177177] - selftests/bpf: Use if_nametoindex instead of reading the /sys/net/class/*/ifindex (Jerome Marchand) [2177177] - selftests/bpf: Add tests for bpf_rcu_read_lock() (Jerome Marchand) [2177177] - bpf: Add kfunc bpf_rcu_read_lock/unlock() (Jerome Marchand) [2177177] - bpf: Introduce might_sleep field in bpf_func_proto (Jerome Marchand) [2177177] - compiler_types: Define __rcu as __attribute__((btf_type_tag("rcu"))) (Jerome Marchand) [2177177] - docs/bpf: Add BPF_MAP_TYPE_XSKMAP documentation (Jerome Marchand) [2177177] - samples/bpf: Fix wrong allocation size in xdp_router_ipv4_user (Jerome Marchand) [2177177] - docs/bpf: Update btf selftests program and add link (Jerome Marchand) [2177177] - bpf: Don't mark arguments to fentry/fexit programs as trusted. (Jerome Marchand) [2177177] - selftests/bpf: Add selftests for bpf_task_from_pid() (Jerome Marchand) [2177177] - bpf: Add bpf_task_from_pid() kfunc (Jerome Marchand) [2177177] - bpf: Update bpf_{g,s}etsockopt() documentation (Jerome Marchand) [2177177] - docs/bpf: Add table of BPF program types to libbpf docs (Jerome Marchand) [2177177] - bpf: Fix a BTF_ID_LIST bug with CONFIG_DEBUG_INFO_BTF not set (Jerome Marchand) [2177177] - bpf: Don't use idx variable when registering kfunc dtors (Jerome Marchand) [2177177] - bpf: Unify and simplify btf_func_proto_check error handling (Jerome Marchand) [2177177] - docs/bpf: Fix sphinx warnings in BPF map docs (Jerome Marchand) [2177177] - selftests/bpf: Add reproducer for decl_tag in func_proto argument (Jerome Marchand) [2177177] - docs/bpf: Document BPF_MAP_TYPE_BLOOM_FILTER (Jerome Marchand) [2177177] - docs/bpf: Fix sphinx warnings for devmap (Jerome Marchand) [2177177] - docs/bpf: Fix sphinx warnings for cpumap (Jerome Marchand) [2177177] - selftests/bpf: Add selftests for bpf_cgroup_ancestor() kfunc (Jerome Marchand) [2177177] - bpf: Add bpf_cgroup_ancestor() kfunc (Jerome Marchand) [2177177] - selftests/bpf: Add cgroup kfunc / kptr selftests (Jerome Marchand) [2177177] - bpf: Enable cgroups to be used as kptrs (Jerome Marchand) [2177177] - selftests/bpf: Workaround for llvm nop-4 bug (Jerome Marchand) [2177177] - bpf/verifier: Use kmalloc_size_roundup() to match ksize() usage (Jerome Marchand) [2177177] - bpftool: remove function free_btf_vmlinux() (Jerome Marchand) [2177177] - bpftool: clean-up usage of libbpf_get_error() (Jerome Marchand) [2177177] - bpftool: fix error message when function can't register struct_ops (Jerome Marchand) [2177177] - bpftool: replace return value PTR_ERR(NULL) with 0 (Jerome Marchand) [2177177] - bpftool: remove support of --legacy option for bpftool (Jerome Marchand) [2177177] - bpf: Add type cast unit tests (Jerome Marchand) [2177177] - bpf: Add a kfunc for generic type cast (Jerome Marchand) [2177177] - bpf: Add a kfunc to type cast from bpf uapi ctx to kernel ctx (Jerome Marchand) [2177177] - bpf: Add support for kfunc set with common btf_ids (Jerome Marchand) [2177177] - bpf: Disallow bpf_obj_new_impl call when bpf_mem_alloc_init fails (Jerome Marchand) [2177177] - bpf/selftests: Add selftests for new task kfuncs (Jerome Marchand) [2177177] - bpf: Add kfuncs for storing struct task_struct * as a kptr (Jerome Marchand) [2177177] - bpf: Allow trusted pointers to be passed to KF_TRUSTED_ARGS kfuncs (Jerome Marchand) [2177177] - bpf: Allow multiple modifiers in reg_type_str() prefix (Jerome Marchand) [2177177] - tools lib bpf: Avoid install_headers make warning (Jerome Marchand) [2177177] - selftests/bpf: Skip spin lock failure test on s390x (Jerome Marchand) [2177177] - bpf, samples: Use "grep -E" instead of "egrep" (Jerome Marchand) [2177177] - bpf, docs: DEVMAPs and XDP_REDIRECT (Jerome Marchand) [2177177] - libbpf: Ignore hashmap__find() result explicitly in btf_dump (Jerome Marchand) [2177177] - selftests/bpf: Add BTF sanity tests (Jerome Marchand) [2177177] - selftests/bpf: Add BPF linked list API tests (Jerome Marchand) [2177177] - selftests/bpf: Add failure test cases for spin lock pairing (Jerome Marchand) [2177177] - selftests/bpf: Update spinlock selftest (Jerome Marchand) [2177177] - selftests/bpf: Add __contains macro to bpf_experimental.h (Jerome Marchand) [2177177] - bpf: Add comments for map BTF matching requirement for bpf_list_head (Jerome Marchand) [2177177] - bpf: Add 'release on unlock' logic for bpf_list_push_{front,back} (Jerome Marchand) [2177177] - bpf: Introduce single ownership BPF linked list API (Jerome Marchand) [2177177] - bpf: Permit NULL checking pointer with non-zero fixed offset (Jerome Marchand) [2177177] - bpf: Introduce bpf_obj_drop (Jerome Marchand) [2177177] - bpf: Introduce bpf_obj_new (Jerome Marchand) [2177177] - bpf: Support constant scalar arguments for kfuncs (Jerome Marchand) [2177177] - bpf: Rewrite kfunc argument handling (Jerome Marchand) [2177177] - bpf: Allow locking bpf_spin_lock in inner map values (Jerome Marchand) [2177177] - bpf: Allow locking bpf_spin_lock global variables (Jerome Marchand) [2177177] - bpf: Allow locking bpf_spin_lock in allocated objects (Jerome Marchand) [2177177] - bpf: Verify ownership relationships for user BTF types (Jerome Marchand) [2177177] - bpf: Recognize lock and list fields in allocated objects (Jerome Marchand) [2177177] - bpf: Introduce allocated objects support (Jerome Marchand) [2177177] - bpf: Populate field_offs for inner_map_meta (Jerome Marchand) [2177177] - bpf: Free inner_map_meta when btf_record_dup fails (Jerome Marchand) [2177177] - bpf: Do btf_record_free outside map_free callback (Jerome Marchand) [2177177] - bpf: Fix early return in map_check_btf (Jerome Marchand) [2177177] - selftests/bpf: Pass target triple to get_sys_includes macro (Jerome Marchand) [2177177] - selftests, bpf: Fix broken riscv build (Jerome Marchand) [2177177] - selftests/bpf: Explicitly pass RESOLVE_BTFIDS to sub-make (Jerome Marchand) [2177177] - bpf: Pass map file to .map_update_batch directly (Jerome Marchand) [2177177] - bpf/docs: Include blank lines between bullet points in bpf_devel_QA.rst (Jerome Marchand) [2177177] - selftests/bpf: allow unpriv bpf for selftests by default (Jerome Marchand) [2177177] - bpftool: Check argc first before "file" in do_batch() (Jerome Marchand) [2177177] - docs/bpf: Fix sample code in MAP_TYPE_ARRAY docs (Jerome Marchand) [2177177] - selftests/bpf: check nullness propagation for reg to reg comparisons (Jerome Marchand) [2177177] - bpf: propagate nullness information for reg to reg comparisons (Jerome Marchand) [2177177] - bpf: Expand map key argument of bpf_redirect_map to u64 (Jerome Marchand) [2177177] - dev: Move received_rps counter next to RPS members in softnet data (Jiri Benc) [2177177] - bpf: Refactor btf_struct_access (Jerome Marchand) [2177177] - bpf: Rename MEM_ALLOC to MEM_RINGBUF (Jerome Marchand) [2177177] - bpf: Rename RET_PTR_TO_ALLOC_MEM (Jerome Marchand) [2177177] - bpf: Support bpf_list_head in map values (Jerome Marchand) [2177177] - bpf: Fix copy_map_value, zero_map_value (Jerome Marchand) [2177177] - bpf: Remove BPF_MAP_OFF_ARR_MAX (Jerome Marchand) [2177177] - bpf: Remove local kptr references in documentation (Jerome Marchand) [2177177] - bpf/docs: Document how to run CI without patch submission (Jerome Marchand) [2177177] - libbpf: checkpatch: Fixed code alignments in ringbuf.c (Jerome Marchand) [2177177] - libbpf: Fixed various checkpatch issues in libbpf.c (Jerome Marchand) [2177177] - libbpf: checkpatch: Fixed code alignments in btf.c (Jerome Marchand) [2177177] - bpf, docs: Fixup cpumap sphinx >= 3.1 warning (Jerome Marchand) [2177177] - libbpf: Fix uninitialized warning in btf_dump_dump_type_data (Jerome Marchand) [2177177] - selftests/bpf: fix veristat's singular file-or-prog filter (Jerome Marchand) [2177177] - bpf, docs: Document BPF_MAP_TYPE_ARRAY (Jerome Marchand) [2177177] - docs/bpf: Document BPF map types QUEUE and STACK (Jerome Marchand) [2177177] - docs/bpf: Document BPF ARRAY_OF_MAPS and HASH_OF_MAPS (Jerome Marchand) [2177177] - docs/bpf: Document BPF_MAP_TYPE_CPUMAP map (Jerome Marchand) [2177177] - docs/bpf: Document BPF_MAP_TYPE_LPM_TRIE map (Jerome Marchand) [2177177] - libbpf: Hashmap.h update to fix build issues using LLVM14 (Jerome Marchand) [2177177] - selftests: Fix test group SKIPPED result (Jerome Marchand) [2177177] - selftests/bpf: Tests for btf_dedup_resolve_fwds (Jerome Marchand) [2177177] - libbpf: Resolve unambigous forward declarations (Jerome Marchand) [2177177] - libbpf: Hashmap interface update to allow both long and void* keys/values (Jerome Marchand) [2177177] - selftests/bpf: Fix u32 variable compared with less than zero (Jerome Marchand) [2177177] - Documentation: bpf: Escape underscore in BPF type name prefix (Jerome Marchand) [2177177] - selftests/bpf: cgroup_helpers.c: Fix strncpy() fortify warning (Jerome Marchand) [2177177] - samples/bpf: Fix tracex2 error: No such file or directory (Jerome Marchand) [2177177] - selftests/bpf: Tests for enum fwd resolved as full enum64 (Jerome Marchand) [2177177] - libbpf: Resolve enum fwd as full enum64 and vice versa (Jerome Marchand) [2177177] - selftests/bpf: make test_align selftest more robust (Jerome Marchand) [2177177] - bpf: aggressively forget precise markings during state checkpointing (Jerome Marchand) [2177177] - bpf: stop setting precise in current state (Jerome Marchand) [2177177] - bpf: allow precision tracking for programs with subprogs (Jerome Marchand) [2177177] - bpf: propagate precision across all frames, not just the last one (Jerome Marchand) [2177177] - bpf: propagate precision in ALU/ALU64 operations (Jerome Marchand) [2177177] - bpf: Refactor map->off_arr handling (Jerome Marchand) [2177177] - bpf: Consolidate spin_lock, timer management into btf_record (Jerome Marchand) [2177177] - bpf: Refactor kptr_off_tab into btf_record (Jerome Marchand) [2177177] - selftests/bpf: support stat filtering in comparison mode in veristat (Jerome Marchand) [2177177] - selftests/bpf: support stats ordering in comparison mode in veristat (Jerome Marchand) [2177177] - selftests/bpf: handle missing records in comparison mode better in veristat (Jerome Marchand) [2177177] - selftests/bpf: make veristat emit all stats in CSV mode by default (Jerome Marchand) [2177177] - selftests/bpf: support simple filtering of stats in veristat (Jerome Marchand) [2177177] - selftests/bpf: allow to define asc/desc ordering for sort specs in veristat (Jerome Marchand) [2177177] - selftests/bpf: ensure we always have non-ambiguous sorting in veristat (Jerome Marchand) [2177177] - selftests/bpf: consolidate and improve file/prog filtering in veristat (Jerome Marchand) [2177177] - selftests/bpf: shorten "Total insns/states" column names in veristat (Jerome Marchand) [2177177] - selftests/bpf: add veristat replay mode (Jerome Marchand) [2177177] - bpf: Drop reg_type_may_be_refcounted_or_null (Jerome Marchand) [2177177] - bpf: Fix slot type check in check_stack_write_var_off (Jerome Marchand) [2177177] - bpf: Clobber stack slot when writing over spilled PTR_TO_BTF_ID (Jerome Marchand) [2177177] - bpf: Allow specifying volatile type modifier for kptrs (Jerome Marchand) [2177177] - bpf: Document UAPI details for special BPF types (Jerome Marchand) [2177177] - samples/bpf: Fix typo in README (Jerome Marchand) [2177177] - bpf: check max_entries before allocating memory (Jerome Marchand) [2177177] - bpf: Fix a typo in comment for DFS algorithm (Jerome Marchand) [2177177] - perf bpf: No need to include compiler.h when HAVE_LIBBPF_SUPPORT is true (Jerome Marchand) [2177177] - bpftool: Fix spelling mistake "disasembler" -> "disassembler" (Jerome Marchand) [2177177] - selftests/bpf: Fix bpftool synctypes checking failure (Jerome Marchand) [2177177] - selftests/bpf: Panic on hard/soft lockup (Jerome Marchand) [2177177] - docs/bpf: Add documentation for new cgroup local storage (Jerome Marchand) [2177177] - selftests/bpf: Add test cgrp_local_storage to DENYLIST.s390x (Jerome Marchand) [2177177] - selftests/bpf: Add selftests for new cgroup local storage (Jerome Marchand) [2177177] - selftests/bpf: Fix test test_libbpf_str/bpf_map_type_str (Jerome Marchand) [2177177] - bpftool: Support new cgroup local storage (Jerome Marchand) [2177177] - libbpf: Support new cgroup local storage (Jerome Marchand) [2177177] - bpf: Implement cgroup storage available to non-cgroup-attached bpf progs (Jerome Marchand) [2177177] - bpf: Refactor some inode/task/sk storage functions for reuse (Jerome Marchand) [2177177] - bpf: Make struct cgroup btf id global (Jerome Marchand) [2177177] - selftests/bpf: Tracing prog can still do lookup under busy lock (Jerome Marchand) [2177177] - selftests/bpf: Ensure no task storage failure for bpf_lsm.s prog due to deadlock detection (Jerome Marchand) [2177177] - bpf: Add new bpf_task_storage_delete proto with no deadlock detection (Jerome Marchand) [2177177] - bpf: bpf_task_storage_delete_recur does lookup first before the deadlock check (Jerome Marchand) [2177177] - bpf: Add new bpf_task_storage_get proto with no deadlock detection (Jerome Marchand) [2177177] - bpf: Avoid taking spinlock in bpf_task_storage_get if potential deadlock is detected (Jerome Marchand) [2177177] - bpf: Refactor the core bpf_task_storage_get logic into a new function (Jerome Marchand) [2177177] - bpf: Append _recur naming to the bpf_task_storage helper proto (Jerome Marchand) [2177177] - bpf: Remove prog->active check for bpf_lsm and bpf_iter (Jerome Marchand) [2177177] - libbpf: Btf dedup identical struct test needs check for nested structs/arrays (Jerome Marchand) [2177177] - selftests/bpf: Add kprobe_multi kmod attach api tests (Jerome Marchand) [2177177] - selftests/bpf: Add kprobe_multi check to module attach test (Jerome Marchand) [2177177] - selftests/bpf: Add bpf_testmod_fentry_* functions (Jerome Marchand) [2177177] - selftests/bpf: Add load_kallsyms_refresh function (Jerome Marchand) [2177177] - bpf: Take module reference on kprobe_multi link (Jerome Marchand) [2177177] - bpf: Rename __bpf_kprobe_multi_cookie_cmp to bpf_kprobe_multi_addrs_cmp (Jerome Marchand) [2177177] - ftrace: Add support to resolve module symbols in ftrace_lookup_symbols (Jerome Marchand) [2177177] - kallsyms: Make module_kallsyms_on_each_symbol generally available (Jerome Marchand) [2177177] - bpftool: Add llvm feature to "bpftool version" (Jerome Marchand) [2177177] - bpftool: Support setting alternative arch for JIT disasm with LLVM (Jerome Marchand) [2177177] - bpftool: Add LLVM as default library for disassembling JIT-ed programs (Jerome Marchand) [2177177] - bpftool: Refactor disassembler for JIT-ed programs (Jerome Marchand) [2177177] - bpftool: Group libbfd defs in Makefile, only pass them if we use libbfd (Jerome Marchand) [2177177] - bpftool: Split FEATURE_TESTS/FEATURE_DISPLAY definitions in Makefile (Jerome Marchand) [2177177] - bpftool: Remove asserts from JIT disassembler (Jerome Marchand) [2177177] - bpftool: Define _GNU_SOURCE only once (Jerome Marchand) [2177177] - selftests/bpf: Add write to hashmap to array_map iter test (Jerome Marchand) [2177177] - selftests/bpf: Add test verifying bpf_ringbuf_reserve retval use in map ops (Jerome Marchand) [2177177] - bpf: Consider all mem_types compatible for map_{key,value} args (Jerome Marchand) [2177177] - bpf: Allow ringbuf memory to be used as map key (Jerome Marchand) [2177177] - selftests/bpf: Initial DENYLIST for aarch64 (Jerome Marchand) [2177177] - selftests/bpf: Update vmtests.sh to support aarch64 (Jerome Marchand) [2177177] - selftests/bpf: Add config.aarch64 (Jerome Marchand) [2177177] - selftests/bpf: Remove entries from config.s390x already present in config (Jerome Marchand) [2177177] - bpftool: Set binary name to "bpftool" in help and version output (Jerome Marchand) [2177177] - libbpf: Avoid allocating reg_name with sscanf in parse_usdt_arg() (Jerome Marchand) [2177177] - selftests/bpf: fix task_local_storage/exit_creds rcu usage (Jerome Marchand) [2177177] - bpftool: Update the bash completion(add autoattach to prog load) (Jerome Marchand) [2177177] - bpftool: Update doc (add autoattach to prog load) (Jerome Marchand) [2177177] - bpftool: Add autoattach for bpf prog load|loadall (Jerome Marchand) [2177177] - bpftool: Add "bootstrap" feature to version output (Jerome Marchand) [2177177] - bpf, docs: Reformat BPF maps page to be more readable (Jerome Marchand) [2177177] - bpf: add selftests for lsh, rsh, arsh with reg operand (Jerome Marchand) [2177177] - bpf,x64: use shrx/sarx/shlx when available (Jerome Marchand) [2177177] - bpf,x64: avoid unnecessary instructions when shift dest is ecx (Jerome Marchand) [2177177] - libbpf: add non-mmapable data section selftest (Jerome Marchand) [2177177] - libbpf: only add BPF_F_MMAPABLE flag for data maps with global vars (Jerome Marchand) [2177177] - libbpf: clean up and refactor BTF fixup step (Jerome Marchand) [2177177] - bpf/docs: Summarize CI system and deny lists (Jerome Marchand) [2177177] - samples/bpf: Fix typos in README (Jerome Marchand) [2177177] - samples/bpf: Fix double word in comments (Jerome Marchand) [2177177] - bpf: Use rcu_trace_implies_rcu_gp() for program array freeing (Jerome Marchand) [2177177] - bpf: Use rcu_trace_implies_rcu_gp() in local storage map (Jerome Marchand) [2177177] - bpf: Use rcu_trace_implies_rcu_gp() in bpf memory allocator (Jerome Marchand) [2177177] - rcu-tasks: Provide rcu_trace_implies_rcu_gp() (Jerome Marchand) [2177177] - selftests/bpf: Use sys_pidfd_open() helper when possible (Jerome Marchand) [2177177] - libbpf: Fix null-pointer dereference in find_prog_by_sec_insn() (Jerome Marchand) [2177177] - libbpf: Deal with section with no data gracefully (Jerome Marchand) [2177177] - libbpf: Use elf_getshdrnum() instead of e_shnum (Jerome Marchand) [2177177] - selftests/bpf: Fix memory leak caused by not destroying skeleton (Jerome Marchand) [2177177] - libbpf: Fix use-after-free in btf_dump_name_dups (Jerome Marchand) [2177177] - selftests/bpf: S/iptables/iptables-legacy/ in the bpf_nf and xdp_synproxy test (Jerome Marchand) [2177177] - selftests/bpf: Alphabetize DENYLISTs (Jerome Marchand) [2177177] - selftests/bpf: Add tests for _opts variants of bpf_*_get_fd_by_id() (Jerome Marchand) [2177177] - libbpf: Introduce bpf_link_get_fd_by_id_opts() (Jerome Marchand) [2177177] - libbpf: Introduce bpf_btf_get_fd_by_id_opts() (Jerome Marchand) [2177177] - libbpf: Introduce bpf_prog_get_fd_by_id_opts() (Jerome Marchand) [2177177] - libbpf: Introduce bpf_get_fd_by_id_opts and bpf_map_get_fd_by_id_opts() (Jerome Marchand) [2177177] - libbpf: Fix LIBBPF_1.0.0 declaration in libbpf.map (Jerome Marchand) [2177177] - bpf, x64: Remove unnecessary check on existence of SSE2 (Jerome Marchand) [2177177] - selftests/bpf: Add selftest deny_namespace to s390x deny list (Jerome Marchand) [2177177] - scripts/bpf_doc.py: update logic to not assume sequential enum values (Jerome Marchand) [2177177] - bpf: explicitly define BPF_FUNC_xxx integer values (Jerome Marchand) [2177177] - selftests/bpf: add BPF object fixup step to veristat (Jerome Marchand) [2177177] - selftests/bpf: avoid reporting +100%% difference in veristat for actual 0%% (Jerome Marchand) [2177177] - selftests/bpf: allow requesting log level 2 in test_verifier (Jerome Marchand) [2177177] - selftests/bpf: Test btf dump for struct with padding only fields (Jerome Marchand) [2177177] - bpftool: Print newline before '}' for struct with padding only fields (Jerome Marchand) [2177177] - net, neigh: Do not trigger immediate probes on NUD_FAILED from neigh_managed_work (Ivan Vecera) [2193175] - net, neigh: Fix crash in v6 module initialization error path (Ivan Vecera) [2193175] - net, neigh: Reject creating NUD_PERMANENT with NTF_MANAGED entries (Ivan Vecera) [2193175] - net, neigh: Add build-time assertion to avoid neigh->flags overflow (Ivan Vecera) [2193175] - net, neigh: Add NTF_MANAGED flag for managed neighbor entries (Ivan Vecera) [2193175] - net, neigh: Extend neigh->flags to 32 bit to allow for extensions (Ivan Vecera) [2193175] - net, neigh: Enable state migration between NUD_PERMANENT and NTF_USE (Ivan Vecera) [2193175] - dm: don't lock fs when the map is NULL in process of resume (Benjamin Marzinski) [2189971] - dm flakey: add an "error_reads" option (Benjamin Marzinski) [2189971] - dm flakey: remove trailing space in the table line (Benjamin Marzinski) [2189971] - dm flakey: fix a crash with invalid table line (Benjamin Marzinski) [2189971] - dm ioctl: fix nested locking in table_clear() to remove deadlock concern (Benjamin Marzinski) [2189971] - dm: unexport dm_get_queue_limits() (Benjamin Marzinski) [2189971] - dm: allow targets to require splitting WRITE_ZEROES and SECURE_ERASE (Benjamin Marzinski) [2189971] - dm: add helper macro for simple DM target module init and exit (Benjamin Marzinski) [2189971] - dm raid: remove unused d variable (Benjamin Marzinski) [2189971] - dm: remove unnecessary (void*) conversions (Benjamin Marzinski) [2189971] - dm mirror: add DMERR message if alloc_workqueue fails (Benjamin Marzinski) [2189971] - dm: push error reporting down to dm_register_target() (Benjamin Marzinski) [2189971] - dm integrity: call kmem_cache_destroy() in dm_integrity_init() error path (Benjamin Marzinski) [2189971] - dm clone: call kmem_cache_destroy() in dm_clone_init() error path (Benjamin Marzinski) [2189971] - dm error: add discard support (Benjamin Marzinski) [2189971] - dm zero: add discard support (Benjamin Marzinski) [2189971] - dm table: allow targets without devices to set ->io_hints (Benjamin Marzinski) [2189971] - dm verity: emit audit events on verification failure and more (Benjamin Marzinski) [2189971] - dm verity: fix error handling for check_at_most_once on FEC (Benjamin Marzinski) [2189971] - dm: improve hash_locks sizing and hash function (Benjamin Marzinski) [2189971] - dm bio prison v1: intelligently size dm_bio_prison's prison_regions (Benjamin Marzinski) [2189971] - dm bio prison v1: prepare to intelligently size dm_bio_prison's prison_regions (Benjamin Marzinski) [2189971] - dm bufio: intelligently size dm_buffer_cache's buffer_trees (Benjamin Marzinski) [2189971] - dm bufio: prepare to intelligently size dm_buffer_cache's buffer_trees (Benjamin Marzinski) [2189971] - dm: add dm_num_hash_locks() (Benjamin Marzinski) [2189971] - dm bio prison v1: add dm_cell_key_has_valid_range (Benjamin Marzinski) [2189971] - dm bio prison v1: improve concurrent IO performance (Benjamin Marzinski) [2189971] - dm: split discards further if target sets max_discard_granularity (Benjamin Marzinski) [2189971] - dm thin: speed up cell_defer_no_holder() (Benjamin Marzinski) [2189971] - dm bufio: use multi-page bio vector (Benjamin Marzinski) [2189971] - dm bufio: use waitqueue_active in __free_buffer_wake (Benjamin Marzinski) [2189971] - dm bufio: move dm_bufio_client members to avoid spanning cachelines (Benjamin Marzinski) [2189971] - dm bufio: add lock_history optimization for cache iterators (Benjamin Marzinski) [2189971] - dm bufio: improve concurrent IO performance (Benjamin Marzinski) [2189971] - dm bufio: add dm_buffer_cache abstraction (Benjamin Marzinski) [2189971] - dm bufio: add LRU abstraction (Benjamin Marzinski) [2189971] - dm bufio: don't bug for clear developer oversight (Benjamin Marzinski) [2189971] - dm bufio: never crash if dm_bufio_in_request() (Benjamin Marzinski) [2189971] - dm bufio: use WARN_ON in dm_bufio_client_destroy and dm_bufio_exit (Benjamin Marzinski) [2189971] - dm bufio: remove unused dm_bufio_release_move interface (Benjamin Marzinski) [2189971] - dm stats: check for and propagate alloc_percpu failure (Benjamin Marzinski) [2189971] - dm crypt: avoid accessing uninitialized tasklet (Benjamin Marzinski) [2189971] - dm crypt: add cond_resched() to dmcrypt_write() (Benjamin Marzinski) [2189971] - dm thin: fix deadlock when swapping to thin device (Benjamin Marzinski) [2189971] - intel_idle: Add force_irq_on module param (David Arcari) [2185872] - cpuidle, intel_idle: Fix CPUIDLE_FLAG_IBRS (David Arcari) [2185872] - cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again* (David Arcari) [2185872] - intel_idle: move from strlcpy() with unused retval to strscpy() (David Arcari) [2185872] - NFSD: Fix problem of COMMIT and NFS4ERR_DELAY in infinite loop (Benjamin Coddington) [2196432] Resolves: rhbz#2092194, rhbz#2160041, rhbz#2160097, rhbz#2174972, rhbz#2177177, rhbz#2185872, rhbz#2187660, rhbz#2188088, rhbz#2189971, rhbz#2193175, rhbz#2196244, rhbz#2196432, RHEL-311 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-11 12:41:45 +00:00
# CONFIG_TRACE_MMIO_ACCESS is not set
# CONFIG_TRACEPOINT_BENCHMARK is not set
# CONFIG_TRACER_SNAPSHOT_PER_CPU_SWAP is not set
CONFIG_TRACER_SNAPSHOT=y
# CONFIG_TRANSPARENT_HUGEPAGE_ALWAYS is not set
CONFIG_TRANSPARENT_HUGEPAGE_MADVISE=y
CONFIG_TRANSPARENT_HUGEPAGE=y
CONFIG_TREE_RCU=y
CONFIG_TRUSTED_KEYS=y
# CONFIG_TSL2583 is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_TSL2591 is not set
# CONFIG_TSL2772 is not set
# CONFIG_TSL4531 is not set
# CONFIG_TSYS01 is not set
# CONFIG_TSYS02D is not set
CONFIG_TUN=m
CONFIG_TUN_VNET_CROSS_LE=y
# CONFIG_TWL4030_CORE is not set
# CONFIG_TWL6040_CORE is not set
kernel-5.14.0-189.el9 * Tue Nov 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-189.el9] - KVM: VMX: fully disable SGX if SECONDARY_EXEC_ENCLS_EXITING unavailable (Emanuele Giuseppe Esposito) [2139362] - selftests/vm: enable running select groups of tests (Nico Pache) [2089501] - mm: add merging after mremap resize (Nico Pache) [2089501] - selftest: vm: remove deleted local_config.* from .gitignore (Nico Pache) [2089501] - Kselftests: remove support of libhugetlbfs from kselftests (Nico Pache) [2089501] - selftests: vm: add hugetlb_shared userfaultfd test to run_vmtests.sh (Nico Pache) [2089501] - selftests/vm: add selftest to verify multi THP collapse (Nico Pache) [2089501] - selftests/vm: add selftest to verify recollapse of THPs (Nico Pache) [2089501] - selftests/vm: add MADV_COLLAPSE collapse context to selftests (Nico Pache) [2089501] - selftests/vm: dedup hugepage allocation logic (Nico Pache) [2089501] - selftests/vm: modularize collapse selftests (Nico Pache) [2089501] - tools/testing/selftests/vm/hugetlb-madvise.c: silence uninitialized variable warning (Nico Pache) [2089501] - selftests/vm: skip 128TBswitch on unsupported arch (Nico Pache) [2089501] - selftests/vm: fix va_128TBswitch.sh permissions (Nico Pache) [2089501] - selftests/vm: add protection_keys tests to run_vmtests (Nico Pache) [2089501] - selftests/vm: only run 128TBswitch with 5-level paging (Nico Pache) [2089501] - userfaultfd: selftests: infinite loop in faulting_process (Nico Pache) [2089501] - userfaultfd/selftests: fix typo in comment (Nico Pache) [2089501] - selftests: make use of GUP_TEST_FILE macro (Nico Pache) [2089501] - selftests: vm: Fix resource leak when return error (Nico Pache) [2089501] - selftests: vm: add the "settings" file with timeout variable (Nico Pache) [2089501] - selftests: vm: add "test_hmm.sh" to TEST_FILES (Nico Pache) [2089501] - selftests: vm: check numa_available() before operating "merge_across_nodes" in ksm_tests (Nico Pache) [2089501] - selftests: vm: add migration to the .gitignore (Nico Pache) [2089501] - selftests/vm/pkeys: fix typo in comment (Nico Pache) [2089501] - userfaultfd/selftests: use swap() instead of open coding it (Nico Pache) [2089501] - selftests/uffd: enable uffd-wp for shmem/hugetlbfs (Nico Pache) [2089501] - selftest/vm: test that mremap fails on non-existent vma (Nico Pache) [2089501] - selftests: clarify common error when running gup_test (Nico Pache) [2089501] - selftests: vm: fix shellcheck warnings in run_vmtests.sh (Nico Pache) [2089501] - selftests: vm: refactor run_vmtests.sh to reduce boilerplate (Nico Pache) [2089501] - tools/testing/selftests/vm/gup_test.c: clarify error statement (Nico Pache) [2089501] - mm: add selftests for migration entries (Nico Pache) [2089501] - selftests/x86/corrupt_xstate_header: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/x86/amx: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/vm/pkeys: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests: Provide local define of __cpuid_count() (Nico Pache) [2089501] - selftests: kselftest framework: provide "finished" helper (Nico Pache) [2089501] - userfaultfd/selftests: enable hugetlb remap and remove event testing (Nico Pache) [2089501] - selftests/vm: add hugetlb madvise MADV_DONTNEED MADV_REMOVE test (Nico Pache) [2089501] - userfaultfd/selftests: fix uninitialized_var.cocci warning (Nico Pache) [2089501] - selftests: vm: add a hugetlb test case (Nico Pache) [2089501] - selftests, x86: fix how check_cc.sh is being invoked (Nico Pache) [2089501] - selftests: vm: fix clang build error multiple output files (Nico Pache) [2089501] - kselftest/vm: fix tests build with old libc (Nico Pache) [2089501] - selftest/vm: fix map_fixed_noreplace test failure (Nico Pache) [2089501] - selftests: vm: remove dependecy from internal kernel macros (Nico Pache) [2089501] - selftests: vm: Add the uapi headers include variable (Nico Pache) [2089501] - kselftest/vm: revert "tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner" (Nico Pache) [2089501] - userfaultfd/selftests: clean up hugetlb allocation code (Nico Pache) [2089501] - selftests/uffd: allow EINTR/EAGAIN (Nico Pache) [2089501] - selftests/vm: make charge_reserved_hugetlb.sh work with existing cgroup setting (Nico Pache) [2089501] - tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner (Nico Pache) [2089501] - userfaultfd/selftests: fix hugetlb area allocations (Nico Pache) [2089501] - selftests/vm: remove ARRAY_SIZE define from individual tests (Nico Pache) [2089501] - selftests/vm: make MADV_POPULATE_(READ|WRITE) use in-tree headers (Nico Pache) [2089501] - selftests: vm: add KSM huge pages merging time test (Nico Pache) [2089501] - selftests/vm/transhuge-stress: fix ram size thinko (Nico Pache) [2089501] - remoteproc: imx_rproc: Simplify some error message (Steve Best) [2137983] - remoteproc: imx_rproc: Fix refcount leak in imx_rproc_addr_init (Steve Best) [2137983] - remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - remoteproc: imx_rproc: Ignore create mem entry for resource table (Steve Best) [2137983] - dt-bindings: remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - usb: dwc3: st: Rely on child's compatible instead of name (Torez Smith) [2113003] - usb: typec: anx7411: Use of_get_child_by_name() instead of of_find_node_by_name() (Torez Smith) [2113003] - media: airspy: fix memory leak in airspy probe (Torez Smith) [2113003] - uas: ignore UAS for Thinkplus chips (Torez Smith) [2113003] - usb-storage: Add Hiksemi USB3-FW to IGNORE_UAS (Torez Smith) [2113003] - uas: add no-uas quirk for Hiksemi usb_disk (Torez Smith) [2113003] - usb: dwc3: st: Fix node's child name (Torez Smith) [2113003] - usb: typec: ucsi: Remove incorrect warning (Torez Smith) [2113003] - usb: typec: anx7411: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2113003] - thunderbolt: Explicitly reset plug events delay back to USB4 spec value (Torez Smith) [2113003] - media: flexcop-usb: fix endpoint type check (Torez Smith) [2113003] - USB: serial: option: add Quectel RM520N (Torez Smith) [2113003] - USB: serial: option: add Quectel BG95 0x0203 composition (Torez Smith) [2113003] - thunderbolt: Add support for Intel Maple Ridge single port controller (Torez Smith) [2113003] - usb: dwc3: core: leave default DMA if the controller does not support 64-bit DMA (Torez Smith) [2113003] - USB: core: Fix RST error in hub.c (Torez Smith) [2113003] - Revert "usb: gadget: udc-xilinx: replace memcpy with memcpy_toio" (Torez Smith) [2113003] - kunit: fix Kconfig for build-in tests USB4 and Nitro Enclaves (Torez Smith) [2113003] - usb: storage: Add ASUS <0x0b05:0x1932> to IGNORE_UAS (Torez Smith) [2113003] - USB: serial: ch341: fix disabled rx timer on older devices (Torez Smith) [2113003] - USB: serial: ch341: fix lost character on LCR updates (Torez Smith) [2113003] - USB: serial: cp210x: add Decagon UCA device id (Torez Smith) [2113003] - Revert "usb: add quirks for Lenovo OneLink+ Dock" (Torez Smith) [2113003] - media: uvcvideo: Fix InterfaceProtocol for Quanta camera (Torez Smith) [2113003] - usb: cdns3: fix issue with rearming ISO OUT endpoint (Torez Smith) [2113003] - usb: cdns3: fix incorrect handling TRB_SMM flag for ISOC transfer (Torez Smith) [2113003] - usb: gadget: mass_storage: Fix cdrom data transfers on MAC-OS (Torez Smith) [2113003] - USB: core: Prevent nested device-reset calls (Torez Smith) [2113003] - USB: gadget: Fix obscure lockdep violation for udc_mutex (Torez Smith) [2113003] - usb: gadget: udc-xilinx: replace memcpy with memcpy_toio (Torez Smith) [2113003] - usb: typec: Remove retimers properly (Torez Smith) [2113003] - usb: dwc3: disable USB core PHY management (Torez Smith) [2113003] - usb: add quirks for Lenovo OneLink+ Dock (Torez Smith) [2113003] - USB: serial: option: add support for Cinterion MV32-WA/WB RmNet mode (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Omron CS1W-CIF31 device id (Torez Smith) [2113003] - USB: serial: option: add Quectel EM060K modem (Torez Smith) [2113003] - USB: serial: option: add support for OPPO R11 diag port (Torez Smith) [2113003] - Revert "xhci: turn off port power in shutdown" (Torez Smith) [2113003] - xhci: Add grace period after xHC start to prevent premature runtime suspend. (Torez Smith) [2113003] - xhci: Fix null pointer dereference in remove if xHC has only one roothub (Torez Smith) [2113003] - Revert "usb: typec: ucsi: add a common function ucsi_unregister_connectors()" (Torez Smith) [2113003] - usb: dwc3: qcom: suppress unused-variable warning (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add compatible for mt8188 (Torez Smith) [2113003] - USB: cdc-acm: Add Icom PMR F3400 support (0c26:0020) (Torez Smith) [2113003] - usb: gadget: f_uac2: fix superspeed transfer (Torez Smith) [2113003] - usb: xhci-mtk: fix bandwidth release issue (Torez Smith) [2113003] - usb: xhci-mtk: relax TT periodic bandwidth allocation (Torez Smith) [2113003] - usb: typec: altmodes/displayport: correct pin assignment for UFP receptacles (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Drop reset delay in onboard_hub_power_off() (Torez Smith) [2113003] - usb: dwc3: gadget: Avoid duplicate requests to enable Run/Stop (Torez Smith) [2113003] - usb: dwc3: pci: Add support for Intel Raptor Lake (Torez Smith) [2113003] - usb: typec: intel_pmc_mux: Add new ACPI ID for Meteor Lake IOM device (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: Add SM6375 compatible (Torez Smith) [2113003] - usb: dwc3: qcom: clean up suspend callbacks (Torez Smith) [2113003] - usb: dwc3: qcom: fix wakeup implementation (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add wakeup-source property (Torez Smith) [2113003] - usb: dwc3: qcom: fix peripheral and OTG suspend (Torez Smith) [2113003] - usb: dwc3: qcom: fix runtime PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix use-after-free on runtime-PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix gadget-only builds (Torez Smith) [2113003] - Revert "usb: dwc3: qcom: Keep power domain on to retain controller status" (Torez Smith) [2113003] - usb: dwc3: fix PHY disable sequence (Torez Smith) [2113003] - usb-storage: Add ignore-residue quirk for NXP PN7462AU (Torez Smith) [2113003] - usb: typec: tcpm: Return ENOTSUPP for power supply prop writes (Torez Smith) [2113003] - musb: fix USB_MUSB_TUSB6010 dependency (Torez Smith) [2113003] - thunderbolt: Check router generation before connecting xHCI (Torez Smith) [2113003] - thunderbolt: Use the actual buffer in tb_async_error() (Torez Smith) [2113003] - usb: cdns3: Don't use priv_dev uninitialized in cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb:udc: remove reference to AVR32 architecture in Atmel USBA Kconfig (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Remove duplicated power_on delay (Torez Smith) [2113003] - media: uvcvideo: Fix invalid pointer in uvc_ctrl_init_ctrl() (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add TI USB8041 hub support (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add reset-gpio support (Torez Smith) [2113003] - USB: usbsevseg: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - dt-bindings: usb: Add binding for TI USB8041 hub controller (Torez Smith) [2113003] - usb: misc: onboard-hub: add support for Microchip USB2514B USB 2.0 hub (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: allow usb-hcd schema properties (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add bootloader support (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: define config (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add support for stm32g0 controller (Torez Smith) [2113003] - dt-bindings: usb: typec: add bindings for stm32g0 controller (Torez Smith) [2113003] - usb: typec: ucsi: Acknowledge the GET_ERROR_STATUS command completion (Torez Smith) [2113003] - usb: cdns3: change place of 'priv_ep' assignment in cdns3_gadget_ep_dequeue(), cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb/chipidea: fix repeated words in comments (Torez Smith) [2113003] - usb: renesas-xhci: Do not print any log while fw verif success (Torez Smith) [2113003] - usb: typec: retimer: Add missing id check in match callback (Torez Smith) [2113003] - USB: xhci: Fix comment typo (Torez Smith) [2113003] - usb/typec/tcpm: fix repeated words in comments (Torez Smith) [2113003] - usb/musb: fix repeated words in comments (Torez Smith) [2113003] - usb/misc: fix repeated words in comments (Torez Smith) [2113003] - usb/image: fix repeated words in comments (Torez Smith) [2113003] - usb/host: fix repeated words in comments (Torez Smith) [2113003] - usb/core: fix repeated words in comments (Torez Smith) [2113003] - usb/atm: fix repeated words in comments (Torez Smith) [2113003] - USB: gadget: Fix use-after-free Read in usb_udc_uevent() (Torez Smith) [2113003] - USB: HCD: Fix URB giveback issue in tasklet function (Torez Smith) [2113003] - usb: xhci_plat_remove: avoid NULL dereference (Torez Smith) [2113003] - usb: typec: anx7411: fix passing zero to 'PTR_ERR' (Torez Smith) [2113003] - usb: typec: anx7411: Fix error return code in anx7411_i2c_probe() (Torez Smith) [2113003] - usb: typec: anx7411: Fix return value check in anx7411_register_i2c_dummy_clients() (Torez Smith) [2113003] - usb: typec: anx7411: Fix wrong pointer passed to PTR_ERR() (Torez Smith) [2113003] - usb: typec: anx7411: use semi-colons instead of commas (Torez Smith) [2113003] - usb: typec: anx7411: fix error checking in anx7411_get_gpio_irq() (Torez Smith) [2113003] - usb: typec: anx7411: Fix an array out of bounds (Torez Smith) [2113003] - usb: phy: remove redundant store to variable var after & operation (Torez Smith) [2113003] - USB: serial: fix tty-port initialized comments (Torez Smith) [2113003] - USB: serial: usb_wwan: replace DTR/RTS magic numbers with macros (Torez Smith) [2113003] - USB: gadget: f_acm: use CDC defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC serial-state defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC control-line defines (Torez Smith) [2113003] - USB: cdc: add serial-state defines (Torez Smith) [2113003] - USB: cdc: add control-signal defines (Torez Smith) [2113003] - net/cdc_ncm: Increase NTB max RX/TX values to 64kb (Torez Smith) [2113003] - media: uvcvideo: Remove unneeded goto (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Acer EasyCamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta cameras (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta UVC Webcam (Torez Smith) [2113003] - media: uvcvideo: Add support for per-device control mapping overrides (Torez Smith) [2113003] - media: uvcvideo: Add missing value for power_line_frequency (Torez Smith) [2113003] - media: uvcvideo: Replace broken URL (Torez Smith) [2113003] - media: uvcvideo: Fix comment blocks style (Torez Smith) [2113003] - usb: typec: Add retimer handle to port (Torez Smith) [2113003] - usb: typec: Add support for retimers (Torez Smith) [2113003] - usb: dwc3: qcom: fix missing optional irq warnings (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: refine interrupt requirements (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add SC8280XP binding (Torez Smith) [2113003] - usb: typec: anx7411: define config (Torez Smith) [2113003] - usb: typec: anx7411: Add Analogix PD ANX7411 support (Torez Smith) [2113003] - dt-bindings: usb: Add analogix anx7411 PD binding (Torez Smith) [2113003] - usb: dwc3: core: Do not perform GCTL_CORE_SOFTRESET during bootup (Torez Smith) [2113003] - usb: aspeed-vhub: Fix refcount leak bug in ast_vhub_init_desc() (Torez Smith) [2113003] - usb: ldusb: replace ternary operator with max_t() (Torez Smith) [2113003] - usb: dwc3: ep0: Properly handle setup_packet_pending scenario in data stage (Torez Smith) [2113003] - usb: misc: onboard_hub: Fix 'missing prototype' warning (Torez Smith) [2113003] - Revert "dt-bindings: usb: mtk-xhci: Make all clocks required" (Torez Smith) [2113003] - usb: gadget: f_mass_storage: forced_eject attribute (Torez Smith) [2113003] - usb: gadget: udc: amd5536 depends on HAS_DMA (Torez Smith) [2113003] - USB: serial: fix repeated word "the" in comments (Torez Smith) [2113003] - USB: serial: io_edgeport: fix spelling mistakes (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Belimo device ids (Torez Smith) [2113003] - thunderbolt: test: Use kunit_test_suite() macro (Torez Smith) [2113003] - media: pvrusb2: drop unexpected word 'a' in comments (Torez Smith) [2113003] - media: gspca: drop unexpected word 'is' in the comments (Torez Smith) [2113003] - usb: dwc3: gadget: fix high speed multiplier setting (Torez Smith) [2113003] - usb: dwc3: gadget: refactor dwc3_repare_one_trb (Torez Smith) [2113003] - drivers/usb/host/ehci-fsl: Fix interrupt setup in host mode. (Torez Smith) [2113003] - usb: gadget: uvc: fix changing interface name via configfs (Torez Smith) [2113003] - usb: typec: add missing uevent when partner support PD (Torez Smith) [2113003] - tools: usb: testusb: Add super-plus speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add super speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add wireless speed reporting (Torez Smith) [2113003] - usb: core: hub: Create platform devices for onboard hubs in hub_probe() (Torez Smith) [2113003] - usb: misc: Add config for onboard_usb_hub driver (Torez Smith) [2113003] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2113003] - dt-bindings: usb: rts5411: Rename property 'companion-hub' to 'peer-hub' (Torez Smith) [2113003] - usb: mtu3: support function remote wakeup (Torez Smith) [2113003] - usb: mtu3: check capability of usb3 dual role (Torez Smith) [2113003] - usb: typec: tcpci: move tcpci.h to include/linux/usb/ (Torez Smith) [2113003] - gadgetfs: ep_io - wait until IRQ finishes (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add compatible for mt8188 (Torez Smith) [2113003] - usb: gadget: udc: atmel: convert to platform driver (Torez Smith) [2113003] - usb: gadget: udc: atmel: check rc of devm_gpiod_get_optional() (Torez Smith) [2113003] - USB: gadget: udc: tracing: Do not open code __string() with __dynamic_array() (Torez Smith) [2113003] - ARM: sa1100/assabet: move dmabounce hack to ohci driver (Torez Smith) [2113003] - dt-bindings: usb: generic-ohci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: sunxi-musb: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: atmel: Add Microchip LAN9662 compatible string (Torez Smith) [2113003] - usb: host: xhci: use snprintf() in xhci_decode_trb() (Torez Smith) [2113003] - usb: dwc3-am62: remove unnecesary clk_put() (Torez Smith) [2113003] - usb: dwc3: gadget: Fix event pending check (Torez Smith) [2113003] - usb: gadget: f_mass_storage: Make CD-ROM emulation works with Windows OS (Torez Smith) [2113003] - USB: cdc-acm: use define for timeout (Torez Smith) [2113003] - usb: musb: mpfs: add missing clk_disable_unprepare() in mpfs_remove() (Torez Smith) [2113003] - usb: dwc3: gadget: fix a kernel-doc warning (Torez Smith) [2113003] - usb: dwc3: document async_callbacks field (Torez Smith) [2113003] - usb: typec_altmode: add a missing "@" at a kernel-doc parameter (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Make all clocks required (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Allow wakeup interrupt-names to be optional (Torez Smith) [2113003] - usb: core: sysfs: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - media: usb: gspca: aligned '*' each line (Torez Smith) [2113003] - media: hdpvr: fix error value returns in hdpvr_read (Torez Smith) [2113003] - media: em28xx: Remove useless license text when SPDX-License-Identifier is already used (Torez Smith) [2113003] - usb: gadget: u_ether: Remove duplicated include in u_ether.c (Torez Smith) [2113003] - usb: max-3421: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: gadget: remove D+ pull-up while no vbus with usb-role-switch (Torez Smith) [2113003] - usb: musb: mpfs: Fix error codes in probe() (Torez Smith) [2113003] - USB: serial: use kmemdup instead of kmalloc + memcpy (Torez Smith) [2113003] - usb: dwc2: host: add TPL support (Torez Smith) [2113003] - dt-bindings: usb: dwc2: document TPL support (Torez Smith) [2113003] - usb: host: ehci-platform: add TPL support (Torez Smith) [2113003] - usb: host: ohci-platform: add TPL support (Torez Smith) [2113003] - usb: chipidea: ci_hdrc_imx: use dev_err_probe() (Torez Smith) [2113003] - usb: chipidea: udc: implement get_frame (Torez Smith) [2113003] - usb: host: ehci-q: Fix ehci_submit_single_step_set_feature annotation typo (Torez Smith) [2113003] - USB: storage: Fix typo in comment (Torez Smith) [2113003] - USB: ohci-sm501: Fix typo in comment (Torez Smith) [2113003] - usb: musb: core: drop redundant checks (Torez Smith) [2113003] - usb: renesas: Fix refcount leak bug (Torez Smith) [2113003] - usb: host: ohci-ppc-of: Fix refcount leak bug (Torez Smith) [2113003] - usb: typec: mux: Add CONFIG guards for functions (Torez Smith) [2113003] - usb: typec: mux: Allow muxes to specify mode-switch (Torez Smith) [2113003] - usb: dwc3: qcom: Keep power domain on to retain controller status (Torez Smith) [2113003] - usb: dwc3: qcom: Configure wakeup interrupts during suspend (Torez Smith) [2113003] - usb: dwc3: qcom: Add helper functions to enable,disable wake irqs (Torez Smith) [2113003] - usb: dwc3: core: Host wake up support from system suspend (Torez Smith) [2113003] - dt-bindings: usb: dwc3: Add wakeup-source property support (Torez Smith) [2113003] - usb: dwc3: core: Deprecate GCTL.CORESOFTRESET (Torez Smith) [2113003] - usb: musb: Add support for PolarFire SoC's musb controller (Torez Smith) [2113003] - Revert "usb: dwc3: Remove the checks of -ENOSYS" (Torez Smith) [2113003] - media: pvrusb2: fix memory leak in pvr_probe (Torez Smith) [2113003] - media: airspy: respect the DMA coherency rules (Torez Smith) [2113003] - media: usbtv: Add PAL-Nc standard (Torez Smith) [2113003] - thunderbolt: Fix typo in comment (Torez Smith) [2113003] - dt-bindings: usb: add documentation for aspeed udc (Torez Smith) [2113003] - usb: gadget: config Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: gadget: add Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: dwc3: Fix typos in Kconfig (Torez Smith) [2113003] - usb: dwc3: Fix a repeated word checkpatch warning (Torez Smith) [2113003] - usb: dwc3: Fix bare use of unsigned checkpatch warning (Torez Smith) [2113003] - usb: Avoid extra usb SET_SEL requests when enabling link power management (Torez Smith) [2113003] - usb: typec: tcpm: Register USB Power Delivery Capabilities (Torez Smith) [2113003] - usb: typec: USB Power Delivery helpers for ports and partners (Torez Smith) [2113003] - usb: typec: Separate USB Power Delivery from USB Type-C (Torez Smith) [2113003] - usb: hub: port: add sysfs entry to switch port power (Torez Smith) [2113003] - ucsi_ccg: ACPI based I2c client enumeration for AMD ASICs (Torez Smith) [2113003] - ucsi_ccg: Do not hardcode interrupt polarity and type (Torez Smith) [2113003] - usb: mtu3: register mtu3_irq by threaded irq (Torez Smith) [2113003] - usb: mtu3: implement udc_async_callbacks of gadget operation (Torez Smith) [2113003] - usb: mtu3: sync interrupt before unbind the udc (Torez Smith) [2113003] - usb: musb: remove schedule work called after flush (Torez Smith) [2113003] - usb: gadget: uvc: call uvc uvcg_warn on completed status instead of uvcg_info (Torez Smith) [2113003] - usb: gadget: uvc: calculate the number of request depending on framesize (Torez Smith) [2113003] - usb: mtu3: add support controller reset (Torez Smith) [2113003] - usb: xhci-mtk: add support optional controller reset (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add support 'resets' property (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add support 'resets' property (Torez Smith) [2113003] - USB: chipidea: fix typo in comment (Torez Smith) [2113003] - usb: gadget: bdc: fix typo in comment (Torez Smith) [2113003] - usb: typec: tcpm: fix typo in comment (Torez Smith) [2113003] - usb: xhci: tegra: Fix error check (Torez Smith) [2113003] - usb: gadget: tegra-xudc: Fix error check in tegra_xudc_powerdomain_init() (Torez Smith) [2113003] - usb: common: usb-conn-gpio: Allow wakeup from system suspend (Torez Smith) [2113003] - usbip: vudc: Don't enable IRQs prematurely (Torez Smith) [2113003] - USB: usbip: clean up mixed use of _irq() and _irqsave() (Torez Smith) [2113003] - USB: Follow-up to SPDX GPL-2.0+ identifiers addition - remove now useless comments (Torez Smith) [2113003] - USB: Follow-up to SPDX identifiers addition - remove now useless comments (Torez Smith) [2113003] - usb: dwc3: Remove the checks of -ENOSYS (Torez Smith) [2113003] - usb: host: ohci-at91: add support to enter suspend using SMC (Torez Smith) [2113003] - usb: ohci-nxp: Fix refcount leak in ohci_hcd_nxp_probe (Torez Smith) [2113003] - usb: host: Fix refcount leak in ehci_hcd_ppc_of_probe (Torez Smith) [2113003] - usb: dwc3: add power down scale setting (Torez Smith) [2113003] - usb: cdns3: fix random warning message when driver load (Torez Smith) [2113003] - usb: cdns3 fix use-after-free at workaround 2 (Torez Smith) [2113003] - usb: gadget: uvc: Fix comment blocks style (Torez Smith) [2113003] - thunderbolt: Fix some kernel-doc comments (Torez Smith) [2113003] - thunderbolt: Change TMU mode to HiFi uni-directional once DisplayPort tunneled (Torez Smith) [2113003] - thunderbolt: Add CL1 support for USB4 and Titan Ridge routers (Torez Smith) [2113003] - thunderbolt: Change downstream router's TMU rate in both TMU uni/bidir mode (Torez Smith) [2113003] - thunderbolt: Fix typos in CLx enabling (Torez Smith) [2113003] - thunderbolt: CLx disable before system suspend only if previously enabled (Torez Smith) [2113003] - thunderbolt: Silently ignore CLx enabling in case CLx is not supported (Torez Smith) [2113003] - audit: unify audit_filter_{uring(), inode_name(), syscall()} (Richard Guy Briggs) [2123857] - audit: cache ctx->major in audit_filter_syscall() (Richard Guy Briggs) [2123857] - audit_init_parent(): constify path (Richard Guy Briggs) [2123857] - audit: remove selinux_audit_rule_update() declaration (Richard Guy Briggs) [2123857] - audit: use time_after to compare time (Richard Guy Briggs) [2123857] - audit: free audit_proctitle only on task exit (Richard Guy Briggs) [2123857] - audit: explicitly check audit_context->context enum value (Richard Guy Briggs) [2123857] - audit: audit_context pid unused, context enum comment fix (Richard Guy Briggs) [2123857] - audit: fix repeated words in comments (Richard Guy Briggs) [2123857] - lsm: clean up redundant NULL pointer check (Richard Guy Briggs) [2123857] - audit: move audit_return_fixup before the filters (Richard Guy Briggs) [2123857] - audit: fix potential double free on error path from fsnotify_add_inode_mark (Richard Guy Briggs) [2123857] - audit: make is_audit_feature_set() static (Richard Guy Briggs) [2123857] - audit: remove redundant data_len check (Richard Guy Briggs) [2123857] - redhat: fix the branch we pull from the documentation tree (Herton R. Krzesinski) - x86/fpu: Do not leak fpstate pointer on fork (Rafael Aquini) [2120448] - tools headers kvm s390: Sync headers with the kernel sources (Thomas Huth) [2111086] - KVM: s390: resetting the Topology-Change-Report (Thomas Huth) [2111086] - KVM: s390: guest support for topology function (Thomas Huth) [2111086] - KVM: s390: Cleanup ipte lock access and SIIF facility checks (Thomas Huth) [2111086] - KVM: s390: Pass initialized arg even if unused (Thomas Huth) [2130487] - KVM: s390: pv: destroy the configuration before its memory (Thomas Huth) [2130487] - KVM: s390: pv: refactoring of kvm_s390_pv_deinit_vm (Thomas Huth) [2130487] - KVM: s390: pv: add mmu_notifier (Thomas Huth) [2130487] - KVM: s390: pv: Add kvm_s390_cpus_from_pv to kvm-s390.h and add documentation (Thomas Huth) [2130487] - KVM: s390: pv: clear the state without memset (Thomas Huth) [2130487] - KVM: s390: pv: add export before import (Thomas Huth) [2130487] - KVM: s390: pv: usage counter instead of flag (Thomas Huth) [2130487] - KVM: s390: pv: refactor s390_reset_acc (Thomas Huth) [2130487] - KVM: s390: pv: handle secure storage violations for protected guests (Thomas Huth) [2130487] - KVM: s390: pv: leak the topmost page table when destroy fails (Thomas Huth) [2130487] - s390: Add attestation query information (Thomas Huth) [2130487] - KVM: s390: drop unexpected word 'and' in the comments (Thomas Huth) [2130487] - redhat: Enable CONFIG_VFIO_PCI_ZDEV_KVM for downstream builds (Thomas Huth) [1871143] - KVM: s390: pci: fix GAIT physical vs virtual pointers usage (Thomas Huth) [1871143] - KVM: s390: pci: fix plain integer as NULL pointer warnings (Thomas Huth) [1871143] - KVM: s390/pci: fix include duplicates (Thomas Huth) [1871143] - KVM: s390: pci: register pci hooks without interpretation (Thomas Huth) [1871143] - KVM: s390: pci: Hook to access KVM lowlevel from VFIO (Thomas Huth) [1871143] - Documentation: kvm: extend KVM_S390_ZPCI_OP subheading underline (Thomas Huth) [1871143] - MAINTAINERS: additional files related kvm s390 pci passthrough (Thomas Huth) [1871143] - KVM: s390: add KVM_S390_ZPCI_OP to manage guest zPCI devices (Thomas Huth) [1871143] - vfio-pci/zdev: different maxstbl for interpreted devices (Thomas Huth) [1871143] - vfio-pci/zdev: add function handle to clp base capability (Thomas Huth) [1871143] - vfio-pci/zdev: add open/close device hooks (Thomas Huth) [1871143] - KVM: s390: pci: add routines to start/stop interpretive execution (Thomas Huth) [1871143] - KVM: s390: pci: provide routines for enabling/disabling interrupt forwarding (Thomas Huth) [1871143] - KVM: s390: mechanism to enable guest zPCI Interpretation (Thomas Huth) [1871143] - KVM: s390: pci: enable host forwarding of Adapter Event Notifications (Thomas Huth) [1871143] - KVM: s390: pci: do initial setup for AEN interpretation (Thomas Huth) [1871143] - KVM: s390: pci: add basic kvm_zdev structure (Thomas Huth) [1871143] - vfio/pci: introduce CONFIG_VFIO_PCI_ZDEV_KVM (Thomas Huth) [1871143] - s390/pci: stash dtsm and maxstbl (Thomas Huth) [1871143] - s390/pci: stash associated GISA designation (Thomas Huth) [1871143] - s390/pci: externalize the SIC operation controls and routine (Thomas Huth) [1871143] - s390/airq: allow for airq structure that uses an input vector (Thomas Huth) [1871143] - s390/airq: pass more TPI info to airq handlers (Thomas Huth) [1871143] - s390/ap: fix kernel doc comments (Thomas Huth) [1871143] - s390/sclp: detect the AISI facility (Thomas Huth) [1871143] - s390/sclp: detect the AENI facility (Thomas Huth) [1871143] - s390/sclp: detect the AISII facility (Thomas Huth) [1871143] - s390/sclp: detect the zPCI load/store interpretation facility (Thomas Huth) [1871143] - s390/sclp: add detection of IPL-complete-control facility (Thomas Huth) [1871143] - s390/pci: add error record for CC 2 retries (Thomas Huth) [1871143] - s390/pci: add PCI access type and length to error records (Thomas Huth) [1871143] - s390/pci: don't log availability events as errors (Thomas Huth) [1871143] - s390/pci: make better use of zpci_dbg() levels (Thomas Huth) [1871143] - s390/pci: rename get_zdev_by_bus() to zdev_from_bus() (Thomas Huth) [1871143] - s390/pci: improve zpci_dev reference counting (Thomas Huth) [1871143] - s390/pci: make zpci_set_irq()/zpci_clear_irq() static (Thomas Huth) [1871143] - s390/pci: simplify __pciwb_mio() inline asm (Thomas Huth) [1871143] - s390/pci: use physical addresses in DMA tables (Thomas Huth) [1871143] - s390/pci: use phys_to_virt() for AIBVs/DIBVs (Thomas Huth) [1871143] - s390/pci: implement minimal PCI error recovery (Thomas Huth) [1871143] - s390/pci: implement reset_slot for hotplug slot (Thomas Huth) [1871143] - s390/pci: refresh function handle in iomap (Thomas Huth) [1871143] - s390/pci: add simpler s390dbf traces for events (Thomas Huth) [1871143] - s390/pci: tolerate inconsistent handle in recover (Thomas Huth) [1871143] - s390/pci_mmio: fully validate the VMA before calling follow_pte() (Thomas Huth) [1871143] - s390/pci: read clp_list_pci_req only once (Thomas Huth) [1871143] - s390/pci: fix clp_get_state() handling of -ENODEV (Thomas Huth) [1871143] - s390/pci: improve DMA translation init and exit (Thomas Huth) [1871143] - s390/pci: simplify CLP List PCI handling (Thomas Huth) [1871143] - s390/pci: handle FH state mismatch only on disable (Thomas Huth) [1871143] - s390/pci: fix misleading rc in clp_set_pci_fn() (Thomas Huth) [1871143] - Documentation: KVM: update s390-diag.rst reference (Thomas Huth) [2130487] - Documentation: KVM: update s390-pv.rst reference (Thomas Huth) [2130487] - Documentation/virt/kvm/api.rst: Explain rc/rrc delivery (Thomas Huth) [2044301] - Documentation/virt/kvm/api.rst: Add protvirt dump/info api descriptions (Thomas Huth) [2044301] - Documentation: virt: Protected virtual machine dumps (Thomas Huth) [2044301] - KVM: s390: Add KVM_CAP_S390_PROTECTED_DUMP (Thomas Huth) [2044301] - KVM: s390: Add CPU dump functionality (Thomas Huth) [2044301] - KVM: s390: Add configuration dump functionality (Thomas Huth) [2044301] - KVM: s390: pv: Add query dump information (Thomas Huth) [2044301] - KVM: s390: pv: Add dump support definitions (Thomas Huth) [2044301] - KVM: s390: pv: Add query interface (Thomas Huth) [2044301] - s390/uv: Add dump fields to query (Thomas Huth) [2044301] - s390/uv: Add SE hdr query information (Thomas Huth) [2044301] - s390: uv: Add offset comments to UV query struct and fix naming (Thomas Huth) [2130487] - s390/uv: fix memblock virtual vs physical address confusion (Thomas Huth) [2130487] - KVM: s390: Use Makefile.kvm for common files (Thomas Huth) [2130487] - KVM: s390: Skip gfn/size sanity checks on memslot DELETE or FLAGS_ONLY (Thomas Huth) [2130487] - netfilter: nft_fib: Fix for rpath check with VRF devices (Guillaume Nault) [2134815] - ipv6: ping: fix wrong checksum for large frames (Guillaume Nault) [2134815] - ipv4: Handle attempt to delete multipath route when fib_info contains an nh reference (Guillaume Nault) [2134815] - once: rename _SLOW to _SLEEPABLE (Guillaume Nault) [2134815] - once: add DO_ONCE_SLOW() for sleepable contexts (Guillaume Nault) [2134815] - net, lib/once: remove {net_}get_random_once_wait macro (Guillaume Nault) [2134815] - tcp: use alloc_large_system_hash() to allocate table_perturb (Guillaume Nault) [2134815] - ipv4: fix ip option filtering for locally generated fragments (Guillaume Nault) [2134815] - net: ipv4: Fix the warning for dereference (Guillaume Nault) [2134815] - net: ipv4: Move ip_options_fragment() out of loop (Guillaume Nault) [2134815] - x86/fpu: Prevent FPU state corruption (Oleksandr Natalenko) [2131667] - iommu/amd: Globally detect SNP support (Jerry Snitselaar) [2117776] - iommu/amd: Fix compile warning in init code (Jerry Snitselaar) [2117776] - iommu/amd: Simplify and Consolidate Virtual APIC (AVIC) Enablement (Jerry Snitselaar) [2106596] - iommu/amd: Process all IVHDs before enabling IOMMU features (Jerry Snitselaar) [2117776] - iommu/amd: Introduce global variable for storing common EFR and EFR2 (Jerry Snitselaar) [2117776] - iommu/amd: Introduce Support for Extended Feature 2 Register (Jerry Snitselaar) [2117776] - iommu/amd: Change macro for IOMMU control register bit shift to decimal value (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_iommu_fault structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Update device_state structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Print PCI segment ID in error log messages (Jerry Snitselaar) [2117776] - iommu/amd: Add PCI segment support for ivrs_[ioapic/hpet/acpihid] commands (Jerry Snitselaar) [2117776] - iommu/amd: Specify PCI segment ID when getting pci device (Jerry Snitselaar) [2117776] - iommu/amd: Include PCI segment ID when initialize IOMMU (Jerry Snitselaar) [2117776] - iommu/amd: Introduce get_device_sbdf_id() helper function (Jerry Snitselaar) [2117776] - iommu/amd: Flush upto last_bdf only (Jerry Snitselaar) [2117776] - iommu/amd: Remove global amd_iommu_[dev_table/alias_table/last_bdf] (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dev_entry_bit() and get_dev_entry_bit() (Jerry Snitselaar) [2117776] - iommu/amd: Update (un)init_device_table_dma() (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_irq_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update dump_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update iommu_ignore_device (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_entry and clear_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment rlookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Update alloc_irq_table and alloc_irq_index (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_irte_ops functions (Jerry Snitselaar) [2117776] - iommu/amd: Introduce struct amd_ir_data.iommu (Jerry Snitselaar) [2117776] - iommu/amd: Update irq_remapping_alloc to use IOMMU lookup helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use rlookup_amd_iommu helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment last_bdf (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment unity map list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment old_dev_tbl_cpy (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment dev_data_list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce pci segment structure (Jerry Snitselaar) [2117776] - iommu/amd: Update struct iommu_dev_data definition (Jerry Snitselaar) [2117776] - iommu/amd: Indicate whether DMA remap support is enabled (Jerry Snitselaar) [2097878] - iomap: iomap_read_inline_data cleanup (Carlos Maiolino) [2130933] - iomap: Fix inline extent handling in iomap_readpage (Carlos Maiolino) [2130933] - iomap: don't try to poll multi-bio I/Os in __iomap_dio_rw (Carlos Maiolino) [2130933] - iomap: standardize tracepoint formatting and storage (Carlos Maiolino) [2130933] - iomap: move loop control code to iter.c (Carlos Maiolino) [2130933] - iomap: constify iomap_iter_srcmap (Carlos Maiolino) [2130933] - fsdax: switch the fault handlers to use iomap_iter (Carlos Maiolino) [2130933] - fsdax: factor out a dax_fault_actor() helper (Carlos Maiolino) [2130933] - fsdax: factor out helpers to simplify the dax fault code (Carlos Maiolino) [2130933] - iomap: rework unshare flag (Carlos Maiolino) [2130933] - iomap: pass an iomap_iter to various buffered I/O helpers (Carlos Maiolino) [2130933] - iomap: remove iomap_apply (Carlos Maiolino) [2130933] - fsdax: Fix infinite loop in dax_iomap_rw() (Carlos Maiolino) [2130933] - fsdax: switch dax_iomap_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_swapfile_activate to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_data to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_hole to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_bmap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_fiemap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch __iomap_dio_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_page_mkwrite to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_zero_range to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_unshare to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_buffered_write to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch readahead and readpage to use iomap_iter (Carlos Maiolino) [2130933] - iomap: add the new iomap_iter model (Carlos Maiolino) [2130933] - iomap: fix the iomap_readpage_actor return value for inline data (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_page_sync const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data_valid const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_sector const (Carlos Maiolino) [2130933] - fsdax: mark the iomap argument to dax_iomap_sector as const (Carlos Maiolino) [2130933] - fs: mark the iomap argument to __block_write_begin_int const (Carlos Maiolino) [2130933] - iomap: fix a trivial comment typo in trace.h (Carlos Maiolino) [2130933] - iomap: pass writeback errors to the mapping (Carlos Maiolino) [2130933] - iomap: Add another assertion to inline data handling (Carlos Maiolino) [2130933] - iomap: Use kmap_local_page instead of kmap_atomic (Carlos Maiolino) [2130933] - iomap: Fix some typos and bad grammar (Carlos Maiolino) [2130933] - iomap: Support inline data with block size < page size (Carlos Maiolino) [2130933] Resolves: rhbz#2139362, rhbz#2089501, rhbz#2137983, rhbz#2113003, rhbz#2123857, rhbz#2120448, rhbz#2111086, rhbz#2130487, rhbz#1871143, rhbz#2044301, rhbz#2134815, rhbz#2131667, rhbz#2117776, rhbz#2106596, rhbz#2097878, rhbz#2130933 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-08 07:50:05 +00:00
# CONFIG_TYPEC_ANX7411 is not set
CONFIG_TYPEC_DP_ALTMODE=m
CONFIG_TYPEC_FUSB302=m
# CONFIG_TYPEC_HD3SS3220 is not set
kernel-5.14.0-138.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-138.el9] - tools/testing/nvdimm: Fix security_init() symbol collision (Jeff Moyer) [2096788] - NFSD: Move fill_pre_wcc() and fill_post_wcc() (Benjamin Coddington) [2110576] - Revert "nfsd: skip some unnecessary stats in the v4 case" (Benjamin Coddington) [2110576] - Documentation: fix udp_wmem_min in ip-sysctl.rst (Xin Long) [2087560] - redhat/configs/common: Enable CONFIG_LZ4_COMPRESS (Andrew Walsh) [2110097] - net: bonding: fix use-after-free after 802.3ad slave unbind (Jonathan Toppins) [2109349] - net: bonding: fix possible NULL deref in rlb code (Jonathan Toppins) [2109349] - bonding: guard ns_targets by CONFIG_IPV6 (Jonathan Toppins) [2109349] - bonding: show NS IPv6 targets in proc master info (Jonathan Toppins) [2109349] - bonding: NS target should accept link local address (Jonathan Toppins) [2109349] - bonding: combine netlink and console error messages (Jonathan Toppins) [2109349] - usb: chipidea: udc: check request status before setting device address (Torez Smith) [2084667] - USB: gadget: Fix double-free bug in raw_gadget driver (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Meteor Lake xHCI (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Raptor Lake xHCI (Torez Smith) [2084667] - xhci: turn off port power in shutdown (Torez Smith) [2084667] - xhci: Keep interrupt disabled in initialization until host is running. (Torez Smith) [2084667] - USB: serial: option: add Quectel RM500K module support (Torez Smith) [2084667] - USB: serial: option: add Quectel EM05-G modem (Torez Smith) [2084667] - USB: serial: pl2303: add support for more HXN (G) types (Torez Smith) [2084667] - usb: typec: wcove: Drop wrong dependency to INTEL_SOC_PMIC (Torez Smith) [2084667] - usb: gadget: uvc: fix list double add in uvcg_video_pump (Torez Smith) [2084667] - dt-bindings: usb: ehci: Increase the number of PHYs (Torez Smith) [2084667] - dt-bindings: usb: ohci: Increase the number of PHYs (Torez Smith) [2084667] - usb: gadget: Fix non-unique driver names in raw-gadget driver (Torez Smith) [2084667] - USB: serial: option: add Telit LE910Cx 0x1250 composition (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->ep safe in ffs_epfile_io() (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->status safe in ffs_epfile_io() (Torez Smith) [2084667] - xhci: Fix null pointer dereference in resume if xhci has only one roothub (Torez Smith) [2084667] - USB: fixup for merge issue with "usb: dwc3: Don't switch OTG -> peripheral if extcon is present" (Torez Smith) [2084667] - usb: cdnsp: Fixed setting last_trb incorrectly (Torez Smith) [2084667] - usb: gadget: u_ether: fix regression in setting fixed MAC address (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: Fix refcount leak in lpc32xx_udc_probe (Torez Smith) [2084667] - usb: dwc2: Fix memory leak in dwc2_hcd_init (Torez Smith) [2084667] - usb: dwc3: gadget: Fix IN endpoint max packet size allocation (Torez Smith) [2084667] - docs: usb: fix literal block marker in usbmon verification example (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV31 with new baseline (Torez Smith) [2084667] - USB: serial: io_ti: add Agilent E5805A support (Torez Smith) [2084667] - dt-bindings: reset: update st,stih407-powerdown.yaml references (Torez Smith) [2084667] - dt-bindings: Update QCOM USB subsystem maintainer information (Torez Smith) [2084667] - dt-bindings: usb: snps,dwc3: Add missing 'dma-coherent' property (Torez Smith) [2084667] - usb: typec: ucsi: acpi: fix a NULL vs IS_ERR() check in probe (Torez Smith) [2084667] - USB: new quirk for Dell Gen 2 devices (Torez Smith) [2084667] - tty: remove CMSPAR ifdefs (Torez Smith) [2084667] - usb: dwc3: core: Add error log when core soft reset failed (Torez Smith) [2084667] - usb: dwc3: gadget: Move null pinter check to proper place (Torez Smith) [2084667] - usb: hub: Simplify error and success path in port_over_current_notify (Torez Smith) [2084667] - usb: cdns3: allocate TX FIFO size according to composite EP number (Torez Smith) [2084667] - usb: dwc3: Fix ep0 handling when getting reset while doing control transfer (Torez Smith) [2084667] - usb: Probe EHCI, OHCI controllers asynchronously (Torez Smith) [2084667] - usb: isp1760: Fix out-of-bounds array access (Torez Smith) [2084667] - xhci: Don't defer primary roothub registration if there is only one roothub (Torez Smith) [2084667] - USB: serial: option: add Quectel BG95 modem (Torez Smith) [2084667] - USB: serial: pl2303: fix type detection for odd device (Torez Smith) [2084667] - dt-bindings: usb: ci-hdrc-usb2: fix node node for ethernet controller (Torez Smith) [2084667] - media: stkwebcam: move stk_camera_read_reg() scratch buffer to struct stk_camera (Torez Smith) [2084667] - media: pvrusb2: fix array-index-out-of-bounds in pvr2_i2c_core_init (Torez Smith) [2084667] - media: gspca: make the read-only array table static const (Torez Smith) [2084667] - usb: xhci-mtk: remove bandwidth budget table (Torez Smith) [2084667] - usb: xhci-mtk: fix fs isoc's transfer error (Torez Smith) [2084667] - usb: gadget: fix race when gadget driver register via ioctl (Torez Smith) [2084667] - usb: typec: tcpci_mt6360: Update for BMC PHY setting (Torez Smith) [2084667] - xhci: Allow host runtime PM as default for Intel Alder Lake N xHCI (Torez Smith) [2084667] - xhci: Remove quirk for over 10 year old evaluation hardware (Torez Smith) [2084667] - xhci: prevent U2 link power state if Intel tier policy prevented U1 (Torez Smith) [2084667] - xhci: use generic command timer for stop endpoint commands. (Torez Smith) [2084667] - usb: host: xhci-plat: omit shared hcd if either root hub has no ports (Torez Smith) [2084667] - usb: host: xhci-plat: prepare operation w/o shared hcd (Torez Smith) [2084667] - usb: host: xhci-plat: create shared hcd after having added main hcd (Torez Smith) [2084667] - xhci: prepare for operation w/o shared hcd (Torez Smith) [2084667] - xhci: factor out parts of xhci_gen_setup() (Torez Smith) [2084667] - xhci: Set HCD flag to defer primary roothub registration (Torez Smith) [2084667] - usb: core: hcd: Add support for deferring roothub registration (Torez Smith) [2084667] - usb: host: ehci-xilinx: adding description for return value (Torez Smith) [2084667] - usb: gadget: udc: Remove useless variable assignment in xudc_read_fifo() (Torez Smith) [2084667] - USB: c67x00: remove unnecessary check of res (Torez Smith) [2084667] - usb: host: ehci-xilinx: Fix quoted string split across lines (Torez Smith) [2084667] - USB / dwc3: Fix a checkpatch warning in core.c (Torez Smith) [2084667] - thunderbolt: Add KUnit test for devices with no DisplayPort adapters (Torez Smith) [2084667] - thunderbolt: Fix buffer allocation of devices with no DisplayPort adapters (Torez Smith) [2084667] - USB: gadget: Add ID numbers to gadget names (Torez Smith) [2084667] - media: uvcvideo: Add UVC_GUID_FORMAT_H265 (Torez Smith) [2084667] - media: uvcvideo: Undup use uvc_endpoint_max_bpi() code (Torez Smith) [2084667] - media: uvcvideo: Simplify uvc_endpoint_max_bpi() (Torez Smith) [2084667] - media: uvcvideo: Fix memory leak if uvc_ctrl_add_mapping fails (Torez Smith) [2084667] - media: uvcvideo: Fix bit overflow in uvc_probe_video (Torez Smith) [2084667] - media: uvcvideo: Fix missing check to determine if element is found in list (Torez Smith) [2084667] - media: docs: media: uvcvideo: Use linux-media mailing list (Torez Smith) [2084667] - usb: gadget: uvc: allow for application to cleanly shutdown (Torez Smith) [2084667] - usb: typec: tcpci: Don't skip cleanup in .remove() on error (Torez Smith) [2084667] - usb: cdc-wdm: fix reading stuck on device close (Torez Smith) [2084667] - usb: gadget: uvc: track frames in format entries (Torez Smith) [2084667] - usb: gadget: uvc: move structs to common header (Torez Smith) [2084667] - usb: gadget: uvc: prevent index variables to start from 0 (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: fix clock matching (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: add IPQ8074, MSM8994, QCS404 and SM6125 (Torez Smith) [2084667] - usb: dwc3: gadget: Delay issuing End Transfer (Torez Smith) [2084667] - usb: dwc3: gadget: Only End Transfer for ep0 data phase (Torez Smith) [2084667] - usb: dwc3: ep0: Don't prepare beyond Setup stage (Torez Smith) [2084667] - usb: dwc3: gadget: Don't modify GEVNTCOUNT in pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Refactor pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Prevent repeat pullup() (Torez Smith) [2084667] - usb: dwc3: xilinx: Add gpio-reset support (Torez Smith) [2084667] - dt-bindings: usb: dwc3-xilinx: add optional property reset-gpios (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add binding for SDX65 (Torez Smith) [2084667] - dt-bindings: usb: da8xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - dt-bindings: usb: am33xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - usb: dwc3: remove a possible unnecessary 'out of memory' message (Torez Smith) [2084667] - usb: dwc3: host: Stop setting the ACPI companion (Torez Smith) [2084667] - usb: core: acpi: Use the sysdev pointer instead of controller device (Torez Smith) [2084667] - dt-bindings: usb: mediatek,mtu3: add binding for MT8195 SoC (Torez Smith) [2084667] - usb: ehci-omap: drop unused ehci_read() function (Torez Smith) [2084667] - USB: gadget: Fix return of -EBUSY (Torez Smith) [2084667] - usb: dwc2: gadget: don't reset gadget's driver->bus (Torez Smith) [2084667] - USB: serial: qcserial: add support for Sierra Wireless EM7590 (Torez Smith) [2084667] - USB: serial: ftdi_sio: clean up printk format specifier (Torez Smith) [2084667] - USB: serial: option: add Fibocom MA510 modem (Torez Smith) [2084667] - USB: serial: option: add Fibocom L610 modem (Torez Smith) [2084667] - USB: serial: pl2303: add device id for HP LM930 Display (Torez Smith) [2084667] - thunderbolt: Add support for XDomain lane bonding (Torez Smith) [2084667] - thunderbolt: Ignore port locked error in tb_port_wait_for_link_width() (Torez Smith) [2084667] - thunderbolt: Split setting link width and lane bonding into own functions (Torez Smith) [2084667] - thunderbolt: Move tb_port_state() prototype to correct place (Torez Smith) [2084667] - thunderbolt: Add debug logging when lane is enabled/disabled (Torez Smith) [2084667] - Revert "usb: misc: Add onboard_usb_hub driver" (Torez Smith) [2084667] - Revert "usb: core: hcd: Create platform devices for onboard hubs in probe()" (Torez Smith) [2084667] - thunderbolt: Link USB4 ports to their USB Type-C connectors (Torez Smith) [2084667] - thunderbolt: Make iommu_dma_protection more accurate (Torez Smith) [2084667] - iommu: Add capability for pre-boot DMA protection (Torez Smith) [2084667] - iommu: Introduce device_iommu_capable() (Torez Smith) [2084667] - usb: typec: ucsi: acpi: Map the mailbox with memremap() (Torez Smith) [2084667] - usb: phy: generic: Get the vbus supply (Torez Smith) [2084667] - usb: dwc3: gadget: Return proper request status (Torez Smith) [2084667] - usb: core: hcd: Create platform devices for onboard hubs in probe() (Torez Smith) [2084667] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2084667] - USB: gadget: Add a new bus for gadgets (Torez Smith) [2084667] - USB: gadget: Fix mistakes in UDC core kerneldoc (Torez Smith) [2084667] - USB: gadget: Register udc before gadget (Torez Smith) [2084667] - USB: gadget: Rename usb_gadget_probe_driver() (Torez Smith) [2084667] - usb: core: devices: drop redundant buffer overflow checks (Torez Smith) [2084667] - dt-bindings: usb: generic-ohci: Add HPE GXP ohci binding (Torez Smith) [2084667] - usb: Enable various new TypeC drivers. (Torez Smith) [2084667] - dt-bindings: usb: generic-ehci: Add HPE GXP ehci binding (Torez Smith) [2084667] - usb: typec: mux: Add On Semi fsa4480 driver (Torez Smith) [2084667] - dt-bindings: usb: Add binding for fcs,fsa4480 (Torez Smith) [2084667] - usb: typec: mux: Allow multiple mux_devs per mux (Torez Smith) [2084667] - usb: typec: mux: Introduce indirection (Torez Smith) [2084667] - usb: typec: mux: Check dev_set_name() return value (Torez Smith) [2084667] - device property: Add helper to match multiple connections (Torez Smith) [2084667] - usb: dwc3: pci: add support for the Intel Meteor Lake-P (Torez Smith) [2084667] - usb: typec: ucsi: Wait for the USB role switches (Torez Smith) [2084667] - usb: typec: ucsi: add a common function ucsi_unregister_connectors() (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/G2UL bindings (Torez Smith) [2084667] - testusb: Fix warning comparing pointer to 0 (Torez Smith) [2084667] - USB: hcd-pci: Fully suspend across freeze/thaw cycle (Torez Smith) [2084667] - usb: deprecate the third argument of usb_maxpacket() (Torez Smith) [2084667] - usb: dwc3: pci: Fix pm_runtime_get_sync() error checking (Torez Smith) [2084667] - USB: core: Disable remote wakeup for freeze/quiesce (Torez Smith) [2084667] - drivers: usb: host: Fix deadlock in oxu_bus_suspend() (Torez Smith) [2084667] - usb: dwc3: gadget: Replace list_for_each_entry_safe() if using giveback (Torez Smith) [2084667] - usb: dwc3: EP clear halt leading to clearing of delayed_status (Torez Smith) [2084667] - usb: dwc3: core: Only handle soft-reset in DCTL (Torez Smith) [2084667] - usb: dwc3: Don't switch OTG -> peripheral if extcon is present (Torez Smith) [2084667] - usb: gadget: configfs: clear deactivation flag in configfs_composite_unbind() (Torez Smith) [2084667] - usb: misc: eud: Fix an error handling path in eud_probe() (Torez Smith) [2084667] - usb: musb: mediatek: Use clk_bulk API to simplify clock operations (Torez Smith) [2084667] - USB: dwc2: Add OTG support for Ingenic SoCs. (Torez Smith) [2084667] - dt-bindings: dwc2: Add bindings for new Ingenic SoCs. (Torez Smith) [2084667] - usb: gadget: net2272: clean up comments (Torez Smith) [2084667] - usb: core: devices: remove dead code under #ifdef PROC_EXTRA (Torez Smith) [2084667] - USB: omap_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: clean up comments (Torez Smith) [2084667] - usb: gadget: tegra-xudc: clean up comments (Torez Smith) [2084667] - usb: musb: Fix missing of_node_put() in omap2430_probe (Torez Smith) [2084667] - usb: gadget: f_acm: add support for USB_CDC_REQ_SEND_BREAK (Torez Smith) [2084667] - usb: dwc3: gadget: increase tx fifo size for ss isoc endpoints (Torez Smith) [2084667] - usb: host: ohci-omap: Make it CCF clk API compatible (Torez Smith) [2084667] - usb: gadget: omap_udc: Make it CCF clk API compatible (Torez Smith) [2084667] - USB / dwc3: Fix three doc-build warnings (Torez Smith) [2084667] - usb: core: Don't hold the device lock while sleeping in do_proc_control() (Torez Smith) [2084667] - usb: dwc3: Try usb-role-switch first in dwc3_drd_init (Torez Smith) [2084667] - usb: dwc3: core: Fix tx/rx threshold settings (Torez Smith) [2084667] - usb: mtu3: fix USB 3.0 dual-role-switch from device to host (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: add missing required reg (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: include usb-hcd schema (Torez Smith) [2084667] - USB: storage: karma: fix rio_karma_init return (Torez Smith) [2084667] - usb: gadget: net2280: use swap() instead of open coding it (Torez Smith) [2084667] - usb: gadget: u_audio: clean up some inconsistent indenting (Torez Smith) [2084667] - USB: host: isp116x: check return value after calling platform_get_resource() (Torez Smith) [2084667] - usb:oxu210hp-hcd: Use platform_get_irq() to get the interrupt (Torez Smith) [2084667] - usb-storage: isd200: fix initFunction error return (Torez Smith) [2084667] - usb-storage: shuttle_usbat: fix initFunction error return (Torez Smith) [2084667] - usb-storage: alauda: fix initFunction error return (Torez Smith) [2084667] - usb: Prepare cleanup of powerpc's asm/prom.h (Torez Smith) [2084667] - usb: usbip: add missing device lock on tweak configuration cmd (Torez Smith) [2084667] - usb: usbip: fix a refcount leak in stub_probe() (Torez Smith) [2084667] - xhci: Enable runtime PM on second Alderlake controller (Torez Smith) [2084667] - usb: dwc3: fix backwards compat with rockchip devices (Torez Smith) [2084667] - usb: misc: fix improper handling of refcount in uss720_probe() (Torez Smith) [2084667] - USB: Fix ehci infinite suspend-resume loop issue in zhaoxin (Torez Smith) [2084667] - usb: typec: tcpm: Fix undefined behavior due to shift overflowing the constant (Torez Smith) [2084667] - usb: typec: rt1719: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2084667] - usb: typec: ucsi: Fix role swapping (Torez Smith) [2084667] - usb: typec: ucsi: Fix reuse of completion structure (Torez Smith) [2084667] - usb: xhci: tegra:Fix PM usage reference leak of tegra_xusb_unpowergate_partitions (Torez Smith) [2084667] - drivers: usb: dwc3: Add AM62 USB wrapper driver (Torez Smith) [2084667] - dt-bindings: usb: Add documentation for AM62 USB Wrapper module (Torez Smith) [2084667] - usb: typec: tipd: Only update power status on IRQ (Torez Smith) [2084667] - usb: typec: mux: intel_pmc_mux: Add retry logic to a PMC command (Torez Smith) [2084667] - usb: gadget: uvc: improve sg exit condition (Torez Smith) [2084667] - usb: gadget: uvc: giveback vb2 buffer on req complete (Torez Smith) [2084667] - usb: gadget: uvc: rework uvcg_queue_next_buffer to uvcg_complete_buffer (Torez Smith) [2084667] - usb: gadget: uvc: remove pause flag use (Torez Smith) [2084667] - usb: gadget: uvc: allow changing interface name via configfs (Torez Smith) [2084667] - ARM: omap: dma: make usb support optional (Torez Smith) [2084667] - ARM: omap1: innovator: move ohci phy power handling to board file (Torez Smith) [2084667] - usb: omap: avoid mach/*.h headers (Torez Smith) [2084667] - ARM: omap1: move mach/usb.h to include/linux/soc (Torez Smith) [2084667] - USB: serial: whiteheat: fix heap overflow in WHITEHEAT_GET_DTR_RTS (Torez Smith) [2084667] - USB: serial: cp210x: add PIDs for Kamstrup USB Meter Reader (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV32-WA/MV32-WB (Torez Smith) [2084667] - usb: gadget: uvc: Fix crash when encoding data for usb request (Torez Smith) [2084667] - thunderbolt: Use different lane for second DisplayPort tunnel (Torez Smith) [2084667] - thunderbolt: Dump path config space entries during discovery (Torez Smith) [2084667] - thunderbolt: Use decimal number with port numbers (Torez Smith) [2084667] - thunderbolt: Fix typo in comment (Torez Smith) [2084667] - media: dvb-usb: dib0700_devices: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mc: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mb: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp7045: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp702x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: umt-010: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: ttusb2: use an enum for the device number (Torez Smith) [2084667] - media: technisat-usb2: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: pctv452e: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: opera1: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: nova-t-usb2: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: m920x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: gp8psk: use an enum for the device number (Torez Smith) [2084667] - media: dw2102: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dtv5100: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: dtt200u: use an enum for the device number (Torez Smith) [2084667] - media: digitv: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cxusb: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cinergyT2-core: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: az6027: use an enum for the device number (Torez Smith) [2084667] - media: af9005: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: a800: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: Add helper macros for using USB VID/PID (Torez Smith) [2084667] - media: dvb-usb: vp702x: reference to usb ID table (Torez Smith) [2084667] - media: dvb-usb: move USB IDs to dvb-usb-ids.h (Torez Smith) [2084667] - media: dvb-usb-ids.h: sort entries (Torez Smith) [2084667] - USB: quirks: add STRING quirk for VCOM device (Torez Smith) [2084667] - USB: quirks: add a Realtek card reader (Torez Smith) [2084667] - cdc_ether: export usbnet_cdc_zte_rx_fixup (Torez Smith) [2084667] - USB: serial: option: add Telit 0x1057, 0x1058, 0x1075 compositions (Torez Smith) [2084667] - usb: cdns3: Fix issue for clear halt endpoint (Torez Smith) [2084667] - xhci: increase usb U3 -> U0 link resume timeout from 100ms to 500ms (Torez Smith) [2084667] - xhci: stop polling roothubs after shutdown (Torez Smith) [2084667] - USB: Fix xhci event ring dequeue pointer ERDP update issue (Torez Smith) [2084667] - thunderbolt: test: use NULL macros (Torez Smith) [2084667] - thunderbolt: Replace usage of found with dedicated list iterator variable (Torez Smith) [2084667] - usb: gadget: fsl_qe_udc: Add missing semicolon in qe_ep_dequeue() (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add compatible for mt8186 (Torez Smith) [2084667] - usb: dwc3: Issue core soft reset before enabling run/stop (Torez Smith) [2084667] - usb: gadget: Makefile: remove ccflags-y (Torez Smith) [2084667] - USB: usb-storage: Fix use of bitfields for hardware data in ene_ub6250.c (Torez Smith) [2084667] - usb: gadget: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - usb: usbip: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - xen/usb: harden xen_hcd against malicious backends (Torez Smith) [2084667] - usb: dwc3: gadget: Wait for ep0 xfers to complete during dequeue (Torez Smith) [2084667] - usb: dwc3: gadget: move cmd_endtransfer to extra function (Torez Smith) [2084667] - usb: dwc3: gadget: ep_queue simplify isoc start condition (Torez Smith) [2084667] - xen/usb: don't use arbitrary_virt_to_machine() (Torez Smith) [2084667] - usb: common: usb-conn-gpio: Make VBUS supply completely optional (Torez Smith) [2084667] - USB: storage: ums-realtek: fix error code in rts51x_read_mem() (Torez Smith) [2084667] - usb: early: xhci-dbc: Fix xdbc number parsing (Torez Smith) [2084667] - usb: early: xhci-dbc: Remove duplicate keep parsing (Torez Smith) [2084667] - usb: gadget: udc: s3c2410: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: dummy_hcd: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: composite: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: replace usage of rc to check if a list element was found (Torez Smith) [2084667] - usb: gadget: composite: remove check of list iterator against head past the loop body (Torez Smith) [2084667] - usb: gadget: tegra-xudc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: udc: max3420_udc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: legacy: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: configfs: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: aspeed: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc-xilinx: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: omap_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: net2280: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: net2272: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: mv_udc_core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: mv_u3d: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: gr_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: goku_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: at91: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: pxa25x: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: atmel: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: bdc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: fsl: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: dwc3: pci: Add support for Intel Alder Lake (Torez Smith) [2084667] - usb: dwc3: omap: fix "unbalanced disables for smps10_out1" on omap5evm (Torez Smith) [2084667] - usb: dwc3: gadget: Give some time to schedule isoc (Torez Smith) [2084667] - usb: dwc3: core: do not use 3.0 clock when operating in 2.0 mode (Torez Smith) [2084667] - dt-bindings: usb: add rk3568 compatible to rockchip, dwc3 (Torez Smith) [2084667] - usb: dwc3: imx8mp: Add support for setting SOC specific flags (Torez Smith) [2084667] - dt-bindings: usb: dwc3-imx8mp: Add imx8mp specific flags (Torez Smith) [2084667] - usb: dwc3: imx8mp: rename iomem base pointer (Torez Smith) [2084667] - usb: dwc3-meson-g12a: constify drvdata structs (Torez Smith) [2084667] - usb: raw-gadget: return -EINVAL if no proper ep address available (Torez Smith) [2084667] - dt-bindings: usb: hcd: correct usb-device path (Torez Smith) [2084667] - usb: raw-gadget: use kzalloc (Torez Smith) [2084667] - usb: gadget: udc: fix typos in comments (Torez Smith) [2084667] - media: xc2028: rename the driver from tuner-xc2028 (Torez Smith) [2084667] - USB: serial: usb_wwan: remove redundant assignment to variable i (Torez Smith) [2084667] - USB: serial: pl2303: fix GS type detection (Torez Smith) [2084667] - media: hdpvr: initialize dev->worker at hdpvr_register_videodev (Torez Smith) [2084667] - media: stk1160: use dma_alloc_noncontiguous API (Torez Smith) [2084667] - media: stk1160: move transfer_buffer and urb to same struct 'stk1160_urb' (Torez Smith) [2084667] - media: stk1160: If start stream fails, return buffers with VB2_BUF_STATE_QUEUED (Torez Smith) [2084667] - media: stk1160: fix number of buffers in case not all buffers are created (Torez Smith) [2084667] - media: Revert "media: em28xx: add missing em28xx_close_extension" (Torez Smith) [2084667] - thunderbolt: Rename EEPROM handling bits to match USB4 spec (Torez Smith) [2084667] - thunderbolt: Clarify register definitions for `tb_cap_plug_events` (Torez Smith) [2084667] - USB: serial: pl2303: add IBM device IDs (Torez Smith) [2084667] - usb: host: xhci: Remove some unnecessary return value initializations (Torez Smith) [2084667] - usb: host: xhci: add blank line in xhci_halt() (Torez Smith) [2084667] - usb: host: xhci: update hci_version operation in xhci_gen_setup() (Torez Smith) [2084667] - usb: host: xhci: fix a comment typo in xhci_mem_init() (Torez Smith) [2084667] - usb: host: xhci: use ffs() in xhci_mem_init() (Torez Smith) [2084667] - xhci: fix runtime PM imbalance in USB2 resume (Torez Smith) [2084667] - xhci: fix uninitialized string returned by xhci_decode_ctrl_ctx() (Torez Smith) [2084667] - xhci: fix garbage USBSTS being logged in some cases (Torez Smith) [2084667] - xhci: make xhci_handshake timeout for xhci_reset() adjustable (Torez Smith) [2084667] - usb: typec: tipd: Forward plug orientation to typec subsystem (Torez Smith) [2084667] - usb: Drop commas after SoC match table sentinels (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: convert to dtschema (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-dwc3: convert to dtschema (Torez Smith) [2084667] - USB: serial: simple: add Nokia phone driver (Torez Smith) [2084667] - ACPI: bus: Introduce acpi_bus_for_each_dev() (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add disable-over-current (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add iommus (Torez Smith) [2084667] - dt-bindings: usb: dwc2: fix compatible of Intel Agilex (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/V2L bindings (Torez Smith) [2084667] - USB: serial: make use of UART_LCR_WLEN() + tty_get_char_size() (Torez Smith) [2084667] - USB: core: Update kerneldoc for usb_get_dev() and usb_get_intf() (Torez Smith) [2084667] - Revert "usb: host: xhci: mvebu: make USB 3.0 PHY optional for Armada 3720" (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add msm8953 compatible (Torez Smith) [2084667] - usb: misc: USB_QCOM_EUD should depend on ARCH_QCOM (Torez Smith) [2084667] - xhci: omit mem read just after allocation of trb (Torez Smith) [2084667] - usb: host: ehci-q: make qtd_fill() return *unsigned int* (Torez Smith) [2084667] - scsi: usb: Stop using the SCSI pointer (Torez Smith) [2084667] - scsi: Remove drivers/scsi/scsi.h (Torez Smith) [2084667] - dt-bindings: usb: do not use deprecated synopsys prefix (Torez Smith) [2084667] - stkwebcam: add new Asus laptop to upside_down table (Torez Smith) [2084667] - media: usb: go7007: s2250-board: fix leak in probe() (Torez Smith) [2084667] - media: gspca: remove redundant assignment of variable n (Torez Smith) [2084667] - media: go7007: Constify static struct snd_device_ops (Torez Smith) [2084667] - media: em28xx: initialize refcount before kref_get (Torez Smith) [2084667] - media: usb: pwc-uncompress: Use struct_size() helper in pwc_decompress() (Torez Smith) [2084667] - thunderbolt: Drop duplicate NULL checks around nvmem_unregister() (Torez Smith) [2084667] - usb: dwc3: pci: Also apply Bay Trail GPIO mappings to ulpi-device (Torez Smith) [2084667] - usb: dwc3: pci: Set "linux,phy_charger_detect" property on some Bay Trail boards (Torez Smith) [2084667] - usb: dwc3: pci: Set the swnode from inside dwc3_pci_quirks() (Torez Smith) [2084667] - usb: typec: Support the WUSB3801 port controller (Torez Smith) [2084667] - usb: typec: Factor out non-PD fwnode properties (Torez Smith) [2084667] - dt-bindings: usb: Add WUSB3801 Type-C Port Controller (Torez Smith) [2084667] - usb: xhci: fix minmax.cocci warnings (Torez Smith) [2084667] - usb: host: xhci: drop redundant checks (Torez Smith) [2084667] - usb: remove Link Powermanagement (LPM) disable before port reset. (Torez Smith) [2084667] - xhci: Allocate separate command structures for each LPM command (Torez Smith) [2084667] - xhci: dbgtty: use IDR to support several dbc instances. (Torez Smith) [2084667] - xhci: dbc: Don't call dbc_tty_init() on every dbc tty probe (Torez Smith) [2084667] - xhci: dbc: Rename xhci_dbc_init and xhci_dbc_exit (Torez Smith) [2084667] - xhci: dbc: create and remove dbc structure in dbgtty driver. (Torez Smith) [2084667] - xhci: dbc: refactor xhci_dbc_init() (Torez Smith) [2084667] - usb: dwc3: drd: Don't check against CONFIG_OF (Torez Smith) [2084667] - usb: host: xhci-mtk: Simplify supplies handling with regulator_bulk (Torez Smith) [2084667] - media: uvcvideo: Add support for Apple T2-attached FaceTime HD Camera (Torez Smith) [2084667] - usb: host: ehci-platform: Update brcm, xgs-iproc-ehci workaround (Torez Smith) [2084667] - usb: host: {e|o}hci-dbg: kill useless 'ret' variable initializers (Torez Smith) [2084667] - usbip: vudc: Make use of the helper macro LIST_HEAD() (Torez Smith) [2084667] - usb: ulpi: Add debugfs support (Torez Smith) [2084667] - usb: misc: eud: Add driver support for Embedded USB Debugger(EUD) (Torez Smith) [2084667] - bindings: usb: dwc3: Update dwc3 properties for EUD connector (Torez Smith) [2084667] - usb: typec: rt1719: Add support for Richtek RT1719 (Torez Smith) [2084667] - dt-bindings: usb: rt1719: Add binding for Richtek RT1719 (Torez Smith) [2084667] - usb: xhci-mtk: add support ip-sleep wakeup for mt8195 (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add support ip-sleep for mt8195 (Torez Smith) [2084667] - usb: dwc2: Add platform specific data for Intel's Agilex (Torez Smith) [2084667] - USB: usbfs: Use a spinlock instead of atomic accesses to tally used memory. (Torez Smith) [2084667] - usb: ehci: add pci device support for Aspeed platforms (Torez Smith) [2084667] - usb: dwc3: Program GFLADJ (Torez Smith) [2084667] - usb: dwc3: Calculate REFCLKPER based on reference clock (Torez Smith) [2084667] - usb: dwc3: Get clocks individually (Torez Smith) [2084667] - dt-bindings: usb: dwc3: Deprecate snps,ref-clock-period-ns (Torez Smith) [2084667] - scsi: usb: storage: Complete the SCSI request directly (Torez Smith) [2084667] - usb: gadget: f_uac2: Neaten and reduce size of afunc_validate_opts (Torez Smith) [2084667] - usb: gadget: f_uac2: change maxpctksize/maxpcktsize to wMaxPacketSize (Torez Smith) [2084667] - thunderbolt: Replace acpi_bus_get_device() (Torez Smith) [2084667] - thunderbolt: Add internal xHCI connect flows for Thunderbolt 3 devices (Torez Smith) [2084667] - thunderbolt: Add missing device ID to tb_switch_is_alpine_ridge() (Torez Smith) [2084667] - thunderbolt: Disable LTTPR on Intel Titan Ridge (Torez Smith) [2084667] - usb: gadget: f_uac2: Add speed names to bInterval dbg/warn (Torez Smith) [2084667] - usb: gadget: f_uac2: Optionally determine bInterval for HS and SS (Torez Smith) [2084667] - usb: gadget: audio: Add HS/SS bInterval params for UAC2 (Torez Smith) [2084667] - usb: gadget: f_uac2: Add HS/SS bInterval to configfs (Torez Smith) [2084667] - usb: udc: Fix typo in comment (Torez Smith) [2084667] - USB: ACPI: Replace acpi_bus_get_device() (Torez Smith) [2084667] - usb: gadget: f_uac2: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: Add suspend callback (Torez Smith) [2084667] - usb: gadget: f_uac2: Add suspend callback (Torez Smith) [2084667] - usb: gadget: u_audio: Add suspend call (Torez Smith) [2084667] - usb: gadget: u_audio: Rate ctl notifies about current srate (0=stopped) (Torez Smith) [2084667] - usb: gadget: f_uac1: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: f_uac2: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: u_audio: Add capture/playback srate getter (Torez Smith) [2084667] - usb: gadget: u_audio: Move dynamic srate from params to rtd (Torez Smith) [2084667] - usb: gadget: u_audio: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget:audio: Replace deprecated macro S_IRUGO (Torez Smith) [2084667] - usb: gadget: f_mass_storage: Make CD-ROM emulation work with Mac OS-X (Torez Smith) [2084667] - usb: xhci-mtk: Use struct_size() helper in create_sch_ep() (Torez Smith) [2084667] - USB: hcd-pci: Use PCI_STD_NUM_BARS when checking standard BARs (Torez Smith) [2084667] - usb: gadget: f_fs: Use struct_size() and flex_array_size() helpers (Torez Smith) [2084667] - usb: host: fotg210: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: core: Bail out when port is stuck in reset loop (Torez Smith) [2084667] - usb: gadget: f_phonet: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: host: xhci-plat: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - dt-bindings: usb: add bindings for microchip mpfs musb (Torez Smith) [2084667] - scsi: usb: Call scsi_done() directly (Torez Smith) [2084667] - usb: cdnsp: remove not used temp_64 variables (Torez Smith) [2084667] - usb: cdnsp: fix cdnsp_decode_trb function to properly handle ret value (Torez Smith) [2084667] - usb: dwc3: drd: Add support for usb-conn-gpio based usb-role-switch (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Fix control endpoint's definitions (Torez Smith) [2084667] - usb: gadget: f_serial: Ensure gserial disconnected during unbind (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Do not program SPARAM (Torez Smith) [2084667] - usb: host: ehci-sched: Use struct_size() in kzalloc() (Torez Smith) [2084667] - thunderbolt: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - usb: enable Design Ware Drivers (Torez Smith) [2084667] - tty: serial: define UART_LCR_WLEN() macro (Torez Smith) [2084667] - media: gspca: make array regs_to_read static const (Torez Smith) [2084667] - block: Fix handling of offline queues in blk_mq_alloc_request_hctx() (Ming Lei) [2108481] - nvme: fix RCU hole that allowed for endless looping in multipath round robin (Gopal Tiwari) [2108624] - vdpa/mlx5: Use consistent RQT size (Cindy Lu) [2063693] - vdpa/mlx5: add validation for VIRTIO_NET_CTRL_MQ_VQ_PAIRS_SET command (Cindy Lu) [2063693] - vdpa/mlx5: should verify CTRL_VQ feature exists for MQ (Cindy Lu) [2063693] - fscache: Avoid ASSERTCMP if two threads race into fscache_disable_cookie (Dave Wysochanski) [2073727] - blk-mq: don't create hctx debugfs dir until q->debugfs_dir is created (Ming Lei) [2105622] - redhat: enable CONFIG_MAXLINEAR_GPHY as module (Petr Oros) [2071857] - net: phy: enhance GPY115 loopback disable function (Petr Oros) [2071857] - net: phy: add Maxlinear GPY115/21x/24x driver (Petr Oros) [2071857] - net: phy: add API to read 802.3-c45 IDs (Petr Oros) [2071857] Resolves: rhbz#2096788, rhbz#2110576, rhbz#2087560, rhbz#2110097, rhbz#2109349, rhbz#2084667, rhbz#2108481, rhbz#2108624, rhbz#2063693, rhbz#2073727, rhbz#2105622, rhbz#2071857 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 17:45:03 +00:00
CONFIG_TYPEC_MUX_FSA4480=m
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
# CONFIG_TYPEC_MUX_GPIO_SBU is not set
CONFIG_TYPEC_MUX_PI3USB30532=m
# CONFIG_TYPEC_NVIDIA_ALTMODE is not set
# CONFIG_TYPEC_QCOM_PMIC is not set
# CONFIG_TYPEC_RT1711H is not set
kernel-5.14.0-138.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-138.el9] - tools/testing/nvdimm: Fix security_init() symbol collision (Jeff Moyer) [2096788] - NFSD: Move fill_pre_wcc() and fill_post_wcc() (Benjamin Coddington) [2110576] - Revert "nfsd: skip some unnecessary stats in the v4 case" (Benjamin Coddington) [2110576] - Documentation: fix udp_wmem_min in ip-sysctl.rst (Xin Long) [2087560] - redhat/configs/common: Enable CONFIG_LZ4_COMPRESS (Andrew Walsh) [2110097] - net: bonding: fix use-after-free after 802.3ad slave unbind (Jonathan Toppins) [2109349] - net: bonding: fix possible NULL deref in rlb code (Jonathan Toppins) [2109349] - bonding: guard ns_targets by CONFIG_IPV6 (Jonathan Toppins) [2109349] - bonding: show NS IPv6 targets in proc master info (Jonathan Toppins) [2109349] - bonding: NS target should accept link local address (Jonathan Toppins) [2109349] - bonding: combine netlink and console error messages (Jonathan Toppins) [2109349] - usb: chipidea: udc: check request status before setting device address (Torez Smith) [2084667] - USB: gadget: Fix double-free bug in raw_gadget driver (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Meteor Lake xHCI (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Raptor Lake xHCI (Torez Smith) [2084667] - xhci: turn off port power in shutdown (Torez Smith) [2084667] - xhci: Keep interrupt disabled in initialization until host is running. (Torez Smith) [2084667] - USB: serial: option: add Quectel RM500K module support (Torez Smith) [2084667] - USB: serial: option: add Quectel EM05-G modem (Torez Smith) [2084667] - USB: serial: pl2303: add support for more HXN (G) types (Torez Smith) [2084667] - usb: typec: wcove: Drop wrong dependency to INTEL_SOC_PMIC (Torez Smith) [2084667] - usb: gadget: uvc: fix list double add in uvcg_video_pump (Torez Smith) [2084667] - dt-bindings: usb: ehci: Increase the number of PHYs (Torez Smith) [2084667] - dt-bindings: usb: ohci: Increase the number of PHYs (Torez Smith) [2084667] - usb: gadget: Fix non-unique driver names in raw-gadget driver (Torez Smith) [2084667] - USB: serial: option: add Telit LE910Cx 0x1250 composition (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->ep safe in ffs_epfile_io() (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->status safe in ffs_epfile_io() (Torez Smith) [2084667] - xhci: Fix null pointer dereference in resume if xhci has only one roothub (Torez Smith) [2084667] - USB: fixup for merge issue with "usb: dwc3: Don't switch OTG -> peripheral if extcon is present" (Torez Smith) [2084667] - usb: cdnsp: Fixed setting last_trb incorrectly (Torez Smith) [2084667] - usb: gadget: u_ether: fix regression in setting fixed MAC address (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: Fix refcount leak in lpc32xx_udc_probe (Torez Smith) [2084667] - usb: dwc2: Fix memory leak in dwc2_hcd_init (Torez Smith) [2084667] - usb: dwc3: gadget: Fix IN endpoint max packet size allocation (Torez Smith) [2084667] - docs: usb: fix literal block marker in usbmon verification example (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV31 with new baseline (Torez Smith) [2084667] - USB: serial: io_ti: add Agilent E5805A support (Torez Smith) [2084667] - dt-bindings: reset: update st,stih407-powerdown.yaml references (Torez Smith) [2084667] - dt-bindings: Update QCOM USB subsystem maintainer information (Torez Smith) [2084667] - dt-bindings: usb: snps,dwc3: Add missing 'dma-coherent' property (Torez Smith) [2084667] - usb: typec: ucsi: acpi: fix a NULL vs IS_ERR() check in probe (Torez Smith) [2084667] - USB: new quirk for Dell Gen 2 devices (Torez Smith) [2084667] - tty: remove CMSPAR ifdefs (Torez Smith) [2084667] - usb: dwc3: core: Add error log when core soft reset failed (Torez Smith) [2084667] - usb: dwc3: gadget: Move null pinter check to proper place (Torez Smith) [2084667] - usb: hub: Simplify error and success path in port_over_current_notify (Torez Smith) [2084667] - usb: cdns3: allocate TX FIFO size according to composite EP number (Torez Smith) [2084667] - usb: dwc3: Fix ep0 handling when getting reset while doing control transfer (Torez Smith) [2084667] - usb: Probe EHCI, OHCI controllers asynchronously (Torez Smith) [2084667] - usb: isp1760: Fix out-of-bounds array access (Torez Smith) [2084667] - xhci: Don't defer primary roothub registration if there is only one roothub (Torez Smith) [2084667] - USB: serial: option: add Quectel BG95 modem (Torez Smith) [2084667] - USB: serial: pl2303: fix type detection for odd device (Torez Smith) [2084667] - dt-bindings: usb: ci-hdrc-usb2: fix node node for ethernet controller (Torez Smith) [2084667] - media: stkwebcam: move stk_camera_read_reg() scratch buffer to struct stk_camera (Torez Smith) [2084667] - media: pvrusb2: fix array-index-out-of-bounds in pvr2_i2c_core_init (Torez Smith) [2084667] - media: gspca: make the read-only array table static const (Torez Smith) [2084667] - usb: xhci-mtk: remove bandwidth budget table (Torez Smith) [2084667] - usb: xhci-mtk: fix fs isoc's transfer error (Torez Smith) [2084667] - usb: gadget: fix race when gadget driver register via ioctl (Torez Smith) [2084667] - usb: typec: tcpci_mt6360: Update for BMC PHY setting (Torez Smith) [2084667] - xhci: Allow host runtime PM as default for Intel Alder Lake N xHCI (Torez Smith) [2084667] - xhci: Remove quirk for over 10 year old evaluation hardware (Torez Smith) [2084667] - xhci: prevent U2 link power state if Intel tier policy prevented U1 (Torez Smith) [2084667] - xhci: use generic command timer for stop endpoint commands. (Torez Smith) [2084667] - usb: host: xhci-plat: omit shared hcd if either root hub has no ports (Torez Smith) [2084667] - usb: host: xhci-plat: prepare operation w/o shared hcd (Torez Smith) [2084667] - usb: host: xhci-plat: create shared hcd after having added main hcd (Torez Smith) [2084667] - xhci: prepare for operation w/o shared hcd (Torez Smith) [2084667] - xhci: factor out parts of xhci_gen_setup() (Torez Smith) [2084667] - xhci: Set HCD flag to defer primary roothub registration (Torez Smith) [2084667] - usb: core: hcd: Add support for deferring roothub registration (Torez Smith) [2084667] - usb: host: ehci-xilinx: adding description for return value (Torez Smith) [2084667] - usb: gadget: udc: Remove useless variable assignment in xudc_read_fifo() (Torez Smith) [2084667] - USB: c67x00: remove unnecessary check of res (Torez Smith) [2084667] - usb: host: ehci-xilinx: Fix quoted string split across lines (Torez Smith) [2084667] - USB / dwc3: Fix a checkpatch warning in core.c (Torez Smith) [2084667] - thunderbolt: Add KUnit test for devices with no DisplayPort adapters (Torez Smith) [2084667] - thunderbolt: Fix buffer allocation of devices with no DisplayPort adapters (Torez Smith) [2084667] - USB: gadget: Add ID numbers to gadget names (Torez Smith) [2084667] - media: uvcvideo: Add UVC_GUID_FORMAT_H265 (Torez Smith) [2084667] - media: uvcvideo: Undup use uvc_endpoint_max_bpi() code (Torez Smith) [2084667] - media: uvcvideo: Simplify uvc_endpoint_max_bpi() (Torez Smith) [2084667] - media: uvcvideo: Fix memory leak if uvc_ctrl_add_mapping fails (Torez Smith) [2084667] - media: uvcvideo: Fix bit overflow in uvc_probe_video (Torez Smith) [2084667] - media: uvcvideo: Fix missing check to determine if element is found in list (Torez Smith) [2084667] - media: docs: media: uvcvideo: Use linux-media mailing list (Torez Smith) [2084667] - usb: gadget: uvc: allow for application to cleanly shutdown (Torez Smith) [2084667] - usb: typec: tcpci: Don't skip cleanup in .remove() on error (Torez Smith) [2084667] - usb: cdc-wdm: fix reading stuck on device close (Torez Smith) [2084667] - usb: gadget: uvc: track frames in format entries (Torez Smith) [2084667] - usb: gadget: uvc: move structs to common header (Torez Smith) [2084667] - usb: gadget: uvc: prevent index variables to start from 0 (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: fix clock matching (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: add IPQ8074, MSM8994, QCS404 and SM6125 (Torez Smith) [2084667] - usb: dwc3: gadget: Delay issuing End Transfer (Torez Smith) [2084667] - usb: dwc3: gadget: Only End Transfer for ep0 data phase (Torez Smith) [2084667] - usb: dwc3: ep0: Don't prepare beyond Setup stage (Torez Smith) [2084667] - usb: dwc3: gadget: Don't modify GEVNTCOUNT in pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Refactor pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Prevent repeat pullup() (Torez Smith) [2084667] - usb: dwc3: xilinx: Add gpio-reset support (Torez Smith) [2084667] - dt-bindings: usb: dwc3-xilinx: add optional property reset-gpios (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add binding for SDX65 (Torez Smith) [2084667] - dt-bindings: usb: da8xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - dt-bindings: usb: am33xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - usb: dwc3: remove a possible unnecessary 'out of memory' message (Torez Smith) [2084667] - usb: dwc3: host: Stop setting the ACPI companion (Torez Smith) [2084667] - usb: core: acpi: Use the sysdev pointer instead of controller device (Torez Smith) [2084667] - dt-bindings: usb: mediatek,mtu3: add binding for MT8195 SoC (Torez Smith) [2084667] - usb: ehci-omap: drop unused ehci_read() function (Torez Smith) [2084667] - USB: gadget: Fix return of -EBUSY (Torez Smith) [2084667] - usb: dwc2: gadget: don't reset gadget's driver->bus (Torez Smith) [2084667] - USB: serial: qcserial: add support for Sierra Wireless EM7590 (Torez Smith) [2084667] - USB: serial: ftdi_sio: clean up printk format specifier (Torez Smith) [2084667] - USB: serial: option: add Fibocom MA510 modem (Torez Smith) [2084667] - USB: serial: option: add Fibocom L610 modem (Torez Smith) [2084667] - USB: serial: pl2303: add device id for HP LM930 Display (Torez Smith) [2084667] - thunderbolt: Add support for XDomain lane bonding (Torez Smith) [2084667] - thunderbolt: Ignore port locked error in tb_port_wait_for_link_width() (Torez Smith) [2084667] - thunderbolt: Split setting link width and lane bonding into own functions (Torez Smith) [2084667] - thunderbolt: Move tb_port_state() prototype to correct place (Torez Smith) [2084667] - thunderbolt: Add debug logging when lane is enabled/disabled (Torez Smith) [2084667] - Revert "usb: misc: Add onboard_usb_hub driver" (Torez Smith) [2084667] - Revert "usb: core: hcd: Create platform devices for onboard hubs in probe()" (Torez Smith) [2084667] - thunderbolt: Link USB4 ports to their USB Type-C connectors (Torez Smith) [2084667] - thunderbolt: Make iommu_dma_protection more accurate (Torez Smith) [2084667] - iommu: Add capability for pre-boot DMA protection (Torez Smith) [2084667] - iommu: Introduce device_iommu_capable() (Torez Smith) [2084667] - usb: typec: ucsi: acpi: Map the mailbox with memremap() (Torez Smith) [2084667] - usb: phy: generic: Get the vbus supply (Torez Smith) [2084667] - usb: dwc3: gadget: Return proper request status (Torez Smith) [2084667] - usb: core: hcd: Create platform devices for onboard hubs in probe() (Torez Smith) [2084667] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2084667] - USB: gadget: Add a new bus for gadgets (Torez Smith) [2084667] - USB: gadget: Fix mistakes in UDC core kerneldoc (Torez Smith) [2084667] - USB: gadget: Register udc before gadget (Torez Smith) [2084667] - USB: gadget: Rename usb_gadget_probe_driver() (Torez Smith) [2084667] - usb: core: devices: drop redundant buffer overflow checks (Torez Smith) [2084667] - dt-bindings: usb: generic-ohci: Add HPE GXP ohci binding (Torez Smith) [2084667] - usb: Enable various new TypeC drivers. (Torez Smith) [2084667] - dt-bindings: usb: generic-ehci: Add HPE GXP ehci binding (Torez Smith) [2084667] - usb: typec: mux: Add On Semi fsa4480 driver (Torez Smith) [2084667] - dt-bindings: usb: Add binding for fcs,fsa4480 (Torez Smith) [2084667] - usb: typec: mux: Allow multiple mux_devs per mux (Torez Smith) [2084667] - usb: typec: mux: Introduce indirection (Torez Smith) [2084667] - usb: typec: mux: Check dev_set_name() return value (Torez Smith) [2084667] - device property: Add helper to match multiple connections (Torez Smith) [2084667] - usb: dwc3: pci: add support for the Intel Meteor Lake-P (Torez Smith) [2084667] - usb: typec: ucsi: Wait for the USB role switches (Torez Smith) [2084667] - usb: typec: ucsi: add a common function ucsi_unregister_connectors() (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/G2UL bindings (Torez Smith) [2084667] - testusb: Fix warning comparing pointer to 0 (Torez Smith) [2084667] - USB: hcd-pci: Fully suspend across freeze/thaw cycle (Torez Smith) [2084667] - usb: deprecate the third argument of usb_maxpacket() (Torez Smith) [2084667] - usb: dwc3: pci: Fix pm_runtime_get_sync() error checking (Torez Smith) [2084667] - USB: core: Disable remote wakeup for freeze/quiesce (Torez Smith) [2084667] - drivers: usb: host: Fix deadlock in oxu_bus_suspend() (Torez Smith) [2084667] - usb: dwc3: gadget: Replace list_for_each_entry_safe() if using giveback (Torez Smith) [2084667] - usb: dwc3: EP clear halt leading to clearing of delayed_status (Torez Smith) [2084667] - usb: dwc3: core: Only handle soft-reset in DCTL (Torez Smith) [2084667] - usb: dwc3: Don't switch OTG -> peripheral if extcon is present (Torez Smith) [2084667] - usb: gadget: configfs: clear deactivation flag in configfs_composite_unbind() (Torez Smith) [2084667] - usb: misc: eud: Fix an error handling path in eud_probe() (Torez Smith) [2084667] - usb: musb: mediatek: Use clk_bulk API to simplify clock operations (Torez Smith) [2084667] - USB: dwc2: Add OTG support for Ingenic SoCs. (Torez Smith) [2084667] - dt-bindings: dwc2: Add bindings for new Ingenic SoCs. (Torez Smith) [2084667] - usb: gadget: net2272: clean up comments (Torez Smith) [2084667] - usb: core: devices: remove dead code under #ifdef PROC_EXTRA (Torez Smith) [2084667] - USB: omap_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: clean up comments (Torez Smith) [2084667] - usb: gadget: tegra-xudc: clean up comments (Torez Smith) [2084667] - usb: musb: Fix missing of_node_put() in omap2430_probe (Torez Smith) [2084667] - usb: gadget: f_acm: add support for USB_CDC_REQ_SEND_BREAK (Torez Smith) [2084667] - usb: dwc3: gadget: increase tx fifo size for ss isoc endpoints (Torez Smith) [2084667] - usb: host: ohci-omap: Make it CCF clk API compatible (Torez Smith) [2084667] - usb: gadget: omap_udc: Make it CCF clk API compatible (Torez Smith) [2084667] - USB / dwc3: Fix three doc-build warnings (Torez Smith) [2084667] - usb: core: Don't hold the device lock while sleeping in do_proc_control() (Torez Smith) [2084667] - usb: dwc3: Try usb-role-switch first in dwc3_drd_init (Torez Smith) [2084667] - usb: dwc3: core: Fix tx/rx threshold settings (Torez Smith) [2084667] - usb: mtu3: fix USB 3.0 dual-role-switch from device to host (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: add missing required reg (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: include usb-hcd schema (Torez Smith) [2084667] - USB: storage: karma: fix rio_karma_init return (Torez Smith) [2084667] - usb: gadget: net2280: use swap() instead of open coding it (Torez Smith) [2084667] - usb: gadget: u_audio: clean up some inconsistent indenting (Torez Smith) [2084667] - USB: host: isp116x: check return value after calling platform_get_resource() (Torez Smith) [2084667] - usb:oxu210hp-hcd: Use platform_get_irq() to get the interrupt (Torez Smith) [2084667] - usb-storage: isd200: fix initFunction error return (Torez Smith) [2084667] - usb-storage: shuttle_usbat: fix initFunction error return (Torez Smith) [2084667] - usb-storage: alauda: fix initFunction error return (Torez Smith) [2084667] - usb: Prepare cleanup of powerpc's asm/prom.h (Torez Smith) [2084667] - usb: usbip: add missing device lock on tweak configuration cmd (Torez Smith) [2084667] - usb: usbip: fix a refcount leak in stub_probe() (Torez Smith) [2084667] - xhci: Enable runtime PM on second Alderlake controller (Torez Smith) [2084667] - usb: dwc3: fix backwards compat with rockchip devices (Torez Smith) [2084667] - usb: misc: fix improper handling of refcount in uss720_probe() (Torez Smith) [2084667] - USB: Fix ehci infinite suspend-resume loop issue in zhaoxin (Torez Smith) [2084667] - usb: typec: tcpm: Fix undefined behavior due to shift overflowing the constant (Torez Smith) [2084667] - usb: typec: rt1719: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2084667] - usb: typec: ucsi: Fix role swapping (Torez Smith) [2084667] - usb: typec: ucsi: Fix reuse of completion structure (Torez Smith) [2084667] - usb: xhci: tegra:Fix PM usage reference leak of tegra_xusb_unpowergate_partitions (Torez Smith) [2084667] - drivers: usb: dwc3: Add AM62 USB wrapper driver (Torez Smith) [2084667] - dt-bindings: usb: Add documentation for AM62 USB Wrapper module (Torez Smith) [2084667] - usb: typec: tipd: Only update power status on IRQ (Torez Smith) [2084667] - usb: typec: mux: intel_pmc_mux: Add retry logic to a PMC command (Torez Smith) [2084667] - usb: gadget: uvc: improve sg exit condition (Torez Smith) [2084667] - usb: gadget: uvc: giveback vb2 buffer on req complete (Torez Smith) [2084667] - usb: gadget: uvc: rework uvcg_queue_next_buffer to uvcg_complete_buffer (Torez Smith) [2084667] - usb: gadget: uvc: remove pause flag use (Torez Smith) [2084667] - usb: gadget: uvc: allow changing interface name via configfs (Torez Smith) [2084667] - ARM: omap: dma: make usb support optional (Torez Smith) [2084667] - ARM: omap1: innovator: move ohci phy power handling to board file (Torez Smith) [2084667] - usb: omap: avoid mach/*.h headers (Torez Smith) [2084667] - ARM: omap1: move mach/usb.h to include/linux/soc (Torez Smith) [2084667] - USB: serial: whiteheat: fix heap overflow in WHITEHEAT_GET_DTR_RTS (Torez Smith) [2084667] - USB: serial: cp210x: add PIDs for Kamstrup USB Meter Reader (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV32-WA/MV32-WB (Torez Smith) [2084667] - usb: gadget: uvc: Fix crash when encoding data for usb request (Torez Smith) [2084667] - thunderbolt: Use different lane for second DisplayPort tunnel (Torez Smith) [2084667] - thunderbolt: Dump path config space entries during discovery (Torez Smith) [2084667] - thunderbolt: Use decimal number with port numbers (Torez Smith) [2084667] - thunderbolt: Fix typo in comment (Torez Smith) [2084667] - media: dvb-usb: dib0700_devices: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mc: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mb: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp7045: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp702x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: umt-010: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: ttusb2: use an enum for the device number (Torez Smith) [2084667] - media: technisat-usb2: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: pctv452e: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: opera1: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: nova-t-usb2: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: m920x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: gp8psk: use an enum for the device number (Torez Smith) [2084667] - media: dw2102: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dtv5100: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: dtt200u: use an enum for the device number (Torez Smith) [2084667] - media: digitv: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cxusb: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cinergyT2-core: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: az6027: use an enum for the device number (Torez Smith) [2084667] - media: af9005: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: a800: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: Add helper macros for using USB VID/PID (Torez Smith) [2084667] - media: dvb-usb: vp702x: reference to usb ID table (Torez Smith) [2084667] - media: dvb-usb: move USB IDs to dvb-usb-ids.h (Torez Smith) [2084667] - media: dvb-usb-ids.h: sort entries (Torez Smith) [2084667] - USB: quirks: add STRING quirk for VCOM device (Torez Smith) [2084667] - USB: quirks: add a Realtek card reader (Torez Smith) [2084667] - cdc_ether: export usbnet_cdc_zte_rx_fixup (Torez Smith) [2084667] - USB: serial: option: add Telit 0x1057, 0x1058, 0x1075 compositions (Torez Smith) [2084667] - usb: cdns3: Fix issue for clear halt endpoint (Torez Smith) [2084667] - xhci: increase usb U3 -> U0 link resume timeout from 100ms to 500ms (Torez Smith) [2084667] - xhci: stop polling roothubs after shutdown (Torez Smith) [2084667] - USB: Fix xhci event ring dequeue pointer ERDP update issue (Torez Smith) [2084667] - thunderbolt: test: use NULL macros (Torez Smith) [2084667] - thunderbolt: Replace usage of found with dedicated list iterator variable (Torez Smith) [2084667] - usb: gadget: fsl_qe_udc: Add missing semicolon in qe_ep_dequeue() (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add compatible for mt8186 (Torez Smith) [2084667] - usb: dwc3: Issue core soft reset before enabling run/stop (Torez Smith) [2084667] - usb: gadget: Makefile: remove ccflags-y (Torez Smith) [2084667] - USB: usb-storage: Fix use of bitfields for hardware data in ene_ub6250.c (Torez Smith) [2084667] - usb: gadget: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - usb: usbip: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - xen/usb: harden xen_hcd against malicious backends (Torez Smith) [2084667] - usb: dwc3: gadget: Wait for ep0 xfers to complete during dequeue (Torez Smith) [2084667] - usb: dwc3: gadget: move cmd_endtransfer to extra function (Torez Smith) [2084667] - usb: dwc3: gadget: ep_queue simplify isoc start condition (Torez Smith) [2084667] - xen/usb: don't use arbitrary_virt_to_machine() (Torez Smith) [2084667] - usb: common: usb-conn-gpio: Make VBUS supply completely optional (Torez Smith) [2084667] - USB: storage: ums-realtek: fix error code in rts51x_read_mem() (Torez Smith) [2084667] - usb: early: xhci-dbc: Fix xdbc number parsing (Torez Smith) [2084667] - usb: early: xhci-dbc: Remove duplicate keep parsing (Torez Smith) [2084667] - usb: gadget: udc: s3c2410: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: dummy_hcd: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: composite: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: replace usage of rc to check if a list element was found (Torez Smith) [2084667] - usb: gadget: composite: remove check of list iterator against head past the loop body (Torez Smith) [2084667] - usb: gadget: tegra-xudc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: udc: max3420_udc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: legacy: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: configfs: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: aspeed: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc-xilinx: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: omap_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: net2280: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: net2272: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: mv_udc_core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: mv_u3d: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: gr_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: goku_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: at91: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: pxa25x: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: atmel: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: bdc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: fsl: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: dwc3: pci: Add support for Intel Alder Lake (Torez Smith) [2084667] - usb: dwc3: omap: fix "unbalanced disables for smps10_out1" on omap5evm (Torez Smith) [2084667] - usb: dwc3: gadget: Give some time to schedule isoc (Torez Smith) [2084667] - usb: dwc3: core: do not use 3.0 clock when operating in 2.0 mode (Torez Smith) [2084667] - dt-bindings: usb: add rk3568 compatible to rockchip, dwc3 (Torez Smith) [2084667] - usb: dwc3: imx8mp: Add support for setting SOC specific flags (Torez Smith) [2084667] - dt-bindings: usb: dwc3-imx8mp: Add imx8mp specific flags (Torez Smith) [2084667] - usb: dwc3: imx8mp: rename iomem base pointer (Torez Smith) [2084667] - usb: dwc3-meson-g12a: constify drvdata structs (Torez Smith) [2084667] - usb: raw-gadget: return -EINVAL if no proper ep address available (Torez Smith) [2084667] - dt-bindings: usb: hcd: correct usb-device path (Torez Smith) [2084667] - usb: raw-gadget: use kzalloc (Torez Smith) [2084667] - usb: gadget: udc: fix typos in comments (Torez Smith) [2084667] - media: xc2028: rename the driver from tuner-xc2028 (Torez Smith) [2084667] - USB: serial: usb_wwan: remove redundant assignment to variable i (Torez Smith) [2084667] - USB: serial: pl2303: fix GS type detection (Torez Smith) [2084667] - media: hdpvr: initialize dev->worker at hdpvr_register_videodev (Torez Smith) [2084667] - media: stk1160: use dma_alloc_noncontiguous API (Torez Smith) [2084667] - media: stk1160: move transfer_buffer and urb to same struct 'stk1160_urb' (Torez Smith) [2084667] - media: stk1160: If start stream fails, return buffers with VB2_BUF_STATE_QUEUED (Torez Smith) [2084667] - media: stk1160: fix number of buffers in case not all buffers are created (Torez Smith) [2084667] - media: Revert "media: em28xx: add missing em28xx_close_extension" (Torez Smith) [2084667] - thunderbolt: Rename EEPROM handling bits to match USB4 spec (Torez Smith) [2084667] - thunderbolt: Clarify register definitions for `tb_cap_plug_events` (Torez Smith) [2084667] - USB: serial: pl2303: add IBM device IDs (Torez Smith) [2084667] - usb: host: xhci: Remove some unnecessary return value initializations (Torez Smith) [2084667] - usb: host: xhci: add blank line in xhci_halt() (Torez Smith) [2084667] - usb: host: xhci: update hci_version operation in xhci_gen_setup() (Torez Smith) [2084667] - usb: host: xhci: fix a comment typo in xhci_mem_init() (Torez Smith) [2084667] - usb: host: xhci: use ffs() in xhci_mem_init() (Torez Smith) [2084667] - xhci: fix runtime PM imbalance in USB2 resume (Torez Smith) [2084667] - xhci: fix uninitialized string returned by xhci_decode_ctrl_ctx() (Torez Smith) [2084667] - xhci: fix garbage USBSTS being logged in some cases (Torez Smith) [2084667] - xhci: make xhci_handshake timeout for xhci_reset() adjustable (Torez Smith) [2084667] - usb: typec: tipd: Forward plug orientation to typec subsystem (Torez Smith) [2084667] - usb: Drop commas after SoC match table sentinels (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: convert to dtschema (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-dwc3: convert to dtschema (Torez Smith) [2084667] - USB: serial: simple: add Nokia phone driver (Torez Smith) [2084667] - ACPI: bus: Introduce acpi_bus_for_each_dev() (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add disable-over-current (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add iommus (Torez Smith) [2084667] - dt-bindings: usb: dwc2: fix compatible of Intel Agilex (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/V2L bindings (Torez Smith) [2084667] - USB: serial: make use of UART_LCR_WLEN() + tty_get_char_size() (Torez Smith) [2084667] - USB: core: Update kerneldoc for usb_get_dev() and usb_get_intf() (Torez Smith) [2084667] - Revert "usb: host: xhci: mvebu: make USB 3.0 PHY optional for Armada 3720" (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add msm8953 compatible (Torez Smith) [2084667] - usb: misc: USB_QCOM_EUD should depend on ARCH_QCOM (Torez Smith) [2084667] - xhci: omit mem read just after allocation of trb (Torez Smith) [2084667] - usb: host: ehci-q: make qtd_fill() return *unsigned int* (Torez Smith) [2084667] - scsi: usb: Stop using the SCSI pointer (Torez Smith) [2084667] - scsi: Remove drivers/scsi/scsi.h (Torez Smith) [2084667] - dt-bindings: usb: do not use deprecated synopsys prefix (Torez Smith) [2084667] - stkwebcam: add new Asus laptop to upside_down table (Torez Smith) [2084667] - media: usb: go7007: s2250-board: fix leak in probe() (Torez Smith) [2084667] - media: gspca: remove redundant assignment of variable n (Torez Smith) [2084667] - media: go7007: Constify static struct snd_device_ops (Torez Smith) [2084667] - media: em28xx: initialize refcount before kref_get (Torez Smith) [2084667] - media: usb: pwc-uncompress: Use struct_size() helper in pwc_decompress() (Torez Smith) [2084667] - thunderbolt: Drop duplicate NULL checks around nvmem_unregister() (Torez Smith) [2084667] - usb: dwc3: pci: Also apply Bay Trail GPIO mappings to ulpi-device (Torez Smith) [2084667] - usb: dwc3: pci: Set "linux,phy_charger_detect" property on some Bay Trail boards (Torez Smith) [2084667] - usb: dwc3: pci: Set the swnode from inside dwc3_pci_quirks() (Torez Smith) [2084667] - usb: typec: Support the WUSB3801 port controller (Torez Smith) [2084667] - usb: typec: Factor out non-PD fwnode properties (Torez Smith) [2084667] - dt-bindings: usb: Add WUSB3801 Type-C Port Controller (Torez Smith) [2084667] - usb: xhci: fix minmax.cocci warnings (Torez Smith) [2084667] - usb: host: xhci: drop redundant checks (Torez Smith) [2084667] - usb: remove Link Powermanagement (LPM) disable before port reset. (Torez Smith) [2084667] - xhci: Allocate separate command structures for each LPM command (Torez Smith) [2084667] - xhci: dbgtty: use IDR to support several dbc instances. (Torez Smith) [2084667] - xhci: dbc: Don't call dbc_tty_init() on every dbc tty probe (Torez Smith) [2084667] - xhci: dbc: Rename xhci_dbc_init and xhci_dbc_exit (Torez Smith) [2084667] - xhci: dbc: create and remove dbc structure in dbgtty driver. (Torez Smith) [2084667] - xhci: dbc: refactor xhci_dbc_init() (Torez Smith) [2084667] - usb: dwc3: drd: Don't check against CONFIG_OF (Torez Smith) [2084667] - usb: host: xhci-mtk: Simplify supplies handling with regulator_bulk (Torez Smith) [2084667] - media: uvcvideo: Add support for Apple T2-attached FaceTime HD Camera (Torez Smith) [2084667] - usb: host: ehci-platform: Update brcm, xgs-iproc-ehci workaround (Torez Smith) [2084667] - usb: host: {e|o}hci-dbg: kill useless 'ret' variable initializers (Torez Smith) [2084667] - usbip: vudc: Make use of the helper macro LIST_HEAD() (Torez Smith) [2084667] - usb: ulpi: Add debugfs support (Torez Smith) [2084667] - usb: misc: eud: Add driver support for Embedded USB Debugger(EUD) (Torez Smith) [2084667] - bindings: usb: dwc3: Update dwc3 properties for EUD connector (Torez Smith) [2084667] - usb: typec: rt1719: Add support for Richtek RT1719 (Torez Smith) [2084667] - dt-bindings: usb: rt1719: Add binding for Richtek RT1719 (Torez Smith) [2084667] - usb: xhci-mtk: add support ip-sleep wakeup for mt8195 (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add support ip-sleep for mt8195 (Torez Smith) [2084667] - usb: dwc2: Add platform specific data for Intel's Agilex (Torez Smith) [2084667] - USB: usbfs: Use a spinlock instead of atomic accesses to tally used memory. (Torez Smith) [2084667] - usb: ehci: add pci device support for Aspeed platforms (Torez Smith) [2084667] - usb: dwc3: Program GFLADJ (Torez Smith) [2084667] - usb: dwc3: Calculate REFCLKPER based on reference clock (Torez Smith) [2084667] - usb: dwc3: Get clocks individually (Torez Smith) [2084667] - dt-bindings: usb: dwc3: Deprecate snps,ref-clock-period-ns (Torez Smith) [2084667] - scsi: usb: storage: Complete the SCSI request directly (Torez Smith) [2084667] - usb: gadget: f_uac2: Neaten and reduce size of afunc_validate_opts (Torez Smith) [2084667] - usb: gadget: f_uac2: change maxpctksize/maxpcktsize to wMaxPacketSize (Torez Smith) [2084667] - thunderbolt: Replace acpi_bus_get_device() (Torez Smith) [2084667] - thunderbolt: Add internal xHCI connect flows for Thunderbolt 3 devices (Torez Smith) [2084667] - thunderbolt: Add missing device ID to tb_switch_is_alpine_ridge() (Torez Smith) [2084667] - thunderbolt: Disable LTTPR on Intel Titan Ridge (Torez Smith) [2084667] - usb: gadget: f_uac2: Add speed names to bInterval dbg/warn (Torez Smith) [2084667] - usb: gadget: f_uac2: Optionally determine bInterval for HS and SS (Torez Smith) [2084667] - usb: gadget: audio: Add HS/SS bInterval params for UAC2 (Torez Smith) [2084667] - usb: gadget: f_uac2: Add HS/SS bInterval to configfs (Torez Smith) [2084667] - usb: udc: Fix typo in comment (Torez Smith) [2084667] - USB: ACPI: Replace acpi_bus_get_device() (Torez Smith) [2084667] - usb: gadget: f_uac2: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: Add suspend callback (Torez Smith) [2084667] - usb: gadget: f_uac2: Add suspend callback (Torez Smith) [2084667] - usb: gadget: u_audio: Add suspend call (Torez Smith) [2084667] - usb: gadget: u_audio: Rate ctl notifies about current srate (0=stopped) (Torez Smith) [2084667] - usb: gadget: f_uac1: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: f_uac2: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: u_audio: Add capture/playback srate getter (Torez Smith) [2084667] - usb: gadget: u_audio: Move dynamic srate from params to rtd (Torez Smith) [2084667] - usb: gadget: u_audio: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget:audio: Replace deprecated macro S_IRUGO (Torez Smith) [2084667] - usb: gadget: f_mass_storage: Make CD-ROM emulation work with Mac OS-X (Torez Smith) [2084667] - usb: xhci-mtk: Use struct_size() helper in create_sch_ep() (Torez Smith) [2084667] - USB: hcd-pci: Use PCI_STD_NUM_BARS when checking standard BARs (Torez Smith) [2084667] - usb: gadget: f_fs: Use struct_size() and flex_array_size() helpers (Torez Smith) [2084667] - usb: host: fotg210: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: core: Bail out when port is stuck in reset loop (Torez Smith) [2084667] - usb: gadget: f_phonet: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: host: xhci-plat: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - dt-bindings: usb: add bindings for microchip mpfs musb (Torez Smith) [2084667] - scsi: usb: Call scsi_done() directly (Torez Smith) [2084667] - usb: cdnsp: remove not used temp_64 variables (Torez Smith) [2084667] - usb: cdnsp: fix cdnsp_decode_trb function to properly handle ret value (Torez Smith) [2084667] - usb: dwc3: drd: Add support for usb-conn-gpio based usb-role-switch (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Fix control endpoint's definitions (Torez Smith) [2084667] - usb: gadget: f_serial: Ensure gserial disconnected during unbind (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Do not program SPARAM (Torez Smith) [2084667] - usb: host: ehci-sched: Use struct_size() in kzalloc() (Torez Smith) [2084667] - thunderbolt: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - usb: enable Design Ware Drivers (Torez Smith) [2084667] - tty: serial: define UART_LCR_WLEN() macro (Torez Smith) [2084667] - media: gspca: make array regs_to_read static const (Torez Smith) [2084667] - block: Fix handling of offline queues in blk_mq_alloc_request_hctx() (Ming Lei) [2108481] - nvme: fix RCU hole that allowed for endless looping in multipath round robin (Gopal Tiwari) [2108624] - vdpa/mlx5: Use consistent RQT size (Cindy Lu) [2063693] - vdpa/mlx5: add validation for VIRTIO_NET_CTRL_MQ_VQ_PAIRS_SET command (Cindy Lu) [2063693] - vdpa/mlx5: should verify CTRL_VQ feature exists for MQ (Cindy Lu) [2063693] - fscache: Avoid ASSERTCMP if two threads race into fscache_disable_cookie (Dave Wysochanski) [2073727] - blk-mq: don't create hctx debugfs dir until q->debugfs_dir is created (Ming Lei) [2105622] - redhat: enable CONFIG_MAXLINEAR_GPHY as module (Petr Oros) [2071857] - net: phy: enhance GPY115 loopback disable function (Petr Oros) [2071857] - net: phy: add Maxlinear GPY115/21x/24x driver (Petr Oros) [2071857] - net: phy: add API to read 802.3-c45 IDs (Petr Oros) [2071857] Resolves: rhbz#2096788, rhbz#2110576, rhbz#2087560, rhbz#2110097, rhbz#2109349, rhbz#2084667, rhbz#2108481, rhbz#2108624, rhbz#2063693, rhbz#2073727, rhbz#2105622, rhbz#2071857 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 17:45:03 +00:00
CONFIG_TYPEC_RT1719=m
# CONFIG_TYPEC_STUSB160X is not set
CONFIG_TYPEC_TCPCI=m
# CONFIG_TYPEC_TCPCI_MAXIM is not set
CONFIG_TYPEC_TCPM=m
CONFIG_TYPEC_TPS6598X=m
CONFIG_TYPEC_UCSI=y
kernel-5.14.0-138.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-138.el9] - tools/testing/nvdimm: Fix security_init() symbol collision (Jeff Moyer) [2096788] - NFSD: Move fill_pre_wcc() and fill_post_wcc() (Benjamin Coddington) [2110576] - Revert "nfsd: skip some unnecessary stats in the v4 case" (Benjamin Coddington) [2110576] - Documentation: fix udp_wmem_min in ip-sysctl.rst (Xin Long) [2087560] - redhat/configs/common: Enable CONFIG_LZ4_COMPRESS (Andrew Walsh) [2110097] - net: bonding: fix use-after-free after 802.3ad slave unbind (Jonathan Toppins) [2109349] - net: bonding: fix possible NULL deref in rlb code (Jonathan Toppins) [2109349] - bonding: guard ns_targets by CONFIG_IPV6 (Jonathan Toppins) [2109349] - bonding: show NS IPv6 targets in proc master info (Jonathan Toppins) [2109349] - bonding: NS target should accept link local address (Jonathan Toppins) [2109349] - bonding: combine netlink and console error messages (Jonathan Toppins) [2109349] - usb: chipidea: udc: check request status before setting device address (Torez Smith) [2084667] - USB: gadget: Fix double-free bug in raw_gadget driver (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Meteor Lake xHCI (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Raptor Lake xHCI (Torez Smith) [2084667] - xhci: turn off port power in shutdown (Torez Smith) [2084667] - xhci: Keep interrupt disabled in initialization until host is running. (Torez Smith) [2084667] - USB: serial: option: add Quectel RM500K module support (Torez Smith) [2084667] - USB: serial: option: add Quectel EM05-G modem (Torez Smith) [2084667] - USB: serial: pl2303: add support for more HXN (G) types (Torez Smith) [2084667] - usb: typec: wcove: Drop wrong dependency to INTEL_SOC_PMIC (Torez Smith) [2084667] - usb: gadget: uvc: fix list double add in uvcg_video_pump (Torez Smith) [2084667] - dt-bindings: usb: ehci: Increase the number of PHYs (Torez Smith) [2084667] - dt-bindings: usb: ohci: Increase the number of PHYs (Torez Smith) [2084667] - usb: gadget: Fix non-unique driver names in raw-gadget driver (Torez Smith) [2084667] - USB: serial: option: add Telit LE910Cx 0x1250 composition (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->ep safe in ffs_epfile_io() (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->status safe in ffs_epfile_io() (Torez Smith) [2084667] - xhci: Fix null pointer dereference in resume if xhci has only one roothub (Torez Smith) [2084667] - USB: fixup for merge issue with "usb: dwc3: Don't switch OTG -> peripheral if extcon is present" (Torez Smith) [2084667] - usb: cdnsp: Fixed setting last_trb incorrectly (Torez Smith) [2084667] - usb: gadget: u_ether: fix regression in setting fixed MAC address (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: Fix refcount leak in lpc32xx_udc_probe (Torez Smith) [2084667] - usb: dwc2: Fix memory leak in dwc2_hcd_init (Torez Smith) [2084667] - usb: dwc3: gadget: Fix IN endpoint max packet size allocation (Torez Smith) [2084667] - docs: usb: fix literal block marker in usbmon verification example (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV31 with new baseline (Torez Smith) [2084667] - USB: serial: io_ti: add Agilent E5805A support (Torez Smith) [2084667] - dt-bindings: reset: update st,stih407-powerdown.yaml references (Torez Smith) [2084667] - dt-bindings: Update QCOM USB subsystem maintainer information (Torez Smith) [2084667] - dt-bindings: usb: snps,dwc3: Add missing 'dma-coherent' property (Torez Smith) [2084667] - usb: typec: ucsi: acpi: fix a NULL vs IS_ERR() check in probe (Torez Smith) [2084667] - USB: new quirk for Dell Gen 2 devices (Torez Smith) [2084667] - tty: remove CMSPAR ifdefs (Torez Smith) [2084667] - usb: dwc3: core: Add error log when core soft reset failed (Torez Smith) [2084667] - usb: dwc3: gadget: Move null pinter check to proper place (Torez Smith) [2084667] - usb: hub: Simplify error and success path in port_over_current_notify (Torez Smith) [2084667] - usb: cdns3: allocate TX FIFO size according to composite EP number (Torez Smith) [2084667] - usb: dwc3: Fix ep0 handling when getting reset while doing control transfer (Torez Smith) [2084667] - usb: Probe EHCI, OHCI controllers asynchronously (Torez Smith) [2084667] - usb: isp1760: Fix out-of-bounds array access (Torez Smith) [2084667] - xhci: Don't defer primary roothub registration if there is only one roothub (Torez Smith) [2084667] - USB: serial: option: add Quectel BG95 modem (Torez Smith) [2084667] - USB: serial: pl2303: fix type detection for odd device (Torez Smith) [2084667] - dt-bindings: usb: ci-hdrc-usb2: fix node node for ethernet controller (Torez Smith) [2084667] - media: stkwebcam: move stk_camera_read_reg() scratch buffer to struct stk_camera (Torez Smith) [2084667] - media: pvrusb2: fix array-index-out-of-bounds in pvr2_i2c_core_init (Torez Smith) [2084667] - media: gspca: make the read-only array table static const (Torez Smith) [2084667] - usb: xhci-mtk: remove bandwidth budget table (Torez Smith) [2084667] - usb: xhci-mtk: fix fs isoc's transfer error (Torez Smith) [2084667] - usb: gadget: fix race when gadget driver register via ioctl (Torez Smith) [2084667] - usb: typec: tcpci_mt6360: Update for BMC PHY setting (Torez Smith) [2084667] - xhci: Allow host runtime PM as default for Intel Alder Lake N xHCI (Torez Smith) [2084667] - xhci: Remove quirk for over 10 year old evaluation hardware (Torez Smith) [2084667] - xhci: prevent U2 link power state if Intel tier policy prevented U1 (Torez Smith) [2084667] - xhci: use generic command timer for stop endpoint commands. (Torez Smith) [2084667] - usb: host: xhci-plat: omit shared hcd if either root hub has no ports (Torez Smith) [2084667] - usb: host: xhci-plat: prepare operation w/o shared hcd (Torez Smith) [2084667] - usb: host: xhci-plat: create shared hcd after having added main hcd (Torez Smith) [2084667] - xhci: prepare for operation w/o shared hcd (Torez Smith) [2084667] - xhci: factor out parts of xhci_gen_setup() (Torez Smith) [2084667] - xhci: Set HCD flag to defer primary roothub registration (Torez Smith) [2084667] - usb: core: hcd: Add support for deferring roothub registration (Torez Smith) [2084667] - usb: host: ehci-xilinx: adding description for return value (Torez Smith) [2084667] - usb: gadget: udc: Remove useless variable assignment in xudc_read_fifo() (Torez Smith) [2084667] - USB: c67x00: remove unnecessary check of res (Torez Smith) [2084667] - usb: host: ehci-xilinx: Fix quoted string split across lines (Torez Smith) [2084667] - USB / dwc3: Fix a checkpatch warning in core.c (Torez Smith) [2084667] - thunderbolt: Add KUnit test for devices with no DisplayPort adapters (Torez Smith) [2084667] - thunderbolt: Fix buffer allocation of devices with no DisplayPort adapters (Torez Smith) [2084667] - USB: gadget: Add ID numbers to gadget names (Torez Smith) [2084667] - media: uvcvideo: Add UVC_GUID_FORMAT_H265 (Torez Smith) [2084667] - media: uvcvideo: Undup use uvc_endpoint_max_bpi() code (Torez Smith) [2084667] - media: uvcvideo: Simplify uvc_endpoint_max_bpi() (Torez Smith) [2084667] - media: uvcvideo: Fix memory leak if uvc_ctrl_add_mapping fails (Torez Smith) [2084667] - media: uvcvideo: Fix bit overflow in uvc_probe_video (Torez Smith) [2084667] - media: uvcvideo: Fix missing check to determine if element is found in list (Torez Smith) [2084667] - media: docs: media: uvcvideo: Use linux-media mailing list (Torez Smith) [2084667] - usb: gadget: uvc: allow for application to cleanly shutdown (Torez Smith) [2084667] - usb: typec: tcpci: Don't skip cleanup in .remove() on error (Torez Smith) [2084667] - usb: cdc-wdm: fix reading stuck on device close (Torez Smith) [2084667] - usb: gadget: uvc: track frames in format entries (Torez Smith) [2084667] - usb: gadget: uvc: move structs to common header (Torez Smith) [2084667] - usb: gadget: uvc: prevent index variables to start from 0 (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: fix clock matching (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: add IPQ8074, MSM8994, QCS404 and SM6125 (Torez Smith) [2084667] - usb: dwc3: gadget: Delay issuing End Transfer (Torez Smith) [2084667] - usb: dwc3: gadget: Only End Transfer for ep0 data phase (Torez Smith) [2084667] - usb: dwc3: ep0: Don't prepare beyond Setup stage (Torez Smith) [2084667] - usb: dwc3: gadget: Don't modify GEVNTCOUNT in pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Refactor pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Prevent repeat pullup() (Torez Smith) [2084667] - usb: dwc3: xilinx: Add gpio-reset support (Torez Smith) [2084667] - dt-bindings: usb: dwc3-xilinx: add optional property reset-gpios (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add binding for SDX65 (Torez Smith) [2084667] - dt-bindings: usb: da8xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - dt-bindings: usb: am33xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - usb: dwc3: remove a possible unnecessary 'out of memory' message (Torez Smith) [2084667] - usb: dwc3: host: Stop setting the ACPI companion (Torez Smith) [2084667] - usb: core: acpi: Use the sysdev pointer instead of controller device (Torez Smith) [2084667] - dt-bindings: usb: mediatek,mtu3: add binding for MT8195 SoC (Torez Smith) [2084667] - usb: ehci-omap: drop unused ehci_read() function (Torez Smith) [2084667] - USB: gadget: Fix return of -EBUSY (Torez Smith) [2084667] - usb: dwc2: gadget: don't reset gadget's driver->bus (Torez Smith) [2084667] - USB: serial: qcserial: add support for Sierra Wireless EM7590 (Torez Smith) [2084667] - USB: serial: ftdi_sio: clean up printk format specifier (Torez Smith) [2084667] - USB: serial: option: add Fibocom MA510 modem (Torez Smith) [2084667] - USB: serial: option: add Fibocom L610 modem (Torez Smith) [2084667] - USB: serial: pl2303: add device id for HP LM930 Display (Torez Smith) [2084667] - thunderbolt: Add support for XDomain lane bonding (Torez Smith) [2084667] - thunderbolt: Ignore port locked error in tb_port_wait_for_link_width() (Torez Smith) [2084667] - thunderbolt: Split setting link width and lane bonding into own functions (Torez Smith) [2084667] - thunderbolt: Move tb_port_state() prototype to correct place (Torez Smith) [2084667] - thunderbolt: Add debug logging when lane is enabled/disabled (Torez Smith) [2084667] - Revert "usb: misc: Add onboard_usb_hub driver" (Torez Smith) [2084667] - Revert "usb: core: hcd: Create platform devices for onboard hubs in probe()" (Torez Smith) [2084667] - thunderbolt: Link USB4 ports to their USB Type-C connectors (Torez Smith) [2084667] - thunderbolt: Make iommu_dma_protection more accurate (Torez Smith) [2084667] - iommu: Add capability for pre-boot DMA protection (Torez Smith) [2084667] - iommu: Introduce device_iommu_capable() (Torez Smith) [2084667] - usb: typec: ucsi: acpi: Map the mailbox with memremap() (Torez Smith) [2084667] - usb: phy: generic: Get the vbus supply (Torez Smith) [2084667] - usb: dwc3: gadget: Return proper request status (Torez Smith) [2084667] - usb: core: hcd: Create platform devices for onboard hubs in probe() (Torez Smith) [2084667] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2084667] - USB: gadget: Add a new bus for gadgets (Torez Smith) [2084667] - USB: gadget: Fix mistakes in UDC core kerneldoc (Torez Smith) [2084667] - USB: gadget: Register udc before gadget (Torez Smith) [2084667] - USB: gadget: Rename usb_gadget_probe_driver() (Torez Smith) [2084667] - usb: core: devices: drop redundant buffer overflow checks (Torez Smith) [2084667] - dt-bindings: usb: generic-ohci: Add HPE GXP ohci binding (Torez Smith) [2084667] - usb: Enable various new TypeC drivers. (Torez Smith) [2084667] - dt-bindings: usb: generic-ehci: Add HPE GXP ehci binding (Torez Smith) [2084667] - usb: typec: mux: Add On Semi fsa4480 driver (Torez Smith) [2084667] - dt-bindings: usb: Add binding for fcs,fsa4480 (Torez Smith) [2084667] - usb: typec: mux: Allow multiple mux_devs per mux (Torez Smith) [2084667] - usb: typec: mux: Introduce indirection (Torez Smith) [2084667] - usb: typec: mux: Check dev_set_name() return value (Torez Smith) [2084667] - device property: Add helper to match multiple connections (Torez Smith) [2084667] - usb: dwc3: pci: add support for the Intel Meteor Lake-P (Torez Smith) [2084667] - usb: typec: ucsi: Wait for the USB role switches (Torez Smith) [2084667] - usb: typec: ucsi: add a common function ucsi_unregister_connectors() (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/G2UL bindings (Torez Smith) [2084667] - testusb: Fix warning comparing pointer to 0 (Torez Smith) [2084667] - USB: hcd-pci: Fully suspend across freeze/thaw cycle (Torez Smith) [2084667] - usb: deprecate the third argument of usb_maxpacket() (Torez Smith) [2084667] - usb: dwc3: pci: Fix pm_runtime_get_sync() error checking (Torez Smith) [2084667] - USB: core: Disable remote wakeup for freeze/quiesce (Torez Smith) [2084667] - drivers: usb: host: Fix deadlock in oxu_bus_suspend() (Torez Smith) [2084667] - usb: dwc3: gadget: Replace list_for_each_entry_safe() if using giveback (Torez Smith) [2084667] - usb: dwc3: EP clear halt leading to clearing of delayed_status (Torez Smith) [2084667] - usb: dwc3: core: Only handle soft-reset in DCTL (Torez Smith) [2084667] - usb: dwc3: Don't switch OTG -> peripheral if extcon is present (Torez Smith) [2084667] - usb: gadget: configfs: clear deactivation flag in configfs_composite_unbind() (Torez Smith) [2084667] - usb: misc: eud: Fix an error handling path in eud_probe() (Torez Smith) [2084667] - usb: musb: mediatek: Use clk_bulk API to simplify clock operations (Torez Smith) [2084667] - USB: dwc2: Add OTG support for Ingenic SoCs. (Torez Smith) [2084667] - dt-bindings: dwc2: Add bindings for new Ingenic SoCs. (Torez Smith) [2084667] - usb: gadget: net2272: clean up comments (Torez Smith) [2084667] - usb: core: devices: remove dead code under #ifdef PROC_EXTRA (Torez Smith) [2084667] - USB: omap_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: clean up comments (Torez Smith) [2084667] - usb: gadget: tegra-xudc: clean up comments (Torez Smith) [2084667] - usb: musb: Fix missing of_node_put() in omap2430_probe (Torez Smith) [2084667] - usb: gadget: f_acm: add support for USB_CDC_REQ_SEND_BREAK (Torez Smith) [2084667] - usb: dwc3: gadget: increase tx fifo size for ss isoc endpoints (Torez Smith) [2084667] - usb: host: ohci-omap: Make it CCF clk API compatible (Torez Smith) [2084667] - usb: gadget: omap_udc: Make it CCF clk API compatible (Torez Smith) [2084667] - USB / dwc3: Fix three doc-build warnings (Torez Smith) [2084667] - usb: core: Don't hold the device lock while sleeping in do_proc_control() (Torez Smith) [2084667] - usb: dwc3: Try usb-role-switch first in dwc3_drd_init (Torez Smith) [2084667] - usb: dwc3: core: Fix tx/rx threshold settings (Torez Smith) [2084667] - usb: mtu3: fix USB 3.0 dual-role-switch from device to host (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: add missing required reg (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: include usb-hcd schema (Torez Smith) [2084667] - USB: storage: karma: fix rio_karma_init return (Torez Smith) [2084667] - usb: gadget: net2280: use swap() instead of open coding it (Torez Smith) [2084667] - usb: gadget: u_audio: clean up some inconsistent indenting (Torez Smith) [2084667] - USB: host: isp116x: check return value after calling platform_get_resource() (Torez Smith) [2084667] - usb:oxu210hp-hcd: Use platform_get_irq() to get the interrupt (Torez Smith) [2084667] - usb-storage: isd200: fix initFunction error return (Torez Smith) [2084667] - usb-storage: shuttle_usbat: fix initFunction error return (Torez Smith) [2084667] - usb-storage: alauda: fix initFunction error return (Torez Smith) [2084667] - usb: Prepare cleanup of powerpc's asm/prom.h (Torez Smith) [2084667] - usb: usbip: add missing device lock on tweak configuration cmd (Torez Smith) [2084667] - usb: usbip: fix a refcount leak in stub_probe() (Torez Smith) [2084667] - xhci: Enable runtime PM on second Alderlake controller (Torez Smith) [2084667] - usb: dwc3: fix backwards compat with rockchip devices (Torez Smith) [2084667] - usb: misc: fix improper handling of refcount in uss720_probe() (Torez Smith) [2084667] - USB: Fix ehci infinite suspend-resume loop issue in zhaoxin (Torez Smith) [2084667] - usb: typec: tcpm: Fix undefined behavior due to shift overflowing the constant (Torez Smith) [2084667] - usb: typec: rt1719: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2084667] - usb: typec: ucsi: Fix role swapping (Torez Smith) [2084667] - usb: typec: ucsi: Fix reuse of completion structure (Torez Smith) [2084667] - usb: xhci: tegra:Fix PM usage reference leak of tegra_xusb_unpowergate_partitions (Torez Smith) [2084667] - drivers: usb: dwc3: Add AM62 USB wrapper driver (Torez Smith) [2084667] - dt-bindings: usb: Add documentation for AM62 USB Wrapper module (Torez Smith) [2084667] - usb: typec: tipd: Only update power status on IRQ (Torez Smith) [2084667] - usb: typec: mux: intel_pmc_mux: Add retry logic to a PMC command (Torez Smith) [2084667] - usb: gadget: uvc: improve sg exit condition (Torez Smith) [2084667] - usb: gadget: uvc: giveback vb2 buffer on req complete (Torez Smith) [2084667] - usb: gadget: uvc: rework uvcg_queue_next_buffer to uvcg_complete_buffer (Torez Smith) [2084667] - usb: gadget: uvc: remove pause flag use (Torez Smith) [2084667] - usb: gadget: uvc: allow changing interface name via configfs (Torez Smith) [2084667] - ARM: omap: dma: make usb support optional (Torez Smith) [2084667] - ARM: omap1: innovator: move ohci phy power handling to board file (Torez Smith) [2084667] - usb: omap: avoid mach/*.h headers (Torez Smith) [2084667] - ARM: omap1: move mach/usb.h to include/linux/soc (Torez Smith) [2084667] - USB: serial: whiteheat: fix heap overflow in WHITEHEAT_GET_DTR_RTS (Torez Smith) [2084667] - USB: serial: cp210x: add PIDs for Kamstrup USB Meter Reader (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV32-WA/MV32-WB (Torez Smith) [2084667] - usb: gadget: uvc: Fix crash when encoding data for usb request (Torez Smith) [2084667] - thunderbolt: Use different lane for second DisplayPort tunnel (Torez Smith) [2084667] - thunderbolt: Dump path config space entries during discovery (Torez Smith) [2084667] - thunderbolt: Use decimal number with port numbers (Torez Smith) [2084667] - thunderbolt: Fix typo in comment (Torez Smith) [2084667] - media: dvb-usb: dib0700_devices: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mc: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mb: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp7045: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp702x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: umt-010: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: ttusb2: use an enum for the device number (Torez Smith) [2084667] - media: technisat-usb2: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: pctv452e: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: opera1: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: nova-t-usb2: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: m920x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: gp8psk: use an enum for the device number (Torez Smith) [2084667] - media: dw2102: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dtv5100: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: dtt200u: use an enum for the device number (Torez Smith) [2084667] - media: digitv: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cxusb: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cinergyT2-core: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: az6027: use an enum for the device number (Torez Smith) [2084667] - media: af9005: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: a800: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: Add helper macros for using USB VID/PID (Torez Smith) [2084667] - media: dvb-usb: vp702x: reference to usb ID table (Torez Smith) [2084667] - media: dvb-usb: move USB IDs to dvb-usb-ids.h (Torez Smith) [2084667] - media: dvb-usb-ids.h: sort entries (Torez Smith) [2084667] - USB: quirks: add STRING quirk for VCOM device (Torez Smith) [2084667] - USB: quirks: add a Realtek card reader (Torez Smith) [2084667] - cdc_ether: export usbnet_cdc_zte_rx_fixup (Torez Smith) [2084667] - USB: serial: option: add Telit 0x1057, 0x1058, 0x1075 compositions (Torez Smith) [2084667] - usb: cdns3: Fix issue for clear halt endpoint (Torez Smith) [2084667] - xhci: increase usb U3 -> U0 link resume timeout from 100ms to 500ms (Torez Smith) [2084667] - xhci: stop polling roothubs after shutdown (Torez Smith) [2084667] - USB: Fix xhci event ring dequeue pointer ERDP update issue (Torez Smith) [2084667] - thunderbolt: test: use NULL macros (Torez Smith) [2084667] - thunderbolt: Replace usage of found with dedicated list iterator variable (Torez Smith) [2084667] - usb: gadget: fsl_qe_udc: Add missing semicolon in qe_ep_dequeue() (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add compatible for mt8186 (Torez Smith) [2084667] - usb: dwc3: Issue core soft reset before enabling run/stop (Torez Smith) [2084667] - usb: gadget: Makefile: remove ccflags-y (Torez Smith) [2084667] - USB: usb-storage: Fix use of bitfields for hardware data in ene_ub6250.c (Torez Smith) [2084667] - usb: gadget: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - usb: usbip: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - xen/usb: harden xen_hcd against malicious backends (Torez Smith) [2084667] - usb: dwc3: gadget: Wait for ep0 xfers to complete during dequeue (Torez Smith) [2084667] - usb: dwc3: gadget: move cmd_endtransfer to extra function (Torez Smith) [2084667] - usb: dwc3: gadget: ep_queue simplify isoc start condition (Torez Smith) [2084667] - xen/usb: don't use arbitrary_virt_to_machine() (Torez Smith) [2084667] - usb: common: usb-conn-gpio: Make VBUS supply completely optional (Torez Smith) [2084667] - USB: storage: ums-realtek: fix error code in rts51x_read_mem() (Torez Smith) [2084667] - usb: early: xhci-dbc: Fix xdbc number parsing (Torez Smith) [2084667] - usb: early: xhci-dbc: Remove duplicate keep parsing (Torez Smith) [2084667] - usb: gadget: udc: s3c2410: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: dummy_hcd: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: composite: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: replace usage of rc to check if a list element was found (Torez Smith) [2084667] - usb: gadget: composite: remove check of list iterator against head past the loop body (Torez Smith) [2084667] - usb: gadget: tegra-xudc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: udc: max3420_udc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: legacy: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: configfs: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: aspeed: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc-xilinx: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: omap_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: net2280: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: net2272: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: mv_udc_core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: mv_u3d: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: gr_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: goku_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: at91: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: pxa25x: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: atmel: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: bdc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: fsl: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: dwc3: pci: Add support for Intel Alder Lake (Torez Smith) [2084667] - usb: dwc3: omap: fix "unbalanced disables for smps10_out1" on omap5evm (Torez Smith) [2084667] - usb: dwc3: gadget: Give some time to schedule isoc (Torez Smith) [2084667] - usb: dwc3: core: do not use 3.0 clock when operating in 2.0 mode (Torez Smith) [2084667] - dt-bindings: usb: add rk3568 compatible to rockchip, dwc3 (Torez Smith) [2084667] - usb: dwc3: imx8mp: Add support for setting SOC specific flags (Torez Smith) [2084667] - dt-bindings: usb: dwc3-imx8mp: Add imx8mp specific flags (Torez Smith) [2084667] - usb: dwc3: imx8mp: rename iomem base pointer (Torez Smith) [2084667] - usb: dwc3-meson-g12a: constify drvdata structs (Torez Smith) [2084667] - usb: raw-gadget: return -EINVAL if no proper ep address available (Torez Smith) [2084667] - dt-bindings: usb: hcd: correct usb-device path (Torez Smith) [2084667] - usb: raw-gadget: use kzalloc (Torez Smith) [2084667] - usb: gadget: udc: fix typos in comments (Torez Smith) [2084667] - media: xc2028: rename the driver from tuner-xc2028 (Torez Smith) [2084667] - USB: serial: usb_wwan: remove redundant assignment to variable i (Torez Smith) [2084667] - USB: serial: pl2303: fix GS type detection (Torez Smith) [2084667] - media: hdpvr: initialize dev->worker at hdpvr_register_videodev (Torez Smith) [2084667] - media: stk1160: use dma_alloc_noncontiguous API (Torez Smith) [2084667] - media: stk1160: move transfer_buffer and urb to same struct 'stk1160_urb' (Torez Smith) [2084667] - media: stk1160: If start stream fails, return buffers with VB2_BUF_STATE_QUEUED (Torez Smith) [2084667] - media: stk1160: fix number of buffers in case not all buffers are created (Torez Smith) [2084667] - media: Revert "media: em28xx: add missing em28xx_close_extension" (Torez Smith) [2084667] - thunderbolt: Rename EEPROM handling bits to match USB4 spec (Torez Smith) [2084667] - thunderbolt: Clarify register definitions for `tb_cap_plug_events` (Torez Smith) [2084667] - USB: serial: pl2303: add IBM device IDs (Torez Smith) [2084667] - usb: host: xhci: Remove some unnecessary return value initializations (Torez Smith) [2084667] - usb: host: xhci: add blank line in xhci_halt() (Torez Smith) [2084667] - usb: host: xhci: update hci_version operation in xhci_gen_setup() (Torez Smith) [2084667] - usb: host: xhci: fix a comment typo in xhci_mem_init() (Torez Smith) [2084667] - usb: host: xhci: use ffs() in xhci_mem_init() (Torez Smith) [2084667] - xhci: fix runtime PM imbalance in USB2 resume (Torez Smith) [2084667] - xhci: fix uninitialized string returned by xhci_decode_ctrl_ctx() (Torez Smith) [2084667] - xhci: fix garbage USBSTS being logged in some cases (Torez Smith) [2084667] - xhci: make xhci_handshake timeout for xhci_reset() adjustable (Torez Smith) [2084667] - usb: typec: tipd: Forward plug orientation to typec subsystem (Torez Smith) [2084667] - usb: Drop commas after SoC match table sentinels (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: convert to dtschema (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-dwc3: convert to dtschema (Torez Smith) [2084667] - USB: serial: simple: add Nokia phone driver (Torez Smith) [2084667] - ACPI: bus: Introduce acpi_bus_for_each_dev() (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add disable-over-current (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add iommus (Torez Smith) [2084667] - dt-bindings: usb: dwc2: fix compatible of Intel Agilex (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/V2L bindings (Torez Smith) [2084667] - USB: serial: make use of UART_LCR_WLEN() + tty_get_char_size() (Torez Smith) [2084667] - USB: core: Update kerneldoc for usb_get_dev() and usb_get_intf() (Torez Smith) [2084667] - Revert "usb: host: xhci: mvebu: make USB 3.0 PHY optional for Armada 3720" (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add msm8953 compatible (Torez Smith) [2084667] - usb: misc: USB_QCOM_EUD should depend on ARCH_QCOM (Torez Smith) [2084667] - xhci: omit mem read just after allocation of trb (Torez Smith) [2084667] - usb: host: ehci-q: make qtd_fill() return *unsigned int* (Torez Smith) [2084667] - scsi: usb: Stop using the SCSI pointer (Torez Smith) [2084667] - scsi: Remove drivers/scsi/scsi.h (Torez Smith) [2084667] - dt-bindings: usb: do not use deprecated synopsys prefix (Torez Smith) [2084667] - stkwebcam: add new Asus laptop to upside_down table (Torez Smith) [2084667] - media: usb: go7007: s2250-board: fix leak in probe() (Torez Smith) [2084667] - media: gspca: remove redundant assignment of variable n (Torez Smith) [2084667] - media: go7007: Constify static struct snd_device_ops (Torez Smith) [2084667] - media: em28xx: initialize refcount before kref_get (Torez Smith) [2084667] - media: usb: pwc-uncompress: Use struct_size() helper in pwc_decompress() (Torez Smith) [2084667] - thunderbolt: Drop duplicate NULL checks around nvmem_unregister() (Torez Smith) [2084667] - usb: dwc3: pci: Also apply Bay Trail GPIO mappings to ulpi-device (Torez Smith) [2084667] - usb: dwc3: pci: Set "linux,phy_charger_detect" property on some Bay Trail boards (Torez Smith) [2084667] - usb: dwc3: pci: Set the swnode from inside dwc3_pci_quirks() (Torez Smith) [2084667] - usb: typec: Support the WUSB3801 port controller (Torez Smith) [2084667] - usb: typec: Factor out non-PD fwnode properties (Torez Smith) [2084667] - dt-bindings: usb: Add WUSB3801 Type-C Port Controller (Torez Smith) [2084667] - usb: xhci: fix minmax.cocci warnings (Torez Smith) [2084667] - usb: host: xhci: drop redundant checks (Torez Smith) [2084667] - usb: remove Link Powermanagement (LPM) disable before port reset. (Torez Smith) [2084667] - xhci: Allocate separate command structures for each LPM command (Torez Smith) [2084667] - xhci: dbgtty: use IDR to support several dbc instances. (Torez Smith) [2084667] - xhci: dbc: Don't call dbc_tty_init() on every dbc tty probe (Torez Smith) [2084667] - xhci: dbc: Rename xhci_dbc_init and xhci_dbc_exit (Torez Smith) [2084667] - xhci: dbc: create and remove dbc structure in dbgtty driver. (Torez Smith) [2084667] - xhci: dbc: refactor xhci_dbc_init() (Torez Smith) [2084667] - usb: dwc3: drd: Don't check against CONFIG_OF (Torez Smith) [2084667] - usb: host: xhci-mtk: Simplify supplies handling with regulator_bulk (Torez Smith) [2084667] - media: uvcvideo: Add support for Apple T2-attached FaceTime HD Camera (Torez Smith) [2084667] - usb: host: ehci-platform: Update brcm, xgs-iproc-ehci workaround (Torez Smith) [2084667] - usb: host: {e|o}hci-dbg: kill useless 'ret' variable initializers (Torez Smith) [2084667] - usbip: vudc: Make use of the helper macro LIST_HEAD() (Torez Smith) [2084667] - usb: ulpi: Add debugfs support (Torez Smith) [2084667] - usb: misc: eud: Add driver support for Embedded USB Debugger(EUD) (Torez Smith) [2084667] - bindings: usb: dwc3: Update dwc3 properties for EUD connector (Torez Smith) [2084667] - usb: typec: rt1719: Add support for Richtek RT1719 (Torez Smith) [2084667] - dt-bindings: usb: rt1719: Add binding for Richtek RT1719 (Torez Smith) [2084667] - usb: xhci-mtk: add support ip-sleep wakeup for mt8195 (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add support ip-sleep for mt8195 (Torez Smith) [2084667] - usb: dwc2: Add platform specific data for Intel's Agilex (Torez Smith) [2084667] - USB: usbfs: Use a spinlock instead of atomic accesses to tally used memory. (Torez Smith) [2084667] - usb: ehci: add pci device support for Aspeed platforms (Torez Smith) [2084667] - usb: dwc3: Program GFLADJ (Torez Smith) [2084667] - usb: dwc3: Calculate REFCLKPER based on reference clock (Torez Smith) [2084667] - usb: dwc3: Get clocks individually (Torez Smith) [2084667] - dt-bindings: usb: dwc3: Deprecate snps,ref-clock-period-ns (Torez Smith) [2084667] - scsi: usb: storage: Complete the SCSI request directly (Torez Smith) [2084667] - usb: gadget: f_uac2: Neaten and reduce size of afunc_validate_opts (Torez Smith) [2084667] - usb: gadget: f_uac2: change maxpctksize/maxpcktsize to wMaxPacketSize (Torez Smith) [2084667] - thunderbolt: Replace acpi_bus_get_device() (Torez Smith) [2084667] - thunderbolt: Add internal xHCI connect flows for Thunderbolt 3 devices (Torez Smith) [2084667] - thunderbolt: Add missing device ID to tb_switch_is_alpine_ridge() (Torez Smith) [2084667] - thunderbolt: Disable LTTPR on Intel Titan Ridge (Torez Smith) [2084667] - usb: gadget: f_uac2: Add speed names to bInterval dbg/warn (Torez Smith) [2084667] - usb: gadget: f_uac2: Optionally determine bInterval for HS and SS (Torez Smith) [2084667] - usb: gadget: audio: Add HS/SS bInterval params for UAC2 (Torez Smith) [2084667] - usb: gadget: f_uac2: Add HS/SS bInterval to configfs (Torez Smith) [2084667] - usb: udc: Fix typo in comment (Torez Smith) [2084667] - USB: ACPI: Replace acpi_bus_get_device() (Torez Smith) [2084667] - usb: gadget: f_uac2: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: Add suspend callback (Torez Smith) [2084667] - usb: gadget: f_uac2: Add suspend callback (Torez Smith) [2084667] - usb: gadget: u_audio: Add suspend call (Torez Smith) [2084667] - usb: gadget: u_audio: Rate ctl notifies about current srate (0=stopped) (Torez Smith) [2084667] - usb: gadget: f_uac1: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: f_uac2: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: u_audio: Add capture/playback srate getter (Torez Smith) [2084667] - usb: gadget: u_audio: Move dynamic srate from params to rtd (Torez Smith) [2084667] - usb: gadget: u_audio: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget:audio: Replace deprecated macro S_IRUGO (Torez Smith) [2084667] - usb: gadget: f_mass_storage: Make CD-ROM emulation work with Mac OS-X (Torez Smith) [2084667] - usb: xhci-mtk: Use struct_size() helper in create_sch_ep() (Torez Smith) [2084667] - USB: hcd-pci: Use PCI_STD_NUM_BARS when checking standard BARs (Torez Smith) [2084667] - usb: gadget: f_fs: Use struct_size() and flex_array_size() helpers (Torez Smith) [2084667] - usb: host: fotg210: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: core: Bail out when port is stuck in reset loop (Torez Smith) [2084667] - usb: gadget: f_phonet: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: host: xhci-plat: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - dt-bindings: usb: add bindings for microchip mpfs musb (Torez Smith) [2084667] - scsi: usb: Call scsi_done() directly (Torez Smith) [2084667] - usb: cdnsp: remove not used temp_64 variables (Torez Smith) [2084667] - usb: cdnsp: fix cdnsp_decode_trb function to properly handle ret value (Torez Smith) [2084667] - usb: dwc3: drd: Add support for usb-conn-gpio based usb-role-switch (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Fix control endpoint's definitions (Torez Smith) [2084667] - usb: gadget: f_serial: Ensure gserial disconnected during unbind (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Do not program SPARAM (Torez Smith) [2084667] - usb: host: ehci-sched: Use struct_size() in kzalloc() (Torez Smith) [2084667] - thunderbolt: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - usb: enable Design Ware Drivers (Torez Smith) [2084667] - tty: serial: define UART_LCR_WLEN() macro (Torez Smith) [2084667] - media: gspca: make array regs_to_read static const (Torez Smith) [2084667] - block: Fix handling of offline queues in blk_mq_alloc_request_hctx() (Ming Lei) [2108481] - nvme: fix RCU hole that allowed for endless looping in multipath round robin (Gopal Tiwari) [2108624] - vdpa/mlx5: Use consistent RQT size (Cindy Lu) [2063693] - vdpa/mlx5: add validation for VIRTIO_NET_CTRL_MQ_VQ_PAIRS_SET command (Cindy Lu) [2063693] - vdpa/mlx5: should verify CTRL_VQ feature exists for MQ (Cindy Lu) [2063693] - fscache: Avoid ASSERTCMP if two threads race into fscache_disable_cookie (Dave Wysochanski) [2073727] - blk-mq: don't create hctx debugfs dir until q->debugfs_dir is created (Ming Lei) [2105622] - redhat: enable CONFIG_MAXLINEAR_GPHY as module (Petr Oros) [2071857] - net: phy: enhance GPY115 loopback disable function (Petr Oros) [2071857] - net: phy: add Maxlinear GPY115/21x/24x driver (Petr Oros) [2071857] - net: phy: add API to read 802.3-c45 IDs (Petr Oros) [2071857] Resolves: rhbz#2096788, rhbz#2110576, rhbz#2087560, rhbz#2110097, rhbz#2109349, rhbz#2084667, rhbz#2108481, rhbz#2108624, rhbz#2063693, rhbz#2073727, rhbz#2105622, rhbz#2071857 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 17:45:03 +00:00
CONFIG_TYPEC_WUSB3801=m
CONFIG_TYPEC=y
# CONFIG_UACCE is not set
CONFIG_UAPI_HEADER_TEST=y
# CONFIG_UBIFS_FS is not set
# CONFIG_UBSAN is not set
# CONFIG_UCB1400_CORE is not set
# CONFIG_UCLAMP_TASK is not set
CONFIG_UCSI_ACPI=y
# CONFIG_UCSI_CCG is not set
kernel-5.14.0-189.el9 * Tue Nov 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-189.el9] - KVM: VMX: fully disable SGX if SECONDARY_EXEC_ENCLS_EXITING unavailable (Emanuele Giuseppe Esposito) [2139362] - selftests/vm: enable running select groups of tests (Nico Pache) [2089501] - mm: add merging after mremap resize (Nico Pache) [2089501] - selftest: vm: remove deleted local_config.* from .gitignore (Nico Pache) [2089501] - Kselftests: remove support of libhugetlbfs from kselftests (Nico Pache) [2089501] - selftests: vm: add hugetlb_shared userfaultfd test to run_vmtests.sh (Nico Pache) [2089501] - selftests/vm: add selftest to verify multi THP collapse (Nico Pache) [2089501] - selftests/vm: add selftest to verify recollapse of THPs (Nico Pache) [2089501] - selftests/vm: add MADV_COLLAPSE collapse context to selftests (Nico Pache) [2089501] - selftests/vm: dedup hugepage allocation logic (Nico Pache) [2089501] - selftests/vm: modularize collapse selftests (Nico Pache) [2089501] - tools/testing/selftests/vm/hugetlb-madvise.c: silence uninitialized variable warning (Nico Pache) [2089501] - selftests/vm: skip 128TBswitch on unsupported arch (Nico Pache) [2089501] - selftests/vm: fix va_128TBswitch.sh permissions (Nico Pache) [2089501] - selftests/vm: add protection_keys tests to run_vmtests (Nico Pache) [2089501] - selftests/vm: only run 128TBswitch with 5-level paging (Nico Pache) [2089501] - userfaultfd: selftests: infinite loop in faulting_process (Nico Pache) [2089501] - userfaultfd/selftests: fix typo in comment (Nico Pache) [2089501] - selftests: make use of GUP_TEST_FILE macro (Nico Pache) [2089501] - selftests: vm: Fix resource leak when return error (Nico Pache) [2089501] - selftests: vm: add the "settings" file with timeout variable (Nico Pache) [2089501] - selftests: vm: add "test_hmm.sh" to TEST_FILES (Nico Pache) [2089501] - selftests: vm: check numa_available() before operating "merge_across_nodes" in ksm_tests (Nico Pache) [2089501] - selftests: vm: add migration to the .gitignore (Nico Pache) [2089501] - selftests/vm/pkeys: fix typo in comment (Nico Pache) [2089501] - userfaultfd/selftests: use swap() instead of open coding it (Nico Pache) [2089501] - selftests/uffd: enable uffd-wp for shmem/hugetlbfs (Nico Pache) [2089501] - selftest/vm: test that mremap fails on non-existent vma (Nico Pache) [2089501] - selftests: clarify common error when running gup_test (Nico Pache) [2089501] - selftests: vm: fix shellcheck warnings in run_vmtests.sh (Nico Pache) [2089501] - selftests: vm: refactor run_vmtests.sh to reduce boilerplate (Nico Pache) [2089501] - tools/testing/selftests/vm/gup_test.c: clarify error statement (Nico Pache) [2089501] - mm: add selftests for migration entries (Nico Pache) [2089501] - selftests/x86/corrupt_xstate_header: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/x86/amx: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/vm/pkeys: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests: Provide local define of __cpuid_count() (Nico Pache) [2089501] - selftests: kselftest framework: provide "finished" helper (Nico Pache) [2089501] - userfaultfd/selftests: enable hugetlb remap and remove event testing (Nico Pache) [2089501] - selftests/vm: add hugetlb madvise MADV_DONTNEED MADV_REMOVE test (Nico Pache) [2089501] - userfaultfd/selftests: fix uninitialized_var.cocci warning (Nico Pache) [2089501] - selftests: vm: add a hugetlb test case (Nico Pache) [2089501] - selftests, x86: fix how check_cc.sh is being invoked (Nico Pache) [2089501] - selftests: vm: fix clang build error multiple output files (Nico Pache) [2089501] - kselftest/vm: fix tests build with old libc (Nico Pache) [2089501] - selftest/vm: fix map_fixed_noreplace test failure (Nico Pache) [2089501] - selftests: vm: remove dependecy from internal kernel macros (Nico Pache) [2089501] - selftests: vm: Add the uapi headers include variable (Nico Pache) [2089501] - kselftest/vm: revert "tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner" (Nico Pache) [2089501] - userfaultfd/selftests: clean up hugetlb allocation code (Nico Pache) [2089501] - selftests/uffd: allow EINTR/EAGAIN (Nico Pache) [2089501] - selftests/vm: make charge_reserved_hugetlb.sh work with existing cgroup setting (Nico Pache) [2089501] - tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner (Nico Pache) [2089501] - userfaultfd/selftests: fix hugetlb area allocations (Nico Pache) [2089501] - selftests/vm: remove ARRAY_SIZE define from individual tests (Nico Pache) [2089501] - selftests/vm: make MADV_POPULATE_(READ|WRITE) use in-tree headers (Nico Pache) [2089501] - selftests: vm: add KSM huge pages merging time test (Nico Pache) [2089501] - selftests/vm/transhuge-stress: fix ram size thinko (Nico Pache) [2089501] - remoteproc: imx_rproc: Simplify some error message (Steve Best) [2137983] - remoteproc: imx_rproc: Fix refcount leak in imx_rproc_addr_init (Steve Best) [2137983] - remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - remoteproc: imx_rproc: Ignore create mem entry for resource table (Steve Best) [2137983] - dt-bindings: remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - usb: dwc3: st: Rely on child's compatible instead of name (Torez Smith) [2113003] - usb: typec: anx7411: Use of_get_child_by_name() instead of of_find_node_by_name() (Torez Smith) [2113003] - media: airspy: fix memory leak in airspy probe (Torez Smith) [2113003] - uas: ignore UAS for Thinkplus chips (Torez Smith) [2113003] - usb-storage: Add Hiksemi USB3-FW to IGNORE_UAS (Torez Smith) [2113003] - uas: add no-uas quirk for Hiksemi usb_disk (Torez Smith) [2113003] - usb: dwc3: st: Fix node's child name (Torez Smith) [2113003] - usb: typec: ucsi: Remove incorrect warning (Torez Smith) [2113003] - usb: typec: anx7411: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2113003] - thunderbolt: Explicitly reset plug events delay back to USB4 spec value (Torez Smith) [2113003] - media: flexcop-usb: fix endpoint type check (Torez Smith) [2113003] - USB: serial: option: add Quectel RM520N (Torez Smith) [2113003] - USB: serial: option: add Quectel BG95 0x0203 composition (Torez Smith) [2113003] - thunderbolt: Add support for Intel Maple Ridge single port controller (Torez Smith) [2113003] - usb: dwc3: core: leave default DMA if the controller does not support 64-bit DMA (Torez Smith) [2113003] - USB: core: Fix RST error in hub.c (Torez Smith) [2113003] - Revert "usb: gadget: udc-xilinx: replace memcpy with memcpy_toio" (Torez Smith) [2113003] - kunit: fix Kconfig for build-in tests USB4 and Nitro Enclaves (Torez Smith) [2113003] - usb: storage: Add ASUS <0x0b05:0x1932> to IGNORE_UAS (Torez Smith) [2113003] - USB: serial: ch341: fix disabled rx timer on older devices (Torez Smith) [2113003] - USB: serial: ch341: fix lost character on LCR updates (Torez Smith) [2113003] - USB: serial: cp210x: add Decagon UCA device id (Torez Smith) [2113003] - Revert "usb: add quirks for Lenovo OneLink+ Dock" (Torez Smith) [2113003] - media: uvcvideo: Fix InterfaceProtocol for Quanta camera (Torez Smith) [2113003] - usb: cdns3: fix issue with rearming ISO OUT endpoint (Torez Smith) [2113003] - usb: cdns3: fix incorrect handling TRB_SMM flag for ISOC transfer (Torez Smith) [2113003] - usb: gadget: mass_storage: Fix cdrom data transfers on MAC-OS (Torez Smith) [2113003] - USB: core: Prevent nested device-reset calls (Torez Smith) [2113003] - USB: gadget: Fix obscure lockdep violation for udc_mutex (Torez Smith) [2113003] - usb: gadget: udc-xilinx: replace memcpy with memcpy_toio (Torez Smith) [2113003] - usb: typec: Remove retimers properly (Torez Smith) [2113003] - usb: dwc3: disable USB core PHY management (Torez Smith) [2113003] - usb: add quirks for Lenovo OneLink+ Dock (Torez Smith) [2113003] - USB: serial: option: add support for Cinterion MV32-WA/WB RmNet mode (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Omron CS1W-CIF31 device id (Torez Smith) [2113003] - USB: serial: option: add Quectel EM060K modem (Torez Smith) [2113003] - USB: serial: option: add support for OPPO R11 diag port (Torez Smith) [2113003] - Revert "xhci: turn off port power in shutdown" (Torez Smith) [2113003] - xhci: Add grace period after xHC start to prevent premature runtime suspend. (Torez Smith) [2113003] - xhci: Fix null pointer dereference in remove if xHC has only one roothub (Torez Smith) [2113003] - Revert "usb: typec: ucsi: add a common function ucsi_unregister_connectors()" (Torez Smith) [2113003] - usb: dwc3: qcom: suppress unused-variable warning (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add compatible for mt8188 (Torez Smith) [2113003] - USB: cdc-acm: Add Icom PMR F3400 support (0c26:0020) (Torez Smith) [2113003] - usb: gadget: f_uac2: fix superspeed transfer (Torez Smith) [2113003] - usb: xhci-mtk: fix bandwidth release issue (Torez Smith) [2113003] - usb: xhci-mtk: relax TT periodic bandwidth allocation (Torez Smith) [2113003] - usb: typec: altmodes/displayport: correct pin assignment for UFP receptacles (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Drop reset delay in onboard_hub_power_off() (Torez Smith) [2113003] - usb: dwc3: gadget: Avoid duplicate requests to enable Run/Stop (Torez Smith) [2113003] - usb: dwc3: pci: Add support for Intel Raptor Lake (Torez Smith) [2113003] - usb: typec: intel_pmc_mux: Add new ACPI ID for Meteor Lake IOM device (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: Add SM6375 compatible (Torez Smith) [2113003] - usb: dwc3: qcom: clean up suspend callbacks (Torez Smith) [2113003] - usb: dwc3: qcom: fix wakeup implementation (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add wakeup-source property (Torez Smith) [2113003] - usb: dwc3: qcom: fix peripheral and OTG suspend (Torez Smith) [2113003] - usb: dwc3: qcom: fix runtime PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix use-after-free on runtime-PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix gadget-only builds (Torez Smith) [2113003] - Revert "usb: dwc3: qcom: Keep power domain on to retain controller status" (Torez Smith) [2113003] - usb: dwc3: fix PHY disable sequence (Torez Smith) [2113003] - usb-storage: Add ignore-residue quirk for NXP PN7462AU (Torez Smith) [2113003] - usb: typec: tcpm: Return ENOTSUPP for power supply prop writes (Torez Smith) [2113003] - musb: fix USB_MUSB_TUSB6010 dependency (Torez Smith) [2113003] - thunderbolt: Check router generation before connecting xHCI (Torez Smith) [2113003] - thunderbolt: Use the actual buffer in tb_async_error() (Torez Smith) [2113003] - usb: cdns3: Don't use priv_dev uninitialized in cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb:udc: remove reference to AVR32 architecture in Atmel USBA Kconfig (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Remove duplicated power_on delay (Torez Smith) [2113003] - media: uvcvideo: Fix invalid pointer in uvc_ctrl_init_ctrl() (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add TI USB8041 hub support (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add reset-gpio support (Torez Smith) [2113003] - USB: usbsevseg: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - dt-bindings: usb: Add binding for TI USB8041 hub controller (Torez Smith) [2113003] - usb: misc: onboard-hub: add support for Microchip USB2514B USB 2.0 hub (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: allow usb-hcd schema properties (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add bootloader support (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: define config (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add support for stm32g0 controller (Torez Smith) [2113003] - dt-bindings: usb: typec: add bindings for stm32g0 controller (Torez Smith) [2113003] - usb: typec: ucsi: Acknowledge the GET_ERROR_STATUS command completion (Torez Smith) [2113003] - usb: cdns3: change place of 'priv_ep' assignment in cdns3_gadget_ep_dequeue(), cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb/chipidea: fix repeated words in comments (Torez Smith) [2113003] - usb: renesas-xhci: Do not print any log while fw verif success (Torez Smith) [2113003] - usb: typec: retimer: Add missing id check in match callback (Torez Smith) [2113003] - USB: xhci: Fix comment typo (Torez Smith) [2113003] - usb/typec/tcpm: fix repeated words in comments (Torez Smith) [2113003] - usb/musb: fix repeated words in comments (Torez Smith) [2113003] - usb/misc: fix repeated words in comments (Torez Smith) [2113003] - usb/image: fix repeated words in comments (Torez Smith) [2113003] - usb/host: fix repeated words in comments (Torez Smith) [2113003] - usb/core: fix repeated words in comments (Torez Smith) [2113003] - usb/atm: fix repeated words in comments (Torez Smith) [2113003] - USB: gadget: Fix use-after-free Read in usb_udc_uevent() (Torez Smith) [2113003] - USB: HCD: Fix URB giveback issue in tasklet function (Torez Smith) [2113003] - usb: xhci_plat_remove: avoid NULL dereference (Torez Smith) [2113003] - usb: typec: anx7411: fix passing zero to 'PTR_ERR' (Torez Smith) [2113003] - usb: typec: anx7411: Fix error return code in anx7411_i2c_probe() (Torez Smith) [2113003] - usb: typec: anx7411: Fix return value check in anx7411_register_i2c_dummy_clients() (Torez Smith) [2113003] - usb: typec: anx7411: Fix wrong pointer passed to PTR_ERR() (Torez Smith) [2113003] - usb: typec: anx7411: use semi-colons instead of commas (Torez Smith) [2113003] - usb: typec: anx7411: fix error checking in anx7411_get_gpio_irq() (Torez Smith) [2113003] - usb: typec: anx7411: Fix an array out of bounds (Torez Smith) [2113003] - usb: phy: remove redundant store to variable var after & operation (Torez Smith) [2113003] - USB: serial: fix tty-port initialized comments (Torez Smith) [2113003] - USB: serial: usb_wwan: replace DTR/RTS magic numbers with macros (Torez Smith) [2113003] - USB: gadget: f_acm: use CDC defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC serial-state defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC control-line defines (Torez Smith) [2113003] - USB: cdc: add serial-state defines (Torez Smith) [2113003] - USB: cdc: add control-signal defines (Torez Smith) [2113003] - net/cdc_ncm: Increase NTB max RX/TX values to 64kb (Torez Smith) [2113003] - media: uvcvideo: Remove unneeded goto (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Acer EasyCamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta cameras (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta UVC Webcam (Torez Smith) [2113003] - media: uvcvideo: Add support for per-device control mapping overrides (Torez Smith) [2113003] - media: uvcvideo: Add missing value for power_line_frequency (Torez Smith) [2113003] - media: uvcvideo: Replace broken URL (Torez Smith) [2113003] - media: uvcvideo: Fix comment blocks style (Torez Smith) [2113003] - usb: typec: Add retimer handle to port (Torez Smith) [2113003] - usb: typec: Add support for retimers (Torez Smith) [2113003] - usb: dwc3: qcom: fix missing optional irq warnings (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: refine interrupt requirements (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add SC8280XP binding (Torez Smith) [2113003] - usb: typec: anx7411: define config (Torez Smith) [2113003] - usb: typec: anx7411: Add Analogix PD ANX7411 support (Torez Smith) [2113003] - dt-bindings: usb: Add analogix anx7411 PD binding (Torez Smith) [2113003] - usb: dwc3: core: Do not perform GCTL_CORE_SOFTRESET during bootup (Torez Smith) [2113003] - usb: aspeed-vhub: Fix refcount leak bug in ast_vhub_init_desc() (Torez Smith) [2113003] - usb: ldusb: replace ternary operator with max_t() (Torez Smith) [2113003] - usb: dwc3: ep0: Properly handle setup_packet_pending scenario in data stage (Torez Smith) [2113003] - usb: misc: onboard_hub: Fix 'missing prototype' warning (Torez Smith) [2113003] - Revert "dt-bindings: usb: mtk-xhci: Make all clocks required" (Torez Smith) [2113003] - usb: gadget: f_mass_storage: forced_eject attribute (Torez Smith) [2113003] - usb: gadget: udc: amd5536 depends on HAS_DMA (Torez Smith) [2113003] - USB: serial: fix repeated word "the" in comments (Torez Smith) [2113003] - USB: serial: io_edgeport: fix spelling mistakes (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Belimo device ids (Torez Smith) [2113003] - thunderbolt: test: Use kunit_test_suite() macro (Torez Smith) [2113003] - media: pvrusb2: drop unexpected word 'a' in comments (Torez Smith) [2113003] - media: gspca: drop unexpected word 'is' in the comments (Torez Smith) [2113003] - usb: dwc3: gadget: fix high speed multiplier setting (Torez Smith) [2113003] - usb: dwc3: gadget: refactor dwc3_repare_one_trb (Torez Smith) [2113003] - drivers/usb/host/ehci-fsl: Fix interrupt setup in host mode. (Torez Smith) [2113003] - usb: gadget: uvc: fix changing interface name via configfs (Torez Smith) [2113003] - usb: typec: add missing uevent when partner support PD (Torez Smith) [2113003] - tools: usb: testusb: Add super-plus speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add super speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add wireless speed reporting (Torez Smith) [2113003] - usb: core: hub: Create platform devices for onboard hubs in hub_probe() (Torez Smith) [2113003] - usb: misc: Add config for onboard_usb_hub driver (Torez Smith) [2113003] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2113003] - dt-bindings: usb: rts5411: Rename property 'companion-hub' to 'peer-hub' (Torez Smith) [2113003] - usb: mtu3: support function remote wakeup (Torez Smith) [2113003] - usb: mtu3: check capability of usb3 dual role (Torez Smith) [2113003] - usb: typec: tcpci: move tcpci.h to include/linux/usb/ (Torez Smith) [2113003] - gadgetfs: ep_io - wait until IRQ finishes (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add compatible for mt8188 (Torez Smith) [2113003] - usb: gadget: udc: atmel: convert to platform driver (Torez Smith) [2113003] - usb: gadget: udc: atmel: check rc of devm_gpiod_get_optional() (Torez Smith) [2113003] - USB: gadget: udc: tracing: Do not open code __string() with __dynamic_array() (Torez Smith) [2113003] - ARM: sa1100/assabet: move dmabounce hack to ohci driver (Torez Smith) [2113003] - dt-bindings: usb: generic-ohci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: sunxi-musb: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: atmel: Add Microchip LAN9662 compatible string (Torez Smith) [2113003] - usb: host: xhci: use snprintf() in xhci_decode_trb() (Torez Smith) [2113003] - usb: dwc3-am62: remove unnecesary clk_put() (Torez Smith) [2113003] - usb: dwc3: gadget: Fix event pending check (Torez Smith) [2113003] - usb: gadget: f_mass_storage: Make CD-ROM emulation works with Windows OS (Torez Smith) [2113003] - USB: cdc-acm: use define for timeout (Torez Smith) [2113003] - usb: musb: mpfs: add missing clk_disable_unprepare() in mpfs_remove() (Torez Smith) [2113003] - usb: dwc3: gadget: fix a kernel-doc warning (Torez Smith) [2113003] - usb: dwc3: document async_callbacks field (Torez Smith) [2113003] - usb: typec_altmode: add a missing "@" at a kernel-doc parameter (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Make all clocks required (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Allow wakeup interrupt-names to be optional (Torez Smith) [2113003] - usb: core: sysfs: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - media: usb: gspca: aligned '*' each line (Torez Smith) [2113003] - media: hdpvr: fix error value returns in hdpvr_read (Torez Smith) [2113003] - media: em28xx: Remove useless license text when SPDX-License-Identifier is already used (Torez Smith) [2113003] - usb: gadget: u_ether: Remove duplicated include in u_ether.c (Torez Smith) [2113003] - usb: max-3421: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: gadget: remove D+ pull-up while no vbus with usb-role-switch (Torez Smith) [2113003] - usb: musb: mpfs: Fix error codes in probe() (Torez Smith) [2113003] - USB: serial: use kmemdup instead of kmalloc + memcpy (Torez Smith) [2113003] - usb: dwc2: host: add TPL support (Torez Smith) [2113003] - dt-bindings: usb: dwc2: document TPL support (Torez Smith) [2113003] - usb: host: ehci-platform: add TPL support (Torez Smith) [2113003] - usb: host: ohci-platform: add TPL support (Torez Smith) [2113003] - usb: chipidea: ci_hdrc_imx: use dev_err_probe() (Torez Smith) [2113003] - usb: chipidea: udc: implement get_frame (Torez Smith) [2113003] - usb: host: ehci-q: Fix ehci_submit_single_step_set_feature annotation typo (Torez Smith) [2113003] - USB: storage: Fix typo in comment (Torez Smith) [2113003] - USB: ohci-sm501: Fix typo in comment (Torez Smith) [2113003] - usb: musb: core: drop redundant checks (Torez Smith) [2113003] - usb: renesas: Fix refcount leak bug (Torez Smith) [2113003] - usb: host: ohci-ppc-of: Fix refcount leak bug (Torez Smith) [2113003] - usb: typec: mux: Add CONFIG guards for functions (Torez Smith) [2113003] - usb: typec: mux: Allow muxes to specify mode-switch (Torez Smith) [2113003] - usb: dwc3: qcom: Keep power domain on to retain controller status (Torez Smith) [2113003] - usb: dwc3: qcom: Configure wakeup interrupts during suspend (Torez Smith) [2113003] - usb: dwc3: qcom: Add helper functions to enable,disable wake irqs (Torez Smith) [2113003] - usb: dwc3: core: Host wake up support from system suspend (Torez Smith) [2113003] - dt-bindings: usb: dwc3: Add wakeup-source property support (Torez Smith) [2113003] - usb: dwc3: core: Deprecate GCTL.CORESOFTRESET (Torez Smith) [2113003] - usb: musb: Add support for PolarFire SoC's musb controller (Torez Smith) [2113003] - Revert "usb: dwc3: Remove the checks of -ENOSYS" (Torez Smith) [2113003] - media: pvrusb2: fix memory leak in pvr_probe (Torez Smith) [2113003] - media: airspy: respect the DMA coherency rules (Torez Smith) [2113003] - media: usbtv: Add PAL-Nc standard (Torez Smith) [2113003] - thunderbolt: Fix typo in comment (Torez Smith) [2113003] - dt-bindings: usb: add documentation for aspeed udc (Torez Smith) [2113003] - usb: gadget: config Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: gadget: add Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: dwc3: Fix typos in Kconfig (Torez Smith) [2113003] - usb: dwc3: Fix a repeated word checkpatch warning (Torez Smith) [2113003] - usb: dwc3: Fix bare use of unsigned checkpatch warning (Torez Smith) [2113003] - usb: Avoid extra usb SET_SEL requests when enabling link power management (Torez Smith) [2113003] - usb: typec: tcpm: Register USB Power Delivery Capabilities (Torez Smith) [2113003] - usb: typec: USB Power Delivery helpers for ports and partners (Torez Smith) [2113003] - usb: typec: Separate USB Power Delivery from USB Type-C (Torez Smith) [2113003] - usb: hub: port: add sysfs entry to switch port power (Torez Smith) [2113003] - ucsi_ccg: ACPI based I2c client enumeration for AMD ASICs (Torez Smith) [2113003] - ucsi_ccg: Do not hardcode interrupt polarity and type (Torez Smith) [2113003] - usb: mtu3: register mtu3_irq by threaded irq (Torez Smith) [2113003] - usb: mtu3: implement udc_async_callbacks of gadget operation (Torez Smith) [2113003] - usb: mtu3: sync interrupt before unbind the udc (Torez Smith) [2113003] - usb: musb: remove schedule work called after flush (Torez Smith) [2113003] - usb: gadget: uvc: call uvc uvcg_warn on completed status instead of uvcg_info (Torez Smith) [2113003] - usb: gadget: uvc: calculate the number of request depending on framesize (Torez Smith) [2113003] - usb: mtu3: add support controller reset (Torez Smith) [2113003] - usb: xhci-mtk: add support optional controller reset (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add support 'resets' property (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add support 'resets' property (Torez Smith) [2113003] - USB: chipidea: fix typo in comment (Torez Smith) [2113003] - usb: gadget: bdc: fix typo in comment (Torez Smith) [2113003] - usb: typec: tcpm: fix typo in comment (Torez Smith) [2113003] - usb: xhci: tegra: Fix error check (Torez Smith) [2113003] - usb: gadget: tegra-xudc: Fix error check in tegra_xudc_powerdomain_init() (Torez Smith) [2113003] - usb: common: usb-conn-gpio: Allow wakeup from system suspend (Torez Smith) [2113003] - usbip: vudc: Don't enable IRQs prematurely (Torez Smith) [2113003] - USB: usbip: clean up mixed use of _irq() and _irqsave() (Torez Smith) [2113003] - USB: Follow-up to SPDX GPL-2.0+ identifiers addition - remove now useless comments (Torez Smith) [2113003] - USB: Follow-up to SPDX identifiers addition - remove now useless comments (Torez Smith) [2113003] - usb: dwc3: Remove the checks of -ENOSYS (Torez Smith) [2113003] - usb: host: ohci-at91: add support to enter suspend using SMC (Torez Smith) [2113003] - usb: ohci-nxp: Fix refcount leak in ohci_hcd_nxp_probe (Torez Smith) [2113003] - usb: host: Fix refcount leak in ehci_hcd_ppc_of_probe (Torez Smith) [2113003] - usb: dwc3: add power down scale setting (Torez Smith) [2113003] - usb: cdns3: fix random warning message when driver load (Torez Smith) [2113003] - usb: cdns3 fix use-after-free at workaround 2 (Torez Smith) [2113003] - usb: gadget: uvc: Fix comment blocks style (Torez Smith) [2113003] - thunderbolt: Fix some kernel-doc comments (Torez Smith) [2113003] - thunderbolt: Change TMU mode to HiFi uni-directional once DisplayPort tunneled (Torez Smith) [2113003] - thunderbolt: Add CL1 support for USB4 and Titan Ridge routers (Torez Smith) [2113003] - thunderbolt: Change downstream router's TMU rate in both TMU uni/bidir mode (Torez Smith) [2113003] - thunderbolt: Fix typos in CLx enabling (Torez Smith) [2113003] - thunderbolt: CLx disable before system suspend only if previously enabled (Torez Smith) [2113003] - thunderbolt: Silently ignore CLx enabling in case CLx is not supported (Torez Smith) [2113003] - audit: unify audit_filter_{uring(), inode_name(), syscall()} (Richard Guy Briggs) [2123857] - audit: cache ctx->major in audit_filter_syscall() (Richard Guy Briggs) [2123857] - audit_init_parent(): constify path (Richard Guy Briggs) [2123857] - audit: remove selinux_audit_rule_update() declaration (Richard Guy Briggs) [2123857] - audit: use time_after to compare time (Richard Guy Briggs) [2123857] - audit: free audit_proctitle only on task exit (Richard Guy Briggs) [2123857] - audit: explicitly check audit_context->context enum value (Richard Guy Briggs) [2123857] - audit: audit_context pid unused, context enum comment fix (Richard Guy Briggs) [2123857] - audit: fix repeated words in comments (Richard Guy Briggs) [2123857] - lsm: clean up redundant NULL pointer check (Richard Guy Briggs) [2123857] - audit: move audit_return_fixup before the filters (Richard Guy Briggs) [2123857] - audit: fix potential double free on error path from fsnotify_add_inode_mark (Richard Guy Briggs) [2123857] - audit: make is_audit_feature_set() static (Richard Guy Briggs) [2123857] - audit: remove redundant data_len check (Richard Guy Briggs) [2123857] - redhat: fix the branch we pull from the documentation tree (Herton R. Krzesinski) - x86/fpu: Do not leak fpstate pointer on fork (Rafael Aquini) [2120448] - tools headers kvm s390: Sync headers with the kernel sources (Thomas Huth) [2111086] - KVM: s390: resetting the Topology-Change-Report (Thomas Huth) [2111086] - KVM: s390: guest support for topology function (Thomas Huth) [2111086] - KVM: s390: Cleanup ipte lock access and SIIF facility checks (Thomas Huth) [2111086] - KVM: s390: Pass initialized arg even if unused (Thomas Huth) [2130487] - KVM: s390: pv: destroy the configuration before its memory (Thomas Huth) [2130487] - KVM: s390: pv: refactoring of kvm_s390_pv_deinit_vm (Thomas Huth) [2130487] - KVM: s390: pv: add mmu_notifier (Thomas Huth) [2130487] - KVM: s390: pv: Add kvm_s390_cpus_from_pv to kvm-s390.h and add documentation (Thomas Huth) [2130487] - KVM: s390: pv: clear the state without memset (Thomas Huth) [2130487] - KVM: s390: pv: add export before import (Thomas Huth) [2130487] - KVM: s390: pv: usage counter instead of flag (Thomas Huth) [2130487] - KVM: s390: pv: refactor s390_reset_acc (Thomas Huth) [2130487] - KVM: s390: pv: handle secure storage violations for protected guests (Thomas Huth) [2130487] - KVM: s390: pv: leak the topmost page table when destroy fails (Thomas Huth) [2130487] - s390: Add attestation query information (Thomas Huth) [2130487] - KVM: s390: drop unexpected word 'and' in the comments (Thomas Huth) [2130487] - redhat: Enable CONFIG_VFIO_PCI_ZDEV_KVM for downstream builds (Thomas Huth) [1871143] - KVM: s390: pci: fix GAIT physical vs virtual pointers usage (Thomas Huth) [1871143] - KVM: s390: pci: fix plain integer as NULL pointer warnings (Thomas Huth) [1871143] - KVM: s390/pci: fix include duplicates (Thomas Huth) [1871143] - KVM: s390: pci: register pci hooks without interpretation (Thomas Huth) [1871143] - KVM: s390: pci: Hook to access KVM lowlevel from VFIO (Thomas Huth) [1871143] - Documentation: kvm: extend KVM_S390_ZPCI_OP subheading underline (Thomas Huth) [1871143] - MAINTAINERS: additional files related kvm s390 pci passthrough (Thomas Huth) [1871143] - KVM: s390: add KVM_S390_ZPCI_OP to manage guest zPCI devices (Thomas Huth) [1871143] - vfio-pci/zdev: different maxstbl for interpreted devices (Thomas Huth) [1871143] - vfio-pci/zdev: add function handle to clp base capability (Thomas Huth) [1871143] - vfio-pci/zdev: add open/close device hooks (Thomas Huth) [1871143] - KVM: s390: pci: add routines to start/stop interpretive execution (Thomas Huth) [1871143] - KVM: s390: pci: provide routines for enabling/disabling interrupt forwarding (Thomas Huth) [1871143] - KVM: s390: mechanism to enable guest zPCI Interpretation (Thomas Huth) [1871143] - KVM: s390: pci: enable host forwarding of Adapter Event Notifications (Thomas Huth) [1871143] - KVM: s390: pci: do initial setup for AEN interpretation (Thomas Huth) [1871143] - KVM: s390: pci: add basic kvm_zdev structure (Thomas Huth) [1871143] - vfio/pci: introduce CONFIG_VFIO_PCI_ZDEV_KVM (Thomas Huth) [1871143] - s390/pci: stash dtsm and maxstbl (Thomas Huth) [1871143] - s390/pci: stash associated GISA designation (Thomas Huth) [1871143] - s390/pci: externalize the SIC operation controls and routine (Thomas Huth) [1871143] - s390/airq: allow for airq structure that uses an input vector (Thomas Huth) [1871143] - s390/airq: pass more TPI info to airq handlers (Thomas Huth) [1871143] - s390/ap: fix kernel doc comments (Thomas Huth) [1871143] - s390/sclp: detect the AISI facility (Thomas Huth) [1871143] - s390/sclp: detect the AENI facility (Thomas Huth) [1871143] - s390/sclp: detect the AISII facility (Thomas Huth) [1871143] - s390/sclp: detect the zPCI load/store interpretation facility (Thomas Huth) [1871143] - s390/sclp: add detection of IPL-complete-control facility (Thomas Huth) [1871143] - s390/pci: add error record for CC 2 retries (Thomas Huth) [1871143] - s390/pci: add PCI access type and length to error records (Thomas Huth) [1871143] - s390/pci: don't log availability events as errors (Thomas Huth) [1871143] - s390/pci: make better use of zpci_dbg() levels (Thomas Huth) [1871143] - s390/pci: rename get_zdev_by_bus() to zdev_from_bus() (Thomas Huth) [1871143] - s390/pci: improve zpci_dev reference counting (Thomas Huth) [1871143] - s390/pci: make zpci_set_irq()/zpci_clear_irq() static (Thomas Huth) [1871143] - s390/pci: simplify __pciwb_mio() inline asm (Thomas Huth) [1871143] - s390/pci: use physical addresses in DMA tables (Thomas Huth) [1871143] - s390/pci: use phys_to_virt() for AIBVs/DIBVs (Thomas Huth) [1871143] - s390/pci: implement minimal PCI error recovery (Thomas Huth) [1871143] - s390/pci: implement reset_slot for hotplug slot (Thomas Huth) [1871143] - s390/pci: refresh function handle in iomap (Thomas Huth) [1871143] - s390/pci: add simpler s390dbf traces for events (Thomas Huth) [1871143] - s390/pci: tolerate inconsistent handle in recover (Thomas Huth) [1871143] - s390/pci_mmio: fully validate the VMA before calling follow_pte() (Thomas Huth) [1871143] - s390/pci: read clp_list_pci_req only once (Thomas Huth) [1871143] - s390/pci: fix clp_get_state() handling of -ENODEV (Thomas Huth) [1871143] - s390/pci: improve DMA translation init and exit (Thomas Huth) [1871143] - s390/pci: simplify CLP List PCI handling (Thomas Huth) [1871143] - s390/pci: handle FH state mismatch only on disable (Thomas Huth) [1871143] - s390/pci: fix misleading rc in clp_set_pci_fn() (Thomas Huth) [1871143] - Documentation: KVM: update s390-diag.rst reference (Thomas Huth) [2130487] - Documentation: KVM: update s390-pv.rst reference (Thomas Huth) [2130487] - Documentation/virt/kvm/api.rst: Explain rc/rrc delivery (Thomas Huth) [2044301] - Documentation/virt/kvm/api.rst: Add protvirt dump/info api descriptions (Thomas Huth) [2044301] - Documentation: virt: Protected virtual machine dumps (Thomas Huth) [2044301] - KVM: s390: Add KVM_CAP_S390_PROTECTED_DUMP (Thomas Huth) [2044301] - KVM: s390: Add CPU dump functionality (Thomas Huth) [2044301] - KVM: s390: Add configuration dump functionality (Thomas Huth) [2044301] - KVM: s390: pv: Add query dump information (Thomas Huth) [2044301] - KVM: s390: pv: Add dump support definitions (Thomas Huth) [2044301] - KVM: s390: pv: Add query interface (Thomas Huth) [2044301] - s390/uv: Add dump fields to query (Thomas Huth) [2044301] - s390/uv: Add SE hdr query information (Thomas Huth) [2044301] - s390: uv: Add offset comments to UV query struct and fix naming (Thomas Huth) [2130487] - s390/uv: fix memblock virtual vs physical address confusion (Thomas Huth) [2130487] - KVM: s390: Use Makefile.kvm for common files (Thomas Huth) [2130487] - KVM: s390: Skip gfn/size sanity checks on memslot DELETE or FLAGS_ONLY (Thomas Huth) [2130487] - netfilter: nft_fib: Fix for rpath check with VRF devices (Guillaume Nault) [2134815] - ipv6: ping: fix wrong checksum for large frames (Guillaume Nault) [2134815] - ipv4: Handle attempt to delete multipath route when fib_info contains an nh reference (Guillaume Nault) [2134815] - once: rename _SLOW to _SLEEPABLE (Guillaume Nault) [2134815] - once: add DO_ONCE_SLOW() for sleepable contexts (Guillaume Nault) [2134815] - net, lib/once: remove {net_}get_random_once_wait macro (Guillaume Nault) [2134815] - tcp: use alloc_large_system_hash() to allocate table_perturb (Guillaume Nault) [2134815] - ipv4: fix ip option filtering for locally generated fragments (Guillaume Nault) [2134815] - net: ipv4: Fix the warning for dereference (Guillaume Nault) [2134815] - net: ipv4: Move ip_options_fragment() out of loop (Guillaume Nault) [2134815] - x86/fpu: Prevent FPU state corruption (Oleksandr Natalenko) [2131667] - iommu/amd: Globally detect SNP support (Jerry Snitselaar) [2117776] - iommu/amd: Fix compile warning in init code (Jerry Snitselaar) [2117776] - iommu/amd: Simplify and Consolidate Virtual APIC (AVIC) Enablement (Jerry Snitselaar) [2106596] - iommu/amd: Process all IVHDs before enabling IOMMU features (Jerry Snitselaar) [2117776] - iommu/amd: Introduce global variable for storing common EFR and EFR2 (Jerry Snitselaar) [2117776] - iommu/amd: Introduce Support for Extended Feature 2 Register (Jerry Snitselaar) [2117776] - iommu/amd: Change macro for IOMMU control register bit shift to decimal value (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_iommu_fault structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Update device_state structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Print PCI segment ID in error log messages (Jerry Snitselaar) [2117776] - iommu/amd: Add PCI segment support for ivrs_[ioapic/hpet/acpihid] commands (Jerry Snitselaar) [2117776] - iommu/amd: Specify PCI segment ID when getting pci device (Jerry Snitselaar) [2117776] - iommu/amd: Include PCI segment ID when initialize IOMMU (Jerry Snitselaar) [2117776] - iommu/amd: Introduce get_device_sbdf_id() helper function (Jerry Snitselaar) [2117776] - iommu/amd: Flush upto last_bdf only (Jerry Snitselaar) [2117776] - iommu/amd: Remove global amd_iommu_[dev_table/alias_table/last_bdf] (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dev_entry_bit() and get_dev_entry_bit() (Jerry Snitselaar) [2117776] - iommu/amd: Update (un)init_device_table_dma() (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_irq_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update dump_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update iommu_ignore_device (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_entry and clear_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment rlookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Update alloc_irq_table and alloc_irq_index (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_irte_ops functions (Jerry Snitselaar) [2117776] - iommu/amd: Introduce struct amd_ir_data.iommu (Jerry Snitselaar) [2117776] - iommu/amd: Update irq_remapping_alloc to use IOMMU lookup helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use rlookup_amd_iommu helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment last_bdf (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment unity map list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment old_dev_tbl_cpy (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment dev_data_list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce pci segment structure (Jerry Snitselaar) [2117776] - iommu/amd: Update struct iommu_dev_data definition (Jerry Snitselaar) [2117776] - iommu/amd: Indicate whether DMA remap support is enabled (Jerry Snitselaar) [2097878] - iomap: iomap_read_inline_data cleanup (Carlos Maiolino) [2130933] - iomap: Fix inline extent handling in iomap_readpage (Carlos Maiolino) [2130933] - iomap: don't try to poll multi-bio I/Os in __iomap_dio_rw (Carlos Maiolino) [2130933] - iomap: standardize tracepoint formatting and storage (Carlos Maiolino) [2130933] - iomap: move loop control code to iter.c (Carlos Maiolino) [2130933] - iomap: constify iomap_iter_srcmap (Carlos Maiolino) [2130933] - fsdax: switch the fault handlers to use iomap_iter (Carlos Maiolino) [2130933] - fsdax: factor out a dax_fault_actor() helper (Carlos Maiolino) [2130933] - fsdax: factor out helpers to simplify the dax fault code (Carlos Maiolino) [2130933] - iomap: rework unshare flag (Carlos Maiolino) [2130933] - iomap: pass an iomap_iter to various buffered I/O helpers (Carlos Maiolino) [2130933] - iomap: remove iomap_apply (Carlos Maiolino) [2130933] - fsdax: Fix infinite loop in dax_iomap_rw() (Carlos Maiolino) [2130933] - fsdax: switch dax_iomap_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_swapfile_activate to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_data to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_hole to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_bmap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_fiemap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch __iomap_dio_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_page_mkwrite to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_zero_range to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_unshare to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_buffered_write to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch readahead and readpage to use iomap_iter (Carlos Maiolino) [2130933] - iomap: add the new iomap_iter model (Carlos Maiolino) [2130933] - iomap: fix the iomap_readpage_actor return value for inline data (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_page_sync const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data_valid const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_sector const (Carlos Maiolino) [2130933] - fsdax: mark the iomap argument to dax_iomap_sector as const (Carlos Maiolino) [2130933] - fs: mark the iomap argument to __block_write_begin_int const (Carlos Maiolino) [2130933] - iomap: fix a trivial comment typo in trace.h (Carlos Maiolino) [2130933] - iomap: pass writeback errors to the mapping (Carlos Maiolino) [2130933] - iomap: Add another assertion to inline data handling (Carlos Maiolino) [2130933] - iomap: Use kmap_local_page instead of kmap_atomic (Carlos Maiolino) [2130933] - iomap: Fix some typos and bad grammar (Carlos Maiolino) [2130933] - iomap: Support inline data with block size < page size (Carlos Maiolino) [2130933] Resolves: rhbz#2139362, rhbz#2089501, rhbz#2137983, rhbz#2113003, rhbz#2123857, rhbz#2120448, rhbz#2111086, rhbz#2130487, rhbz#1871143, rhbz#2044301, rhbz#2134815, rhbz#2131667, rhbz#2117776, rhbz#2106596, rhbz#2097878, rhbz#2130933 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-08 07:50:05 +00:00
# CONFIG_UCSI_STM32G0 is not set
# CONFIG_UDBG_RTAS_CONSOLE is not set
CONFIG_UDF_FS=m
kernel-5.14.0-129.el9 * Thu Jul 14 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-129.el9] - fuse: send security context of inode on file (Vivek Goyal) [2101526] - fuse: extend init flags (Vivek Goyal) [2101526] - security, lsm: dentry_init_security() Handle multi LSM registration (Vivek Goyal) [2101526] - security: Return xattr name from security_dentry_init_security() (Vivek Goyal) [2101526] - fuse: add FOPEN_NOFLUSH (Vivek Goyal) [2101526] - net: fix data-race in dev_isalive() (Hangbin Liu) [2101278] - net: Write lock dev_base_lock without disabling bottom halves. (Hangbin Liu) [2101278] - net: fix IFF_TX_SKB_NO_LINEAR definition (Hangbin Liu) [2101278] - sock: redo the psock vs ULP protection check (Hangbin Liu) [2101278] - net: fix dev_fill_forward_path with pppoe + bridge (Hangbin Liu) [2101278] - net: Fix features skip in for_each_netdev_feature() (Hangbin Liu) [2101278] - RDMA/irdma: Add SW mechanism to generate completions on error (Kamal Heib) [2100317] - RDMA/irdma: Remove the redundant variable (Kamal Heib) [2100317] - RDMA/irdma: Add support for DSCP (Kamal Heib) [2100317] - RDMA/irdma: Use irq_update_affinity_hint() (Kamal Heib) [2100317] - RDMA/irdma: Set protocol based on PF rdma_mode flag (Kamal Heib) [2096127 2100317] - spec: Keep .BTF section in modules (Viktor Malik) [2097188] - NFSD: Instantiate a struct file when creating a regular NFSv4 file (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd_open_verified() (Benjamin Coddington) [1905809] - NFSD: Remove do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv4 OPEN(CREATE) (Benjamin Coddington) [1905809] - NFSD: Refactor NFSv3 CREATE (Benjamin Coddington) [1905809] - NFSD: Refactor nfsd_create_setattr() (Benjamin Coddington) [1905809] - NFSD: Avoid calling fh_drop_write() twice in do_nfsd_create() (Benjamin Coddington) [1905809] - NFSD: Clean up nfsd3_proc_create() (Benjamin Coddington) [1905809] - ext4: fix up test_dummy_encryption handling for new mount API (Lukas Czerner) [2099577] - ext4: only allow test_dummy_encryption when supported (Lukas Czerner) [2099577] - fscrypt: add new helper functions for test_dummy_encryption (Lukas Czerner) [2099577] - fscrypt: factor out fscrypt_policy_to_key_spec() (Lukas Czerner) [2099577] - ext4: fix super block checksum incorrect after mount (Lukas Czerner) [2099577] - ext4: fix bug_on ext4_mb_use_inode_pa (Lukas Czerner) [2099577] - ext4: make variable "count" signed (Lukas Czerner) [2099577] - ext4: add reserved GDT blocks check (Lukas Czerner) [2099577] - ext4: fix bug_on in __es_tree_search (Lukas Czerner) [2099577] - ext4: avoid cycles in directory h-tree (Lukas Czerner) [2099577] - ext4: verify dir block before splitting it (Lukas Czerner) [2099577] - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field s_state (Lukas Czerner) [2099577] - ext4: fix bug_on in ext4_writepages (Lukas Czerner) [2099577] - ext4: fix memory leak in parse_apply_sb_mount_options() (Lukas Czerner) [2099577] - ext4: reject the 'commit' option on ext2 filesystems (Lukas Czerner) [2099577] - ext4: fix race condition between ext4_write and ext4_convert_inline_data (Lukas Czerner) [2099577] - ext4: fix journal_ioprio mount option handling (Lukas Czerner) [2099577] - ext4: mark group as trimmed only if it was fully scanned (Lukas Czerner) [2099577] - ext4: fix use-after-free in ext4_rename_dir_prepare (Lukas Czerner) [2099577] - ext4: fix warning in ext4_handle_inode_extension (Lukas Czerner) [2099577] - redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Patrick Talbert) [2071955] - Revert "mm: remove the extra ZONE_DEVICE struct page refcount" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.17..v5.18 (Karol Herbst) [2043115] - seq_file: include linux/string_helpers.h (Karol Herbst) [2043115] - iosys-map: Add a few more helpers (Karol Herbst) [2043115] - iosys-map: Add offset to iosys_map_memcpy_to() (Karol Herbst) [2043115] - dma-buf-map: Rename to iosys-map (Karol Herbst) [2043115] - Revert "virtio: wrap config->reset calls" (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.16..v5.17 (Karol Herbst) [2043115] - drm/i915/rpl-s: Add PCI IDS for Raptor Lake S (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.15..v5.16 (Karol Herbst) [2043115] - Merge DRM changes from upstream v5.14..v5.15 (Karol Herbst) [2043115] - fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb (Karol Herbst) [2043115] - redhat/configs: enable CONFIG_UDMABUF (Karol Herbst) [2012748] - redhat/configs: set new DRM configs (Karol Herbst) [2043115] - Makefiles: set initial DRM version (Karol Herbst) [2043115] Resolves: rhbz#2101526, rhbz#2101278, rhbz#2100317, rhbz#2096127, rhbz#2097188, rhbz#1905809, rhbz#2099577, rhbz#2071955, rhbz#2043115, rhbz#2012748 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-14 10:15:57 +00:00
CONFIG_UDMABUF=y
# CONFIG_UEVENT_HELPER is not set
# CONFIG_UFS_FS is not set
CONFIG_UHID=m
# CONFIG_UIO_AEC is not set
# CONFIG_UIO_CIF is not set
# CONFIG_UIO_DMEM_GENIRQ is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_UIO_HV_GENERIC=m
CONFIG_UIO=m
# CONFIG_UIO_MF624 is not set
# CONFIG_UIO_NETX is not set
CONFIG_UIO_PCI_GENERIC=m
# CONFIG_UIO_PDRV_GENIRQ is not set
# CONFIG_UIO_PRUSS is not set
# CONFIG_UIO_SERCOS3 is not set
# CONFIG_ULTRIX_PARTITION is not set
# CONFIG_UNICODE is not set
# CONFIG_UNISYS_VISORBUS is not set
CONFIG_UNIX98_PTYS=y
CONFIG_UNIX_DIAG=y
CONFIG_UNIXWARE_DISKLABEL=y
CONFIG_UNIX=y
# CONFIG_UNWINDER_FRAME_POINTER is not set
CONFIG_UNWINDER_ORC=y
CONFIG_UPROBE_EVENTS=y
# CONFIG_US5182D is not set
# CONFIG_USB4_DEBUGFS_WRITE is not set
# CONFIG_USB4_DMA_TEST is not set
CONFIG_USB4_NET=m
CONFIG_USB4=y
CONFIG_USB_ACM=m
CONFIG_USB_ADUTUX=m
CONFIG_USB_ALI_M5632=y
CONFIG_USB_AN2720=y
CONFIG_USB_ANNOUNCE_NEW_DEVICES=y
CONFIG_USB_APPLEDISPLAY=m
CONFIG_USB_ARMLINUX=y
kernel-5.14.0-189.el9 * Tue Nov 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-189.el9] - KVM: VMX: fully disable SGX if SECONDARY_EXEC_ENCLS_EXITING unavailable (Emanuele Giuseppe Esposito) [2139362] - selftests/vm: enable running select groups of tests (Nico Pache) [2089501] - mm: add merging after mremap resize (Nico Pache) [2089501] - selftest: vm: remove deleted local_config.* from .gitignore (Nico Pache) [2089501] - Kselftests: remove support of libhugetlbfs from kselftests (Nico Pache) [2089501] - selftests: vm: add hugetlb_shared userfaultfd test to run_vmtests.sh (Nico Pache) [2089501] - selftests/vm: add selftest to verify multi THP collapse (Nico Pache) [2089501] - selftests/vm: add selftest to verify recollapse of THPs (Nico Pache) [2089501] - selftests/vm: add MADV_COLLAPSE collapse context to selftests (Nico Pache) [2089501] - selftests/vm: dedup hugepage allocation logic (Nico Pache) [2089501] - selftests/vm: modularize collapse selftests (Nico Pache) [2089501] - tools/testing/selftests/vm/hugetlb-madvise.c: silence uninitialized variable warning (Nico Pache) [2089501] - selftests/vm: skip 128TBswitch on unsupported arch (Nico Pache) [2089501] - selftests/vm: fix va_128TBswitch.sh permissions (Nico Pache) [2089501] - selftests/vm: add protection_keys tests to run_vmtests (Nico Pache) [2089501] - selftests/vm: only run 128TBswitch with 5-level paging (Nico Pache) [2089501] - userfaultfd: selftests: infinite loop in faulting_process (Nico Pache) [2089501] - userfaultfd/selftests: fix typo in comment (Nico Pache) [2089501] - selftests: make use of GUP_TEST_FILE macro (Nico Pache) [2089501] - selftests: vm: Fix resource leak when return error (Nico Pache) [2089501] - selftests: vm: add the "settings" file with timeout variable (Nico Pache) [2089501] - selftests: vm: add "test_hmm.sh" to TEST_FILES (Nico Pache) [2089501] - selftests: vm: check numa_available() before operating "merge_across_nodes" in ksm_tests (Nico Pache) [2089501] - selftests: vm: add migration to the .gitignore (Nico Pache) [2089501] - selftests/vm/pkeys: fix typo in comment (Nico Pache) [2089501] - userfaultfd/selftests: use swap() instead of open coding it (Nico Pache) [2089501] - selftests/uffd: enable uffd-wp for shmem/hugetlbfs (Nico Pache) [2089501] - selftest/vm: test that mremap fails on non-existent vma (Nico Pache) [2089501] - selftests: clarify common error when running gup_test (Nico Pache) [2089501] - selftests: vm: fix shellcheck warnings in run_vmtests.sh (Nico Pache) [2089501] - selftests: vm: refactor run_vmtests.sh to reduce boilerplate (Nico Pache) [2089501] - tools/testing/selftests/vm/gup_test.c: clarify error statement (Nico Pache) [2089501] - mm: add selftests for migration entries (Nico Pache) [2089501] - selftests/x86/corrupt_xstate_header: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/x86/amx: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/vm/pkeys: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests: Provide local define of __cpuid_count() (Nico Pache) [2089501] - selftests: kselftest framework: provide "finished" helper (Nico Pache) [2089501] - userfaultfd/selftests: enable hugetlb remap and remove event testing (Nico Pache) [2089501] - selftests/vm: add hugetlb madvise MADV_DONTNEED MADV_REMOVE test (Nico Pache) [2089501] - userfaultfd/selftests: fix uninitialized_var.cocci warning (Nico Pache) [2089501] - selftests: vm: add a hugetlb test case (Nico Pache) [2089501] - selftests, x86: fix how check_cc.sh is being invoked (Nico Pache) [2089501] - selftests: vm: fix clang build error multiple output files (Nico Pache) [2089501] - kselftest/vm: fix tests build with old libc (Nico Pache) [2089501] - selftest/vm: fix map_fixed_noreplace test failure (Nico Pache) [2089501] - selftests: vm: remove dependecy from internal kernel macros (Nico Pache) [2089501] - selftests: vm: Add the uapi headers include variable (Nico Pache) [2089501] - kselftest/vm: revert "tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner" (Nico Pache) [2089501] - userfaultfd/selftests: clean up hugetlb allocation code (Nico Pache) [2089501] - selftests/uffd: allow EINTR/EAGAIN (Nico Pache) [2089501] - selftests/vm: make charge_reserved_hugetlb.sh work with existing cgroup setting (Nico Pache) [2089501] - tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner (Nico Pache) [2089501] - userfaultfd/selftests: fix hugetlb area allocations (Nico Pache) [2089501] - selftests/vm: remove ARRAY_SIZE define from individual tests (Nico Pache) [2089501] - selftests/vm: make MADV_POPULATE_(READ|WRITE) use in-tree headers (Nico Pache) [2089501] - selftests: vm: add KSM huge pages merging time test (Nico Pache) [2089501] - selftests/vm/transhuge-stress: fix ram size thinko (Nico Pache) [2089501] - remoteproc: imx_rproc: Simplify some error message (Steve Best) [2137983] - remoteproc: imx_rproc: Fix refcount leak in imx_rproc_addr_init (Steve Best) [2137983] - remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - remoteproc: imx_rproc: Ignore create mem entry for resource table (Steve Best) [2137983] - dt-bindings: remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - usb: dwc3: st: Rely on child's compatible instead of name (Torez Smith) [2113003] - usb: typec: anx7411: Use of_get_child_by_name() instead of of_find_node_by_name() (Torez Smith) [2113003] - media: airspy: fix memory leak in airspy probe (Torez Smith) [2113003] - uas: ignore UAS for Thinkplus chips (Torez Smith) [2113003] - usb-storage: Add Hiksemi USB3-FW to IGNORE_UAS (Torez Smith) [2113003] - uas: add no-uas quirk for Hiksemi usb_disk (Torez Smith) [2113003] - usb: dwc3: st: Fix node's child name (Torez Smith) [2113003] - usb: typec: ucsi: Remove incorrect warning (Torez Smith) [2113003] - usb: typec: anx7411: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2113003] - thunderbolt: Explicitly reset plug events delay back to USB4 spec value (Torez Smith) [2113003] - media: flexcop-usb: fix endpoint type check (Torez Smith) [2113003] - USB: serial: option: add Quectel RM520N (Torez Smith) [2113003] - USB: serial: option: add Quectel BG95 0x0203 composition (Torez Smith) [2113003] - thunderbolt: Add support for Intel Maple Ridge single port controller (Torez Smith) [2113003] - usb: dwc3: core: leave default DMA if the controller does not support 64-bit DMA (Torez Smith) [2113003] - USB: core: Fix RST error in hub.c (Torez Smith) [2113003] - Revert "usb: gadget: udc-xilinx: replace memcpy with memcpy_toio" (Torez Smith) [2113003] - kunit: fix Kconfig for build-in tests USB4 and Nitro Enclaves (Torez Smith) [2113003] - usb: storage: Add ASUS <0x0b05:0x1932> to IGNORE_UAS (Torez Smith) [2113003] - USB: serial: ch341: fix disabled rx timer on older devices (Torez Smith) [2113003] - USB: serial: ch341: fix lost character on LCR updates (Torez Smith) [2113003] - USB: serial: cp210x: add Decagon UCA device id (Torez Smith) [2113003] - Revert "usb: add quirks for Lenovo OneLink+ Dock" (Torez Smith) [2113003] - media: uvcvideo: Fix InterfaceProtocol for Quanta camera (Torez Smith) [2113003] - usb: cdns3: fix issue with rearming ISO OUT endpoint (Torez Smith) [2113003] - usb: cdns3: fix incorrect handling TRB_SMM flag for ISOC transfer (Torez Smith) [2113003] - usb: gadget: mass_storage: Fix cdrom data transfers on MAC-OS (Torez Smith) [2113003] - USB: core: Prevent nested device-reset calls (Torez Smith) [2113003] - USB: gadget: Fix obscure lockdep violation for udc_mutex (Torez Smith) [2113003] - usb: gadget: udc-xilinx: replace memcpy with memcpy_toio (Torez Smith) [2113003] - usb: typec: Remove retimers properly (Torez Smith) [2113003] - usb: dwc3: disable USB core PHY management (Torez Smith) [2113003] - usb: add quirks for Lenovo OneLink+ Dock (Torez Smith) [2113003] - USB: serial: option: add support for Cinterion MV32-WA/WB RmNet mode (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Omron CS1W-CIF31 device id (Torez Smith) [2113003] - USB: serial: option: add Quectel EM060K modem (Torez Smith) [2113003] - USB: serial: option: add support for OPPO R11 diag port (Torez Smith) [2113003] - Revert "xhci: turn off port power in shutdown" (Torez Smith) [2113003] - xhci: Add grace period after xHC start to prevent premature runtime suspend. (Torez Smith) [2113003] - xhci: Fix null pointer dereference in remove if xHC has only one roothub (Torez Smith) [2113003] - Revert "usb: typec: ucsi: add a common function ucsi_unregister_connectors()" (Torez Smith) [2113003] - usb: dwc3: qcom: suppress unused-variable warning (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add compatible for mt8188 (Torez Smith) [2113003] - USB: cdc-acm: Add Icom PMR F3400 support (0c26:0020) (Torez Smith) [2113003] - usb: gadget: f_uac2: fix superspeed transfer (Torez Smith) [2113003] - usb: xhci-mtk: fix bandwidth release issue (Torez Smith) [2113003] - usb: xhci-mtk: relax TT periodic bandwidth allocation (Torez Smith) [2113003] - usb: typec: altmodes/displayport: correct pin assignment for UFP receptacles (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Drop reset delay in onboard_hub_power_off() (Torez Smith) [2113003] - usb: dwc3: gadget: Avoid duplicate requests to enable Run/Stop (Torez Smith) [2113003] - usb: dwc3: pci: Add support for Intel Raptor Lake (Torez Smith) [2113003] - usb: typec: intel_pmc_mux: Add new ACPI ID for Meteor Lake IOM device (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: Add SM6375 compatible (Torez Smith) [2113003] - usb: dwc3: qcom: clean up suspend callbacks (Torez Smith) [2113003] - usb: dwc3: qcom: fix wakeup implementation (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add wakeup-source property (Torez Smith) [2113003] - usb: dwc3: qcom: fix peripheral and OTG suspend (Torez Smith) [2113003] - usb: dwc3: qcom: fix runtime PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix use-after-free on runtime-PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix gadget-only builds (Torez Smith) [2113003] - Revert "usb: dwc3: qcom: Keep power domain on to retain controller status" (Torez Smith) [2113003] - usb: dwc3: fix PHY disable sequence (Torez Smith) [2113003] - usb-storage: Add ignore-residue quirk for NXP PN7462AU (Torez Smith) [2113003] - usb: typec: tcpm: Return ENOTSUPP for power supply prop writes (Torez Smith) [2113003] - musb: fix USB_MUSB_TUSB6010 dependency (Torez Smith) [2113003] - thunderbolt: Check router generation before connecting xHCI (Torez Smith) [2113003] - thunderbolt: Use the actual buffer in tb_async_error() (Torez Smith) [2113003] - usb: cdns3: Don't use priv_dev uninitialized in cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb:udc: remove reference to AVR32 architecture in Atmel USBA Kconfig (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Remove duplicated power_on delay (Torez Smith) [2113003] - media: uvcvideo: Fix invalid pointer in uvc_ctrl_init_ctrl() (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add TI USB8041 hub support (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add reset-gpio support (Torez Smith) [2113003] - USB: usbsevseg: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - dt-bindings: usb: Add binding for TI USB8041 hub controller (Torez Smith) [2113003] - usb: misc: onboard-hub: add support for Microchip USB2514B USB 2.0 hub (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: allow usb-hcd schema properties (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add bootloader support (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: define config (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add support for stm32g0 controller (Torez Smith) [2113003] - dt-bindings: usb: typec: add bindings for stm32g0 controller (Torez Smith) [2113003] - usb: typec: ucsi: Acknowledge the GET_ERROR_STATUS command completion (Torez Smith) [2113003] - usb: cdns3: change place of 'priv_ep' assignment in cdns3_gadget_ep_dequeue(), cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb/chipidea: fix repeated words in comments (Torez Smith) [2113003] - usb: renesas-xhci: Do not print any log while fw verif success (Torez Smith) [2113003] - usb: typec: retimer: Add missing id check in match callback (Torez Smith) [2113003] - USB: xhci: Fix comment typo (Torez Smith) [2113003] - usb/typec/tcpm: fix repeated words in comments (Torez Smith) [2113003] - usb/musb: fix repeated words in comments (Torez Smith) [2113003] - usb/misc: fix repeated words in comments (Torez Smith) [2113003] - usb/image: fix repeated words in comments (Torez Smith) [2113003] - usb/host: fix repeated words in comments (Torez Smith) [2113003] - usb/core: fix repeated words in comments (Torez Smith) [2113003] - usb/atm: fix repeated words in comments (Torez Smith) [2113003] - USB: gadget: Fix use-after-free Read in usb_udc_uevent() (Torez Smith) [2113003] - USB: HCD: Fix URB giveback issue in tasklet function (Torez Smith) [2113003] - usb: xhci_plat_remove: avoid NULL dereference (Torez Smith) [2113003] - usb: typec: anx7411: fix passing zero to 'PTR_ERR' (Torez Smith) [2113003] - usb: typec: anx7411: Fix error return code in anx7411_i2c_probe() (Torez Smith) [2113003] - usb: typec: anx7411: Fix return value check in anx7411_register_i2c_dummy_clients() (Torez Smith) [2113003] - usb: typec: anx7411: Fix wrong pointer passed to PTR_ERR() (Torez Smith) [2113003] - usb: typec: anx7411: use semi-colons instead of commas (Torez Smith) [2113003] - usb: typec: anx7411: fix error checking in anx7411_get_gpio_irq() (Torez Smith) [2113003] - usb: typec: anx7411: Fix an array out of bounds (Torez Smith) [2113003] - usb: phy: remove redundant store to variable var after & operation (Torez Smith) [2113003] - USB: serial: fix tty-port initialized comments (Torez Smith) [2113003] - USB: serial: usb_wwan: replace DTR/RTS magic numbers with macros (Torez Smith) [2113003] - USB: gadget: f_acm: use CDC defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC serial-state defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC control-line defines (Torez Smith) [2113003] - USB: cdc: add serial-state defines (Torez Smith) [2113003] - USB: cdc: add control-signal defines (Torez Smith) [2113003] - net/cdc_ncm: Increase NTB max RX/TX values to 64kb (Torez Smith) [2113003] - media: uvcvideo: Remove unneeded goto (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Acer EasyCamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta cameras (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta UVC Webcam (Torez Smith) [2113003] - media: uvcvideo: Add support for per-device control mapping overrides (Torez Smith) [2113003] - media: uvcvideo: Add missing value for power_line_frequency (Torez Smith) [2113003] - media: uvcvideo: Replace broken URL (Torez Smith) [2113003] - media: uvcvideo: Fix comment blocks style (Torez Smith) [2113003] - usb: typec: Add retimer handle to port (Torez Smith) [2113003] - usb: typec: Add support for retimers (Torez Smith) [2113003] - usb: dwc3: qcom: fix missing optional irq warnings (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: refine interrupt requirements (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add SC8280XP binding (Torez Smith) [2113003] - usb: typec: anx7411: define config (Torez Smith) [2113003] - usb: typec: anx7411: Add Analogix PD ANX7411 support (Torez Smith) [2113003] - dt-bindings: usb: Add analogix anx7411 PD binding (Torez Smith) [2113003] - usb: dwc3: core: Do not perform GCTL_CORE_SOFTRESET during bootup (Torez Smith) [2113003] - usb: aspeed-vhub: Fix refcount leak bug in ast_vhub_init_desc() (Torez Smith) [2113003] - usb: ldusb: replace ternary operator with max_t() (Torez Smith) [2113003] - usb: dwc3: ep0: Properly handle setup_packet_pending scenario in data stage (Torez Smith) [2113003] - usb: misc: onboard_hub: Fix 'missing prototype' warning (Torez Smith) [2113003] - Revert "dt-bindings: usb: mtk-xhci: Make all clocks required" (Torez Smith) [2113003] - usb: gadget: f_mass_storage: forced_eject attribute (Torez Smith) [2113003] - usb: gadget: udc: amd5536 depends on HAS_DMA (Torez Smith) [2113003] - USB: serial: fix repeated word "the" in comments (Torez Smith) [2113003] - USB: serial: io_edgeport: fix spelling mistakes (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Belimo device ids (Torez Smith) [2113003] - thunderbolt: test: Use kunit_test_suite() macro (Torez Smith) [2113003] - media: pvrusb2: drop unexpected word 'a' in comments (Torez Smith) [2113003] - media: gspca: drop unexpected word 'is' in the comments (Torez Smith) [2113003] - usb: dwc3: gadget: fix high speed multiplier setting (Torez Smith) [2113003] - usb: dwc3: gadget: refactor dwc3_repare_one_trb (Torez Smith) [2113003] - drivers/usb/host/ehci-fsl: Fix interrupt setup in host mode. (Torez Smith) [2113003] - usb: gadget: uvc: fix changing interface name via configfs (Torez Smith) [2113003] - usb: typec: add missing uevent when partner support PD (Torez Smith) [2113003] - tools: usb: testusb: Add super-plus speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add super speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add wireless speed reporting (Torez Smith) [2113003] - usb: core: hub: Create platform devices for onboard hubs in hub_probe() (Torez Smith) [2113003] - usb: misc: Add config for onboard_usb_hub driver (Torez Smith) [2113003] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2113003] - dt-bindings: usb: rts5411: Rename property 'companion-hub' to 'peer-hub' (Torez Smith) [2113003] - usb: mtu3: support function remote wakeup (Torez Smith) [2113003] - usb: mtu3: check capability of usb3 dual role (Torez Smith) [2113003] - usb: typec: tcpci: move tcpci.h to include/linux/usb/ (Torez Smith) [2113003] - gadgetfs: ep_io - wait until IRQ finishes (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add compatible for mt8188 (Torez Smith) [2113003] - usb: gadget: udc: atmel: convert to platform driver (Torez Smith) [2113003] - usb: gadget: udc: atmel: check rc of devm_gpiod_get_optional() (Torez Smith) [2113003] - USB: gadget: udc: tracing: Do not open code __string() with __dynamic_array() (Torez Smith) [2113003] - ARM: sa1100/assabet: move dmabounce hack to ohci driver (Torez Smith) [2113003] - dt-bindings: usb: generic-ohci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: sunxi-musb: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: atmel: Add Microchip LAN9662 compatible string (Torez Smith) [2113003] - usb: host: xhci: use snprintf() in xhci_decode_trb() (Torez Smith) [2113003] - usb: dwc3-am62: remove unnecesary clk_put() (Torez Smith) [2113003] - usb: dwc3: gadget: Fix event pending check (Torez Smith) [2113003] - usb: gadget: f_mass_storage: Make CD-ROM emulation works with Windows OS (Torez Smith) [2113003] - USB: cdc-acm: use define for timeout (Torez Smith) [2113003] - usb: musb: mpfs: add missing clk_disable_unprepare() in mpfs_remove() (Torez Smith) [2113003] - usb: dwc3: gadget: fix a kernel-doc warning (Torez Smith) [2113003] - usb: dwc3: document async_callbacks field (Torez Smith) [2113003] - usb: typec_altmode: add a missing "@" at a kernel-doc parameter (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Make all clocks required (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Allow wakeup interrupt-names to be optional (Torez Smith) [2113003] - usb: core: sysfs: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - media: usb: gspca: aligned '*' each line (Torez Smith) [2113003] - media: hdpvr: fix error value returns in hdpvr_read (Torez Smith) [2113003] - media: em28xx: Remove useless license text when SPDX-License-Identifier is already used (Torez Smith) [2113003] - usb: gadget: u_ether: Remove duplicated include in u_ether.c (Torez Smith) [2113003] - usb: max-3421: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: gadget: remove D+ pull-up while no vbus with usb-role-switch (Torez Smith) [2113003] - usb: musb: mpfs: Fix error codes in probe() (Torez Smith) [2113003] - USB: serial: use kmemdup instead of kmalloc + memcpy (Torez Smith) [2113003] - usb: dwc2: host: add TPL support (Torez Smith) [2113003] - dt-bindings: usb: dwc2: document TPL support (Torez Smith) [2113003] - usb: host: ehci-platform: add TPL support (Torez Smith) [2113003] - usb: host: ohci-platform: add TPL support (Torez Smith) [2113003] - usb: chipidea: ci_hdrc_imx: use dev_err_probe() (Torez Smith) [2113003] - usb: chipidea: udc: implement get_frame (Torez Smith) [2113003] - usb: host: ehci-q: Fix ehci_submit_single_step_set_feature annotation typo (Torez Smith) [2113003] - USB: storage: Fix typo in comment (Torez Smith) [2113003] - USB: ohci-sm501: Fix typo in comment (Torez Smith) [2113003] - usb: musb: core: drop redundant checks (Torez Smith) [2113003] - usb: renesas: Fix refcount leak bug (Torez Smith) [2113003] - usb: host: ohci-ppc-of: Fix refcount leak bug (Torez Smith) [2113003] - usb: typec: mux: Add CONFIG guards for functions (Torez Smith) [2113003] - usb: typec: mux: Allow muxes to specify mode-switch (Torez Smith) [2113003] - usb: dwc3: qcom: Keep power domain on to retain controller status (Torez Smith) [2113003] - usb: dwc3: qcom: Configure wakeup interrupts during suspend (Torez Smith) [2113003] - usb: dwc3: qcom: Add helper functions to enable,disable wake irqs (Torez Smith) [2113003] - usb: dwc3: core: Host wake up support from system suspend (Torez Smith) [2113003] - dt-bindings: usb: dwc3: Add wakeup-source property support (Torez Smith) [2113003] - usb: dwc3: core: Deprecate GCTL.CORESOFTRESET (Torez Smith) [2113003] - usb: musb: Add support for PolarFire SoC's musb controller (Torez Smith) [2113003] - Revert "usb: dwc3: Remove the checks of -ENOSYS" (Torez Smith) [2113003] - media: pvrusb2: fix memory leak in pvr_probe (Torez Smith) [2113003] - media: airspy: respect the DMA coherency rules (Torez Smith) [2113003] - media: usbtv: Add PAL-Nc standard (Torez Smith) [2113003] - thunderbolt: Fix typo in comment (Torez Smith) [2113003] - dt-bindings: usb: add documentation for aspeed udc (Torez Smith) [2113003] - usb: gadget: config Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: gadget: add Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: dwc3: Fix typos in Kconfig (Torez Smith) [2113003] - usb: dwc3: Fix a repeated word checkpatch warning (Torez Smith) [2113003] - usb: dwc3: Fix bare use of unsigned checkpatch warning (Torez Smith) [2113003] - usb: Avoid extra usb SET_SEL requests when enabling link power management (Torez Smith) [2113003] - usb: typec: tcpm: Register USB Power Delivery Capabilities (Torez Smith) [2113003] - usb: typec: USB Power Delivery helpers for ports and partners (Torez Smith) [2113003] - usb: typec: Separate USB Power Delivery from USB Type-C (Torez Smith) [2113003] - usb: hub: port: add sysfs entry to switch port power (Torez Smith) [2113003] - ucsi_ccg: ACPI based I2c client enumeration for AMD ASICs (Torez Smith) [2113003] - ucsi_ccg: Do not hardcode interrupt polarity and type (Torez Smith) [2113003] - usb: mtu3: register mtu3_irq by threaded irq (Torez Smith) [2113003] - usb: mtu3: implement udc_async_callbacks of gadget operation (Torez Smith) [2113003] - usb: mtu3: sync interrupt before unbind the udc (Torez Smith) [2113003] - usb: musb: remove schedule work called after flush (Torez Smith) [2113003] - usb: gadget: uvc: call uvc uvcg_warn on completed status instead of uvcg_info (Torez Smith) [2113003] - usb: gadget: uvc: calculate the number of request depending on framesize (Torez Smith) [2113003] - usb: mtu3: add support controller reset (Torez Smith) [2113003] - usb: xhci-mtk: add support optional controller reset (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add support 'resets' property (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add support 'resets' property (Torez Smith) [2113003] - USB: chipidea: fix typo in comment (Torez Smith) [2113003] - usb: gadget: bdc: fix typo in comment (Torez Smith) [2113003] - usb: typec: tcpm: fix typo in comment (Torez Smith) [2113003] - usb: xhci: tegra: Fix error check (Torez Smith) [2113003] - usb: gadget: tegra-xudc: Fix error check in tegra_xudc_powerdomain_init() (Torez Smith) [2113003] - usb: common: usb-conn-gpio: Allow wakeup from system suspend (Torez Smith) [2113003] - usbip: vudc: Don't enable IRQs prematurely (Torez Smith) [2113003] - USB: usbip: clean up mixed use of _irq() and _irqsave() (Torez Smith) [2113003] - USB: Follow-up to SPDX GPL-2.0+ identifiers addition - remove now useless comments (Torez Smith) [2113003] - USB: Follow-up to SPDX identifiers addition - remove now useless comments (Torez Smith) [2113003] - usb: dwc3: Remove the checks of -ENOSYS (Torez Smith) [2113003] - usb: host: ohci-at91: add support to enter suspend using SMC (Torez Smith) [2113003] - usb: ohci-nxp: Fix refcount leak in ohci_hcd_nxp_probe (Torez Smith) [2113003] - usb: host: Fix refcount leak in ehci_hcd_ppc_of_probe (Torez Smith) [2113003] - usb: dwc3: add power down scale setting (Torez Smith) [2113003] - usb: cdns3: fix random warning message when driver load (Torez Smith) [2113003] - usb: cdns3 fix use-after-free at workaround 2 (Torez Smith) [2113003] - usb: gadget: uvc: Fix comment blocks style (Torez Smith) [2113003] - thunderbolt: Fix some kernel-doc comments (Torez Smith) [2113003] - thunderbolt: Change TMU mode to HiFi uni-directional once DisplayPort tunneled (Torez Smith) [2113003] - thunderbolt: Add CL1 support for USB4 and Titan Ridge routers (Torez Smith) [2113003] - thunderbolt: Change downstream router's TMU rate in both TMU uni/bidir mode (Torez Smith) [2113003] - thunderbolt: Fix typos in CLx enabling (Torez Smith) [2113003] - thunderbolt: CLx disable before system suspend only if previously enabled (Torez Smith) [2113003] - thunderbolt: Silently ignore CLx enabling in case CLx is not supported (Torez Smith) [2113003] - audit: unify audit_filter_{uring(), inode_name(), syscall()} (Richard Guy Briggs) [2123857] - audit: cache ctx->major in audit_filter_syscall() (Richard Guy Briggs) [2123857] - audit_init_parent(): constify path (Richard Guy Briggs) [2123857] - audit: remove selinux_audit_rule_update() declaration (Richard Guy Briggs) [2123857] - audit: use time_after to compare time (Richard Guy Briggs) [2123857] - audit: free audit_proctitle only on task exit (Richard Guy Briggs) [2123857] - audit: explicitly check audit_context->context enum value (Richard Guy Briggs) [2123857] - audit: audit_context pid unused, context enum comment fix (Richard Guy Briggs) [2123857] - audit: fix repeated words in comments (Richard Guy Briggs) [2123857] - lsm: clean up redundant NULL pointer check (Richard Guy Briggs) [2123857] - audit: move audit_return_fixup before the filters (Richard Guy Briggs) [2123857] - audit: fix potential double free on error path from fsnotify_add_inode_mark (Richard Guy Briggs) [2123857] - audit: make is_audit_feature_set() static (Richard Guy Briggs) [2123857] - audit: remove redundant data_len check (Richard Guy Briggs) [2123857] - redhat: fix the branch we pull from the documentation tree (Herton R. Krzesinski) - x86/fpu: Do not leak fpstate pointer on fork (Rafael Aquini) [2120448] - tools headers kvm s390: Sync headers with the kernel sources (Thomas Huth) [2111086] - KVM: s390: resetting the Topology-Change-Report (Thomas Huth) [2111086] - KVM: s390: guest support for topology function (Thomas Huth) [2111086] - KVM: s390: Cleanup ipte lock access and SIIF facility checks (Thomas Huth) [2111086] - KVM: s390: Pass initialized arg even if unused (Thomas Huth) [2130487] - KVM: s390: pv: destroy the configuration before its memory (Thomas Huth) [2130487] - KVM: s390: pv: refactoring of kvm_s390_pv_deinit_vm (Thomas Huth) [2130487] - KVM: s390: pv: add mmu_notifier (Thomas Huth) [2130487] - KVM: s390: pv: Add kvm_s390_cpus_from_pv to kvm-s390.h and add documentation (Thomas Huth) [2130487] - KVM: s390: pv: clear the state without memset (Thomas Huth) [2130487] - KVM: s390: pv: add export before import (Thomas Huth) [2130487] - KVM: s390: pv: usage counter instead of flag (Thomas Huth) [2130487] - KVM: s390: pv: refactor s390_reset_acc (Thomas Huth) [2130487] - KVM: s390: pv: handle secure storage violations for protected guests (Thomas Huth) [2130487] - KVM: s390: pv: leak the topmost page table when destroy fails (Thomas Huth) [2130487] - s390: Add attestation query information (Thomas Huth) [2130487] - KVM: s390: drop unexpected word 'and' in the comments (Thomas Huth) [2130487] - redhat: Enable CONFIG_VFIO_PCI_ZDEV_KVM for downstream builds (Thomas Huth) [1871143] - KVM: s390: pci: fix GAIT physical vs virtual pointers usage (Thomas Huth) [1871143] - KVM: s390: pci: fix plain integer as NULL pointer warnings (Thomas Huth) [1871143] - KVM: s390/pci: fix include duplicates (Thomas Huth) [1871143] - KVM: s390: pci: register pci hooks without interpretation (Thomas Huth) [1871143] - KVM: s390: pci: Hook to access KVM lowlevel from VFIO (Thomas Huth) [1871143] - Documentation: kvm: extend KVM_S390_ZPCI_OP subheading underline (Thomas Huth) [1871143] - MAINTAINERS: additional files related kvm s390 pci passthrough (Thomas Huth) [1871143] - KVM: s390: add KVM_S390_ZPCI_OP to manage guest zPCI devices (Thomas Huth) [1871143] - vfio-pci/zdev: different maxstbl for interpreted devices (Thomas Huth) [1871143] - vfio-pci/zdev: add function handle to clp base capability (Thomas Huth) [1871143] - vfio-pci/zdev: add open/close device hooks (Thomas Huth) [1871143] - KVM: s390: pci: add routines to start/stop interpretive execution (Thomas Huth) [1871143] - KVM: s390: pci: provide routines for enabling/disabling interrupt forwarding (Thomas Huth) [1871143] - KVM: s390: mechanism to enable guest zPCI Interpretation (Thomas Huth) [1871143] - KVM: s390: pci: enable host forwarding of Adapter Event Notifications (Thomas Huth) [1871143] - KVM: s390: pci: do initial setup for AEN interpretation (Thomas Huth) [1871143] - KVM: s390: pci: add basic kvm_zdev structure (Thomas Huth) [1871143] - vfio/pci: introduce CONFIG_VFIO_PCI_ZDEV_KVM (Thomas Huth) [1871143] - s390/pci: stash dtsm and maxstbl (Thomas Huth) [1871143] - s390/pci: stash associated GISA designation (Thomas Huth) [1871143] - s390/pci: externalize the SIC operation controls and routine (Thomas Huth) [1871143] - s390/airq: allow for airq structure that uses an input vector (Thomas Huth) [1871143] - s390/airq: pass more TPI info to airq handlers (Thomas Huth) [1871143] - s390/ap: fix kernel doc comments (Thomas Huth) [1871143] - s390/sclp: detect the AISI facility (Thomas Huth) [1871143] - s390/sclp: detect the AENI facility (Thomas Huth) [1871143] - s390/sclp: detect the AISII facility (Thomas Huth) [1871143] - s390/sclp: detect the zPCI load/store interpretation facility (Thomas Huth) [1871143] - s390/sclp: add detection of IPL-complete-control facility (Thomas Huth) [1871143] - s390/pci: add error record for CC 2 retries (Thomas Huth) [1871143] - s390/pci: add PCI access type and length to error records (Thomas Huth) [1871143] - s390/pci: don't log availability events as errors (Thomas Huth) [1871143] - s390/pci: make better use of zpci_dbg() levels (Thomas Huth) [1871143] - s390/pci: rename get_zdev_by_bus() to zdev_from_bus() (Thomas Huth) [1871143] - s390/pci: improve zpci_dev reference counting (Thomas Huth) [1871143] - s390/pci: make zpci_set_irq()/zpci_clear_irq() static (Thomas Huth) [1871143] - s390/pci: simplify __pciwb_mio() inline asm (Thomas Huth) [1871143] - s390/pci: use physical addresses in DMA tables (Thomas Huth) [1871143] - s390/pci: use phys_to_virt() for AIBVs/DIBVs (Thomas Huth) [1871143] - s390/pci: implement minimal PCI error recovery (Thomas Huth) [1871143] - s390/pci: implement reset_slot for hotplug slot (Thomas Huth) [1871143] - s390/pci: refresh function handle in iomap (Thomas Huth) [1871143] - s390/pci: add simpler s390dbf traces for events (Thomas Huth) [1871143] - s390/pci: tolerate inconsistent handle in recover (Thomas Huth) [1871143] - s390/pci_mmio: fully validate the VMA before calling follow_pte() (Thomas Huth) [1871143] - s390/pci: read clp_list_pci_req only once (Thomas Huth) [1871143] - s390/pci: fix clp_get_state() handling of -ENODEV (Thomas Huth) [1871143] - s390/pci: improve DMA translation init and exit (Thomas Huth) [1871143] - s390/pci: simplify CLP List PCI handling (Thomas Huth) [1871143] - s390/pci: handle FH state mismatch only on disable (Thomas Huth) [1871143] - s390/pci: fix misleading rc in clp_set_pci_fn() (Thomas Huth) [1871143] - Documentation: KVM: update s390-diag.rst reference (Thomas Huth) [2130487] - Documentation: KVM: update s390-pv.rst reference (Thomas Huth) [2130487] - Documentation/virt/kvm/api.rst: Explain rc/rrc delivery (Thomas Huth) [2044301] - Documentation/virt/kvm/api.rst: Add protvirt dump/info api descriptions (Thomas Huth) [2044301] - Documentation: virt: Protected virtual machine dumps (Thomas Huth) [2044301] - KVM: s390: Add KVM_CAP_S390_PROTECTED_DUMP (Thomas Huth) [2044301] - KVM: s390: Add CPU dump functionality (Thomas Huth) [2044301] - KVM: s390: Add configuration dump functionality (Thomas Huth) [2044301] - KVM: s390: pv: Add query dump information (Thomas Huth) [2044301] - KVM: s390: pv: Add dump support definitions (Thomas Huth) [2044301] - KVM: s390: pv: Add query interface (Thomas Huth) [2044301] - s390/uv: Add dump fields to query (Thomas Huth) [2044301] - s390/uv: Add SE hdr query information (Thomas Huth) [2044301] - s390: uv: Add offset comments to UV query struct and fix naming (Thomas Huth) [2130487] - s390/uv: fix memblock virtual vs physical address confusion (Thomas Huth) [2130487] - KVM: s390: Use Makefile.kvm for common files (Thomas Huth) [2130487] - KVM: s390: Skip gfn/size sanity checks on memslot DELETE or FLAGS_ONLY (Thomas Huth) [2130487] - netfilter: nft_fib: Fix for rpath check with VRF devices (Guillaume Nault) [2134815] - ipv6: ping: fix wrong checksum for large frames (Guillaume Nault) [2134815] - ipv4: Handle attempt to delete multipath route when fib_info contains an nh reference (Guillaume Nault) [2134815] - once: rename _SLOW to _SLEEPABLE (Guillaume Nault) [2134815] - once: add DO_ONCE_SLOW() for sleepable contexts (Guillaume Nault) [2134815] - net, lib/once: remove {net_}get_random_once_wait macro (Guillaume Nault) [2134815] - tcp: use alloc_large_system_hash() to allocate table_perturb (Guillaume Nault) [2134815] - ipv4: fix ip option filtering for locally generated fragments (Guillaume Nault) [2134815] - net: ipv4: Fix the warning for dereference (Guillaume Nault) [2134815] - net: ipv4: Move ip_options_fragment() out of loop (Guillaume Nault) [2134815] - x86/fpu: Prevent FPU state corruption (Oleksandr Natalenko) [2131667] - iommu/amd: Globally detect SNP support (Jerry Snitselaar) [2117776] - iommu/amd: Fix compile warning in init code (Jerry Snitselaar) [2117776] - iommu/amd: Simplify and Consolidate Virtual APIC (AVIC) Enablement (Jerry Snitselaar) [2106596] - iommu/amd: Process all IVHDs before enabling IOMMU features (Jerry Snitselaar) [2117776] - iommu/amd: Introduce global variable for storing common EFR and EFR2 (Jerry Snitselaar) [2117776] - iommu/amd: Introduce Support for Extended Feature 2 Register (Jerry Snitselaar) [2117776] - iommu/amd: Change macro for IOMMU control register bit shift to decimal value (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_iommu_fault structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Update device_state structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Print PCI segment ID in error log messages (Jerry Snitselaar) [2117776] - iommu/amd: Add PCI segment support for ivrs_[ioapic/hpet/acpihid] commands (Jerry Snitselaar) [2117776] - iommu/amd: Specify PCI segment ID when getting pci device (Jerry Snitselaar) [2117776] - iommu/amd: Include PCI segment ID when initialize IOMMU (Jerry Snitselaar) [2117776] - iommu/amd: Introduce get_device_sbdf_id() helper function (Jerry Snitselaar) [2117776] - iommu/amd: Flush upto last_bdf only (Jerry Snitselaar) [2117776] - iommu/amd: Remove global amd_iommu_[dev_table/alias_table/last_bdf] (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dev_entry_bit() and get_dev_entry_bit() (Jerry Snitselaar) [2117776] - iommu/amd: Update (un)init_device_table_dma() (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_irq_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update dump_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update iommu_ignore_device (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_entry and clear_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment rlookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Update alloc_irq_table and alloc_irq_index (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_irte_ops functions (Jerry Snitselaar) [2117776] - iommu/amd: Introduce struct amd_ir_data.iommu (Jerry Snitselaar) [2117776] - iommu/amd: Update irq_remapping_alloc to use IOMMU lookup helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use rlookup_amd_iommu helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment last_bdf (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment unity map list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment old_dev_tbl_cpy (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment dev_data_list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce pci segment structure (Jerry Snitselaar) [2117776] - iommu/amd: Update struct iommu_dev_data definition (Jerry Snitselaar) [2117776] - iommu/amd: Indicate whether DMA remap support is enabled (Jerry Snitselaar) [2097878] - iomap: iomap_read_inline_data cleanup (Carlos Maiolino) [2130933] - iomap: Fix inline extent handling in iomap_readpage (Carlos Maiolino) [2130933] - iomap: don't try to poll multi-bio I/Os in __iomap_dio_rw (Carlos Maiolino) [2130933] - iomap: standardize tracepoint formatting and storage (Carlos Maiolino) [2130933] - iomap: move loop control code to iter.c (Carlos Maiolino) [2130933] - iomap: constify iomap_iter_srcmap (Carlos Maiolino) [2130933] - fsdax: switch the fault handlers to use iomap_iter (Carlos Maiolino) [2130933] - fsdax: factor out a dax_fault_actor() helper (Carlos Maiolino) [2130933] - fsdax: factor out helpers to simplify the dax fault code (Carlos Maiolino) [2130933] - iomap: rework unshare flag (Carlos Maiolino) [2130933] - iomap: pass an iomap_iter to various buffered I/O helpers (Carlos Maiolino) [2130933] - iomap: remove iomap_apply (Carlos Maiolino) [2130933] - fsdax: Fix infinite loop in dax_iomap_rw() (Carlos Maiolino) [2130933] - fsdax: switch dax_iomap_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_swapfile_activate to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_data to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_hole to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_bmap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_fiemap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch __iomap_dio_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_page_mkwrite to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_zero_range to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_unshare to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_buffered_write to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch readahead and readpage to use iomap_iter (Carlos Maiolino) [2130933] - iomap: add the new iomap_iter model (Carlos Maiolino) [2130933] - iomap: fix the iomap_readpage_actor return value for inline data (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_page_sync const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data_valid const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_sector const (Carlos Maiolino) [2130933] - fsdax: mark the iomap argument to dax_iomap_sector as const (Carlos Maiolino) [2130933] - fs: mark the iomap argument to __block_write_begin_int const (Carlos Maiolino) [2130933] - iomap: fix a trivial comment typo in trace.h (Carlos Maiolino) [2130933] - iomap: pass writeback errors to the mapping (Carlos Maiolino) [2130933] - iomap: Add another assertion to inline data handling (Carlos Maiolino) [2130933] - iomap: Use kmap_local_page instead of kmap_atomic (Carlos Maiolino) [2130933] - iomap: Fix some typos and bad grammar (Carlos Maiolino) [2130933] - iomap: Support inline data with block size < page size (Carlos Maiolino) [2130933] Resolves: rhbz#2139362, rhbz#2089501, rhbz#2137983, rhbz#2113003, rhbz#2123857, rhbz#2120448, rhbz#2111086, rhbz#2130487, rhbz#1871143, rhbz#2044301, rhbz#2134815, rhbz#2131667, rhbz#2117776, rhbz#2106596, rhbz#2097878, rhbz#2130933 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-08 07:50:05 +00:00
# CONFIG_USB_ASPEED_UDC is not set
CONFIG_USB_ATM=m
CONFIG_USB_AUTOSUSPEND_DELAY=2
CONFIG_USB_BELKIN=y
# CONFIG_USB_C67X00_HCD is not set
CONFIG_USB_CATC=m
# CONFIG_USB_CDNS_SUPPORT is not set
# CONFIG_USB_CHAOSKEY is not set
# CONFIG_USB_CHIPIDEA is not set
# CONFIG_USB_CONN_GPIO is not set
CONFIG_USB_CXACRU=m
# CONFIG_USB_CYPRESS_CY7C63 is not set
# CONFIG_USB_CYTHERM is not set
CONFIG_USB_DEFAULT_PERSIST=y
# CONFIG_USB_DSBR is not set
# CONFIG_USB_DWC2 is not set
# CONFIG_USB_DWC3 is not set
# CONFIG_USB_DYNAMIC_MINORS is not set
# CONFIG_USB_EHCI_FSL is not set
# CONFIG_USB_EHCI_HCD_PLATFORM is not set
CONFIG_USB_EHCI_HCD_PPC_OF=y
CONFIG_USB_EHCI_HCD=y
CONFIG_USB_EHCI_ROOT_HUB_TT=y
CONFIG_USB_EHCI_TT_NEWSCHED=y
# CONFIG_USB_EHSET_TEST_FIXTURE is not set
CONFIG_USB_EMI26=m
CONFIG_USB_EMI62=m
CONFIG_USB_EPSON2888=y
CONFIG_USB_EZUSB_FX2=m
# CONFIG_USB_FEW_INIT_RETRIES is not set
# CONFIG_USB_FOTG210_HCD is not set
CONFIG_USB_FTDI_ELAN=m
# CONFIG_USB_GADGET is not set
CONFIG_USB_GL860=m
# CONFIG_USB_GPIO_VBUS is not set
CONFIG_USB_GSPCA_BENQ=m
CONFIG_USB_GSPCA_CONEX=m
CONFIG_USB_GSPCA_CPIA1=m
# CONFIG_USB_GSPCA_DTCS033 is not set
CONFIG_USB_GSPCA_ETOMS=m
CONFIG_USB_GSPCA_FINEPIX=m
CONFIG_USB_GSPCA_JEILINJ=m
CONFIG_USB_GSPCA_JL2005BCD=m
# CONFIG_USB_GSPCA_KINECT is not set
CONFIG_USB_GSPCA_KONICA=m
CONFIG_USB_GSPCA=m
CONFIG_USB_GSPCA_MARS=m
CONFIG_USB_GSPCA_MR97310A=m
CONFIG_USB_GSPCA_NW80X=m
CONFIG_USB_GSPCA_OV519=m
CONFIG_USB_GSPCA_OV534_9=m
CONFIG_USB_GSPCA_OV534=m
CONFIG_USB_GSPCA_PAC207=m
CONFIG_USB_GSPCA_PAC7302=m
CONFIG_USB_GSPCA_PAC7311=m
CONFIG_USB_GSPCA_SE401=m
CONFIG_USB_GSPCA_SN9C2028=m
CONFIG_USB_GSPCA_SN9C20X=m
CONFIG_USB_GSPCA_SONIXB=m
CONFIG_USB_GSPCA_SONIXJ=m
CONFIG_USB_GSPCA_SPCA1528=m
CONFIG_USB_GSPCA_SPCA500=m
CONFIG_USB_GSPCA_SPCA501=m
CONFIG_USB_GSPCA_SPCA505=m
CONFIG_USB_GSPCA_SPCA506=m
CONFIG_USB_GSPCA_SPCA508=m
CONFIG_USB_GSPCA_SPCA561=m
CONFIG_USB_GSPCA_SQ905C=m
CONFIG_USB_GSPCA_SQ905=m
CONFIG_USB_GSPCA_SQ930X=m
CONFIG_USB_GSPCA_STK014=m
# CONFIG_USB_GSPCA_STK1135 is not set
CONFIG_USB_GSPCA_STV0680=m
CONFIG_USB_GSPCA_SUNPLUS=m
CONFIG_USB_GSPCA_T613=m
CONFIG_USB_GSPCA_TOPRO=m
# CONFIG_USB_GSPCA_TOUPTEK is not set
CONFIG_USB_GSPCA_TV8532=m
CONFIG_USB_GSPCA_VC032X=m
CONFIG_USB_GSPCA_VICAM=m
CONFIG_USB_GSPCA_XIRLINK_CIT=m
CONFIG_USB_GSPCA_ZC3XX=m
# CONFIG_USB_HCD_BCMA is not set
# CONFIG_USB_HCD_TEST_MODE is not set
CONFIG_USB_HIDDEV=y
CONFIG_USB_HID=y
CONFIG_USB_HSIC_USB3503=m
# CONFIG_USB_HSIC_USB4604 is not set
CONFIG_USB_HSO=m
# CONFIG_USB_HUB_USB251XB is not set
CONFIG_USB_IDMOUSE=m
CONFIG_USB_IOWARRIOR=m
# CONFIG_USBIP_CORE is not set
CONFIG_USB_IPHETH=m
CONFIG_USB_ISIGHTFW=m
# CONFIG_USB_ISP116X_HCD is not set
# CONFIG_USB_ISP1301 is not set
# CONFIG_USB_ISP1760 is not set
CONFIG_USB_KAWETH=m
CONFIG_USB_KC2190=y
# CONFIG_USB_KEENE is not set
CONFIG_USB_LAN78XX=m
CONFIG_USB_LCD=m
CONFIG_USB_LD=m
CONFIG_USB_LEDS_TRIGGER_USBPORT=m
# CONFIG_USB_LED_TRIG is not set
CONFIG_USB_LEGOTOWER=m
# CONFIG_USB_LGM_PHY is not set
# CONFIG_USB_LINK_LAYER_TEST is not set
CONFIG_USB_M5602=m
# CONFIG_USB_MA901 is not set
# CONFIG_USB_MAX3421_HCD is not set
CONFIG_USB_MDC800=m
CONFIG_USB_MICROTEK=m
CONFIG_USB_MON=y
# CONFIG_USB_MR800 is not set
# CONFIG_USB_MUSB_HDRC is not set
# CONFIG_USB_NET_AQC111 is not set
CONFIG_USB_NET_AX88179_178A=m
CONFIG_USB_NET_AX8817X=m
CONFIG_USB_NET_CDC_EEM=m
CONFIG_USB_NET_CDC_MBIM=m
CONFIG_USB_NET_CDC_NCM=m
CONFIG_USB_NET_CDC_SUBSET=m
CONFIG_USB_NET_CH9200=m
CONFIG_USB_NET_CX82310_ETH=m
CONFIG_USB_NET_DM9601=m
CONFIG_USB_NET_DRIVERS=y
CONFIG_USB_NET_GL620A=m
# CONFIG_USB_NET_HUAWEI_CDC_NCM is not set
CONFIG_USB_NET_INT51X1=m
CONFIG_USB_NET_KALMIA=m
CONFIG_USB_NET_MCS7830=m
CONFIG_USB_NET_NET1080=m
CONFIG_USB_NET_PLUSB=m
CONFIG_USB_NET_QMI_WWAN=m
CONFIG_USB_NET_RNDIS_HOST=m
# CONFIG_USB_NET_RNDIS_WLAN is not set
CONFIG_USB_NET_SMSC75XX=m
CONFIG_USB_NET_SMSC95XX=m
# CONFIG_USB_NET_SR9700 is not set
# CONFIG_USB_NET_SR9800 is not set
CONFIG_USB_NET_ZAURUS=m
CONFIG_USB_OHCI_HCD_PCI=y
# CONFIG_USB_OHCI_HCD_PLATFORM is not set
CONFIG_USB_OHCI_HCD_PPC_OF_BE=y
CONFIG_USB_OHCI_HCD_PPC_OF_LE=y
CONFIG_USB_OHCI_HCD_PPC_OF=y
CONFIG_USB_OHCI_HCD=y
kernel-5.14.0-189.el9 * Tue Nov 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-189.el9] - KVM: VMX: fully disable SGX if SECONDARY_EXEC_ENCLS_EXITING unavailable (Emanuele Giuseppe Esposito) [2139362] - selftests/vm: enable running select groups of tests (Nico Pache) [2089501] - mm: add merging after mremap resize (Nico Pache) [2089501] - selftest: vm: remove deleted local_config.* from .gitignore (Nico Pache) [2089501] - Kselftests: remove support of libhugetlbfs from kselftests (Nico Pache) [2089501] - selftests: vm: add hugetlb_shared userfaultfd test to run_vmtests.sh (Nico Pache) [2089501] - selftests/vm: add selftest to verify multi THP collapse (Nico Pache) [2089501] - selftests/vm: add selftest to verify recollapse of THPs (Nico Pache) [2089501] - selftests/vm: add MADV_COLLAPSE collapse context to selftests (Nico Pache) [2089501] - selftests/vm: dedup hugepage allocation logic (Nico Pache) [2089501] - selftests/vm: modularize collapse selftests (Nico Pache) [2089501] - tools/testing/selftests/vm/hugetlb-madvise.c: silence uninitialized variable warning (Nico Pache) [2089501] - selftests/vm: skip 128TBswitch on unsupported arch (Nico Pache) [2089501] - selftests/vm: fix va_128TBswitch.sh permissions (Nico Pache) [2089501] - selftests/vm: add protection_keys tests to run_vmtests (Nico Pache) [2089501] - selftests/vm: only run 128TBswitch with 5-level paging (Nico Pache) [2089501] - userfaultfd: selftests: infinite loop in faulting_process (Nico Pache) [2089501] - userfaultfd/selftests: fix typo in comment (Nico Pache) [2089501] - selftests: make use of GUP_TEST_FILE macro (Nico Pache) [2089501] - selftests: vm: Fix resource leak when return error (Nico Pache) [2089501] - selftests: vm: add the "settings" file with timeout variable (Nico Pache) [2089501] - selftests: vm: add "test_hmm.sh" to TEST_FILES (Nico Pache) [2089501] - selftests: vm: check numa_available() before operating "merge_across_nodes" in ksm_tests (Nico Pache) [2089501] - selftests: vm: add migration to the .gitignore (Nico Pache) [2089501] - selftests/vm/pkeys: fix typo in comment (Nico Pache) [2089501] - userfaultfd/selftests: use swap() instead of open coding it (Nico Pache) [2089501] - selftests/uffd: enable uffd-wp for shmem/hugetlbfs (Nico Pache) [2089501] - selftest/vm: test that mremap fails on non-existent vma (Nico Pache) [2089501] - selftests: clarify common error when running gup_test (Nico Pache) [2089501] - selftests: vm: fix shellcheck warnings in run_vmtests.sh (Nico Pache) [2089501] - selftests: vm: refactor run_vmtests.sh to reduce boilerplate (Nico Pache) [2089501] - tools/testing/selftests/vm/gup_test.c: clarify error statement (Nico Pache) [2089501] - mm: add selftests for migration entries (Nico Pache) [2089501] - selftests/x86/corrupt_xstate_header: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/x86/amx: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests/vm/pkeys: Use provided __cpuid_count() macro (Nico Pache) [2089501] - selftests: Provide local define of __cpuid_count() (Nico Pache) [2089501] - selftests: kselftest framework: provide "finished" helper (Nico Pache) [2089501] - userfaultfd/selftests: enable hugetlb remap and remove event testing (Nico Pache) [2089501] - selftests/vm: add hugetlb madvise MADV_DONTNEED MADV_REMOVE test (Nico Pache) [2089501] - userfaultfd/selftests: fix uninitialized_var.cocci warning (Nico Pache) [2089501] - selftests: vm: add a hugetlb test case (Nico Pache) [2089501] - selftests, x86: fix how check_cc.sh is being invoked (Nico Pache) [2089501] - selftests: vm: fix clang build error multiple output files (Nico Pache) [2089501] - kselftest/vm: fix tests build with old libc (Nico Pache) [2089501] - selftest/vm: fix map_fixed_noreplace test failure (Nico Pache) [2089501] - selftests: vm: remove dependecy from internal kernel macros (Nico Pache) [2089501] - selftests: vm: Add the uapi headers include variable (Nico Pache) [2089501] - kselftest/vm: revert "tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner" (Nico Pache) [2089501] - userfaultfd/selftests: clean up hugetlb allocation code (Nico Pache) [2089501] - selftests/uffd: allow EINTR/EAGAIN (Nico Pache) [2089501] - selftests/vm: make charge_reserved_hugetlb.sh work with existing cgroup setting (Nico Pache) [2089501] - tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner (Nico Pache) [2089501] - userfaultfd/selftests: fix hugetlb area allocations (Nico Pache) [2089501] - selftests/vm: remove ARRAY_SIZE define from individual tests (Nico Pache) [2089501] - selftests/vm: make MADV_POPULATE_(READ|WRITE) use in-tree headers (Nico Pache) [2089501] - selftests: vm: add KSM huge pages merging time test (Nico Pache) [2089501] - selftests/vm/transhuge-stress: fix ram size thinko (Nico Pache) [2089501] - remoteproc: imx_rproc: Simplify some error message (Steve Best) [2137983] - remoteproc: imx_rproc: Fix refcount leak in imx_rproc_addr_init (Steve Best) [2137983] - remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - remoteproc: imx_rproc: Ignore create mem entry for resource table (Steve Best) [2137983] - dt-bindings: remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983] - usb: dwc3: st: Rely on child's compatible instead of name (Torez Smith) [2113003] - usb: typec: anx7411: Use of_get_child_by_name() instead of of_find_node_by_name() (Torez Smith) [2113003] - media: airspy: fix memory leak in airspy probe (Torez Smith) [2113003] - uas: ignore UAS for Thinkplus chips (Torez Smith) [2113003] - usb-storage: Add Hiksemi USB3-FW to IGNORE_UAS (Torez Smith) [2113003] - uas: add no-uas quirk for Hiksemi usb_disk (Torez Smith) [2113003] - usb: dwc3: st: Fix node's child name (Torez Smith) [2113003] - usb: typec: ucsi: Remove incorrect warning (Torez Smith) [2113003] - usb: typec: anx7411: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2113003] - thunderbolt: Explicitly reset plug events delay back to USB4 spec value (Torez Smith) [2113003] - media: flexcop-usb: fix endpoint type check (Torez Smith) [2113003] - USB: serial: option: add Quectel RM520N (Torez Smith) [2113003] - USB: serial: option: add Quectel BG95 0x0203 composition (Torez Smith) [2113003] - thunderbolt: Add support for Intel Maple Ridge single port controller (Torez Smith) [2113003] - usb: dwc3: core: leave default DMA if the controller does not support 64-bit DMA (Torez Smith) [2113003] - USB: core: Fix RST error in hub.c (Torez Smith) [2113003] - Revert "usb: gadget: udc-xilinx: replace memcpy with memcpy_toio" (Torez Smith) [2113003] - kunit: fix Kconfig for build-in tests USB4 and Nitro Enclaves (Torez Smith) [2113003] - usb: storage: Add ASUS <0x0b05:0x1932> to IGNORE_UAS (Torez Smith) [2113003] - USB: serial: ch341: fix disabled rx timer on older devices (Torez Smith) [2113003] - USB: serial: ch341: fix lost character on LCR updates (Torez Smith) [2113003] - USB: serial: cp210x: add Decagon UCA device id (Torez Smith) [2113003] - Revert "usb: add quirks for Lenovo OneLink+ Dock" (Torez Smith) [2113003] - media: uvcvideo: Fix InterfaceProtocol for Quanta camera (Torez Smith) [2113003] - usb: cdns3: fix issue with rearming ISO OUT endpoint (Torez Smith) [2113003] - usb: cdns3: fix incorrect handling TRB_SMM flag for ISOC transfer (Torez Smith) [2113003] - usb: gadget: mass_storage: Fix cdrom data transfers on MAC-OS (Torez Smith) [2113003] - USB: core: Prevent nested device-reset calls (Torez Smith) [2113003] - USB: gadget: Fix obscure lockdep violation for udc_mutex (Torez Smith) [2113003] - usb: gadget: udc-xilinx: replace memcpy with memcpy_toio (Torez Smith) [2113003] - usb: typec: Remove retimers properly (Torez Smith) [2113003] - usb: dwc3: disable USB core PHY management (Torez Smith) [2113003] - usb: add quirks for Lenovo OneLink+ Dock (Torez Smith) [2113003] - USB: serial: option: add support for Cinterion MV32-WA/WB RmNet mode (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Omron CS1W-CIF31 device id (Torez Smith) [2113003] - USB: serial: option: add Quectel EM060K modem (Torez Smith) [2113003] - USB: serial: option: add support for OPPO R11 diag port (Torez Smith) [2113003] - Revert "xhci: turn off port power in shutdown" (Torez Smith) [2113003] - xhci: Add grace period after xHC start to prevent premature runtime suspend. (Torez Smith) [2113003] - xhci: Fix null pointer dereference in remove if xHC has only one roothub (Torez Smith) [2113003] - Revert "usb: typec: ucsi: add a common function ucsi_unregister_connectors()" (Torez Smith) [2113003] - usb: dwc3: qcom: suppress unused-variable warning (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add compatible for mt8188 (Torez Smith) [2113003] - USB: cdc-acm: Add Icom PMR F3400 support (0c26:0020) (Torez Smith) [2113003] - usb: gadget: f_uac2: fix superspeed transfer (Torez Smith) [2113003] - usb: xhci-mtk: fix bandwidth release issue (Torez Smith) [2113003] - usb: xhci-mtk: relax TT periodic bandwidth allocation (Torez Smith) [2113003] - usb: typec: altmodes/displayport: correct pin assignment for UFP receptacles (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Drop reset delay in onboard_hub_power_off() (Torez Smith) [2113003] - usb: dwc3: gadget: Avoid duplicate requests to enable Run/Stop (Torez Smith) [2113003] - usb: dwc3: pci: Add support for Intel Raptor Lake (Torez Smith) [2113003] - usb: typec: intel_pmc_mux: Add new ACPI ID for Meteor Lake IOM device (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: Add SM6375 compatible (Torez Smith) [2113003] - usb: dwc3: qcom: clean up suspend callbacks (Torez Smith) [2113003] - usb: dwc3: qcom: fix wakeup implementation (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add wakeup-source property (Torez Smith) [2113003] - usb: dwc3: qcom: fix peripheral and OTG suspend (Torez Smith) [2113003] - usb: dwc3: qcom: fix runtime PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix use-after-free on runtime-PM wakeup (Torez Smith) [2113003] - usb: dwc3: qcom: fix gadget-only builds (Torez Smith) [2113003] - Revert "usb: dwc3: qcom: Keep power domain on to retain controller status" (Torez Smith) [2113003] - usb: dwc3: fix PHY disable sequence (Torez Smith) [2113003] - usb-storage: Add ignore-residue quirk for NXP PN7462AU (Torez Smith) [2113003] - usb: typec: tcpm: Return ENOTSUPP for power supply prop writes (Torez Smith) [2113003] - musb: fix USB_MUSB_TUSB6010 dependency (Torez Smith) [2113003] - thunderbolt: Check router generation before connecting xHCI (Torez Smith) [2113003] - thunderbolt: Use the actual buffer in tb_async_error() (Torez Smith) [2113003] - usb: cdns3: Don't use priv_dev uninitialized in cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb:udc: remove reference to AVR32 architecture in Atmel USBA Kconfig (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Remove duplicated power_on delay (Torez Smith) [2113003] - media: uvcvideo: Fix invalid pointer in uvc_ctrl_init_ctrl() (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add TI USB8041 hub support (Torez Smith) [2113003] - usb: misc: onboard_usb_hub: Add reset-gpio support (Torez Smith) [2113003] - USB: usbsevseg: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - dt-bindings: usb: Add binding for TI USB8041 hub controller (Torez Smith) [2113003] - usb: misc: onboard-hub: add support for Microchip USB2514B USB 2.0 hub (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: allow usb-hcd schema properties (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add bootloader support (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: define config (Torez Smith) [2113003] - usb: typec: ucsi: stm32g0: add support for stm32g0 controller (Torez Smith) [2113003] - dt-bindings: usb: typec: add bindings for stm32g0 controller (Torez Smith) [2113003] - usb: typec: ucsi: Acknowledge the GET_ERROR_STATUS command completion (Torez Smith) [2113003] - usb: cdns3: change place of 'priv_ep' assignment in cdns3_gadget_ep_dequeue(), cdns3_gadget_ep_enable() (Torez Smith) [2113003] - usb/chipidea: fix repeated words in comments (Torez Smith) [2113003] - usb: renesas-xhci: Do not print any log while fw verif success (Torez Smith) [2113003] - usb: typec: retimer: Add missing id check in match callback (Torez Smith) [2113003] - USB: xhci: Fix comment typo (Torez Smith) [2113003] - usb/typec/tcpm: fix repeated words in comments (Torez Smith) [2113003] - usb/musb: fix repeated words in comments (Torez Smith) [2113003] - usb/misc: fix repeated words in comments (Torez Smith) [2113003] - usb/image: fix repeated words in comments (Torez Smith) [2113003] - usb/host: fix repeated words in comments (Torez Smith) [2113003] - usb/core: fix repeated words in comments (Torez Smith) [2113003] - usb/atm: fix repeated words in comments (Torez Smith) [2113003] - USB: gadget: Fix use-after-free Read in usb_udc_uevent() (Torez Smith) [2113003] - USB: HCD: Fix URB giveback issue in tasklet function (Torez Smith) [2113003] - usb: xhci_plat_remove: avoid NULL dereference (Torez Smith) [2113003] - usb: typec: anx7411: fix passing zero to 'PTR_ERR' (Torez Smith) [2113003] - usb: typec: anx7411: Fix error return code in anx7411_i2c_probe() (Torez Smith) [2113003] - usb: typec: anx7411: Fix return value check in anx7411_register_i2c_dummy_clients() (Torez Smith) [2113003] - usb: typec: anx7411: Fix wrong pointer passed to PTR_ERR() (Torez Smith) [2113003] - usb: typec: anx7411: use semi-colons instead of commas (Torez Smith) [2113003] - usb: typec: anx7411: fix error checking in anx7411_get_gpio_irq() (Torez Smith) [2113003] - usb: typec: anx7411: Fix an array out of bounds (Torez Smith) [2113003] - usb: phy: remove redundant store to variable var after & operation (Torez Smith) [2113003] - USB: serial: fix tty-port initialized comments (Torez Smith) [2113003] - USB: serial: usb_wwan: replace DTR/RTS magic numbers with macros (Torez Smith) [2113003] - USB: gadget: f_acm: use CDC defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC serial-state defines (Torez Smith) [2113003] - USB: cdc-acm: use CDC control-line defines (Torez Smith) [2113003] - USB: cdc: add serial-state defines (Torez Smith) [2113003] - USB: cdc: add control-signal defines (Torez Smith) [2113003] - net/cdc_ncm: Increase NTB max RX/TX values to 64kb (Torez Smith) [2113003] - media: uvcvideo: Remove unneeded goto (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Acer EasyCamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta cameras (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003] - media: uvcvideo: Limit power line control for Quanta UVC Webcam (Torez Smith) [2113003] - media: uvcvideo: Add support for per-device control mapping overrides (Torez Smith) [2113003] - media: uvcvideo: Add missing value for power_line_frequency (Torez Smith) [2113003] - media: uvcvideo: Replace broken URL (Torez Smith) [2113003] - media: uvcvideo: Fix comment blocks style (Torez Smith) [2113003] - usb: typec: Add retimer handle to port (Torez Smith) [2113003] - usb: typec: Add support for retimers (Torez Smith) [2113003] - usb: dwc3: qcom: fix missing optional irq warnings (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: refine interrupt requirements (Torez Smith) [2113003] - dt-bindings: usb: qcom,dwc3: add SC8280XP binding (Torez Smith) [2113003] - usb: typec: anx7411: define config (Torez Smith) [2113003] - usb: typec: anx7411: Add Analogix PD ANX7411 support (Torez Smith) [2113003] - dt-bindings: usb: Add analogix anx7411 PD binding (Torez Smith) [2113003] - usb: dwc3: core: Do not perform GCTL_CORE_SOFTRESET during bootup (Torez Smith) [2113003] - usb: aspeed-vhub: Fix refcount leak bug in ast_vhub_init_desc() (Torez Smith) [2113003] - usb: ldusb: replace ternary operator with max_t() (Torez Smith) [2113003] - usb: dwc3: ep0: Properly handle setup_packet_pending scenario in data stage (Torez Smith) [2113003] - usb: misc: onboard_hub: Fix 'missing prototype' warning (Torez Smith) [2113003] - Revert "dt-bindings: usb: mtk-xhci: Make all clocks required" (Torez Smith) [2113003] - usb: gadget: f_mass_storage: forced_eject attribute (Torez Smith) [2113003] - usb: gadget: udc: amd5536 depends on HAS_DMA (Torez Smith) [2113003] - USB: serial: fix repeated word "the" in comments (Torez Smith) [2113003] - USB: serial: io_edgeport: fix spelling mistakes (Torez Smith) [2113003] - USB: serial: ftdi_sio: add Belimo device ids (Torez Smith) [2113003] - thunderbolt: test: Use kunit_test_suite() macro (Torez Smith) [2113003] - media: pvrusb2: drop unexpected word 'a' in comments (Torez Smith) [2113003] - media: gspca: drop unexpected word 'is' in the comments (Torez Smith) [2113003] - usb: dwc3: gadget: fix high speed multiplier setting (Torez Smith) [2113003] - usb: dwc3: gadget: refactor dwc3_repare_one_trb (Torez Smith) [2113003] - drivers/usb/host/ehci-fsl: Fix interrupt setup in host mode. (Torez Smith) [2113003] - usb: gadget: uvc: fix changing interface name via configfs (Torez Smith) [2113003] - usb: typec: add missing uevent when partner support PD (Torez Smith) [2113003] - tools: usb: testusb: Add super-plus speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add super speed reporting (Torez Smith) [2113003] - tools: usb: testusb: Add wireless speed reporting (Torez Smith) [2113003] - usb: core: hub: Create platform devices for onboard hubs in hub_probe() (Torez Smith) [2113003] - usb: misc: Add config for onboard_usb_hub driver (Torez Smith) [2113003] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2113003] - dt-bindings: usb: rts5411: Rename property 'companion-hub' to 'peer-hub' (Torez Smith) [2113003] - usb: mtu3: support function remote wakeup (Torez Smith) [2113003] - usb: mtu3: check capability of usb3 dual role (Torez Smith) [2113003] - usb: typec: tcpci: move tcpci.h to include/linux/usb/ (Torez Smith) [2113003] - gadgetfs: ep_io - wait until IRQ finishes (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add compatible for mt8188 (Torez Smith) [2113003] - usb: gadget: udc: atmel: convert to platform driver (Torez Smith) [2113003] - usb: gadget: udc: atmel: check rc of devm_gpiod_get_optional() (Torez Smith) [2113003] - USB: gadget: udc: tracing: Do not open code __string() with __dynamic_array() (Torez Smith) [2113003] - ARM: sa1100/assabet: move dmabounce hack to ohci driver (Torez Smith) [2113003] - dt-bindings: usb: generic-ohci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: generic-ehci: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: sunxi-musb: Add Allwinner D1 compatible (Torez Smith) [2113003] - dt-bindings: usb: atmel: Add Microchip LAN9662 compatible string (Torez Smith) [2113003] - usb: host: xhci: use snprintf() in xhci_decode_trb() (Torez Smith) [2113003] - usb: dwc3-am62: remove unnecesary clk_put() (Torez Smith) [2113003] - usb: dwc3: gadget: Fix event pending check (Torez Smith) [2113003] - usb: gadget: f_mass_storage: Make CD-ROM emulation works with Windows OS (Torez Smith) [2113003] - USB: cdc-acm: use define for timeout (Torez Smith) [2113003] - usb: musb: mpfs: add missing clk_disable_unprepare() in mpfs_remove() (Torez Smith) [2113003] - usb: dwc3: gadget: fix a kernel-doc warning (Torez Smith) [2113003] - usb: dwc3: document async_callbacks field (Torez Smith) [2113003] - usb: typec_altmode: add a missing "@" at a kernel-doc parameter (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Make all clocks required (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: Allow wakeup interrupt-names to be optional (Torez Smith) [2113003] - usb: core: sysfs: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003] - media: usb: gspca: aligned '*' each line (Torez Smith) [2113003] - media: hdpvr: fix error value returns in hdpvr_read (Torez Smith) [2113003] - media: em28xx: Remove useless license text when SPDX-License-Identifier is already used (Torez Smith) [2113003] - usb: gadget: u_ether: Remove duplicated include in u_ether.c (Torez Smith) [2113003] - usb: max-3421: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: drop unexpected word "the" in the comments (Torez Smith) [2113003] - usb: dwc2: gadget: remove D+ pull-up while no vbus with usb-role-switch (Torez Smith) [2113003] - usb: musb: mpfs: Fix error codes in probe() (Torez Smith) [2113003] - USB: serial: use kmemdup instead of kmalloc + memcpy (Torez Smith) [2113003] - usb: dwc2: host: add TPL support (Torez Smith) [2113003] - dt-bindings: usb: dwc2: document TPL support (Torez Smith) [2113003] - usb: host: ehci-platform: add TPL support (Torez Smith) [2113003] - usb: host: ohci-platform: add TPL support (Torez Smith) [2113003] - usb: chipidea: ci_hdrc_imx: use dev_err_probe() (Torez Smith) [2113003] - usb: chipidea: udc: implement get_frame (Torez Smith) [2113003] - usb: host: ehci-q: Fix ehci_submit_single_step_set_feature annotation typo (Torez Smith) [2113003] - USB: storage: Fix typo in comment (Torez Smith) [2113003] - USB: ohci-sm501: Fix typo in comment (Torez Smith) [2113003] - usb: musb: core: drop redundant checks (Torez Smith) [2113003] - usb: renesas: Fix refcount leak bug (Torez Smith) [2113003] - usb: host: ohci-ppc-of: Fix refcount leak bug (Torez Smith) [2113003] - usb: typec: mux: Add CONFIG guards for functions (Torez Smith) [2113003] - usb: typec: mux: Allow muxes to specify mode-switch (Torez Smith) [2113003] - usb: dwc3: qcom: Keep power domain on to retain controller status (Torez Smith) [2113003] - usb: dwc3: qcom: Configure wakeup interrupts during suspend (Torez Smith) [2113003] - usb: dwc3: qcom: Add helper functions to enable,disable wake irqs (Torez Smith) [2113003] - usb: dwc3: core: Host wake up support from system suspend (Torez Smith) [2113003] - dt-bindings: usb: dwc3: Add wakeup-source property support (Torez Smith) [2113003] - usb: dwc3: core: Deprecate GCTL.CORESOFTRESET (Torez Smith) [2113003] - usb: musb: Add support for PolarFire SoC's musb controller (Torez Smith) [2113003] - Revert "usb: dwc3: Remove the checks of -ENOSYS" (Torez Smith) [2113003] - media: pvrusb2: fix memory leak in pvr_probe (Torez Smith) [2113003] - media: airspy: respect the DMA coherency rules (Torez Smith) [2113003] - media: usbtv: Add PAL-Nc standard (Torez Smith) [2113003] - thunderbolt: Fix typo in comment (Torez Smith) [2113003] - dt-bindings: usb: add documentation for aspeed udc (Torez Smith) [2113003] - usb: gadget: config Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: gadget: add Aspeed ast2600 udc driver (Torez Smith) [2113003] - usb: dwc3: Fix typos in Kconfig (Torez Smith) [2113003] - usb: dwc3: Fix a repeated word checkpatch warning (Torez Smith) [2113003] - usb: dwc3: Fix bare use of unsigned checkpatch warning (Torez Smith) [2113003] - usb: Avoid extra usb SET_SEL requests when enabling link power management (Torez Smith) [2113003] - usb: typec: tcpm: Register USB Power Delivery Capabilities (Torez Smith) [2113003] - usb: typec: USB Power Delivery helpers for ports and partners (Torez Smith) [2113003] - usb: typec: Separate USB Power Delivery from USB Type-C (Torez Smith) [2113003] - usb: hub: port: add sysfs entry to switch port power (Torez Smith) [2113003] - ucsi_ccg: ACPI based I2c client enumeration for AMD ASICs (Torez Smith) [2113003] - ucsi_ccg: Do not hardcode interrupt polarity and type (Torez Smith) [2113003] - usb: mtu3: register mtu3_irq by threaded irq (Torez Smith) [2113003] - usb: mtu3: implement udc_async_callbacks of gadget operation (Torez Smith) [2113003] - usb: mtu3: sync interrupt before unbind the udc (Torez Smith) [2113003] - usb: musb: remove schedule work called after flush (Torez Smith) [2113003] - usb: gadget: uvc: call uvc uvcg_warn on completed status instead of uvcg_info (Torez Smith) [2113003] - usb: gadget: uvc: calculate the number of request depending on framesize (Torez Smith) [2113003] - usb: mtu3: add support controller reset (Torez Smith) [2113003] - usb: xhci-mtk: add support optional controller reset (Torez Smith) [2113003] - dt-bindings: usb: mtu3: add support 'resets' property (Torez Smith) [2113003] - dt-bindings: usb: mtk-xhci: add support 'resets' property (Torez Smith) [2113003] - USB: chipidea: fix typo in comment (Torez Smith) [2113003] - usb: gadget: bdc: fix typo in comment (Torez Smith) [2113003] - usb: typec: tcpm: fix typo in comment (Torez Smith) [2113003] - usb: xhci: tegra: Fix error check (Torez Smith) [2113003] - usb: gadget: tegra-xudc: Fix error check in tegra_xudc_powerdomain_init() (Torez Smith) [2113003] - usb: common: usb-conn-gpio: Allow wakeup from system suspend (Torez Smith) [2113003] - usbip: vudc: Don't enable IRQs prematurely (Torez Smith) [2113003] - USB: usbip: clean up mixed use of _irq() and _irqsave() (Torez Smith) [2113003] - USB: Follow-up to SPDX GPL-2.0+ identifiers addition - remove now useless comments (Torez Smith) [2113003] - USB: Follow-up to SPDX identifiers addition - remove now useless comments (Torez Smith) [2113003] - usb: dwc3: Remove the checks of -ENOSYS (Torez Smith) [2113003] - usb: host: ohci-at91: add support to enter suspend using SMC (Torez Smith) [2113003] - usb: ohci-nxp: Fix refcount leak in ohci_hcd_nxp_probe (Torez Smith) [2113003] - usb: host: Fix refcount leak in ehci_hcd_ppc_of_probe (Torez Smith) [2113003] - usb: dwc3: add power down scale setting (Torez Smith) [2113003] - usb: cdns3: fix random warning message when driver load (Torez Smith) [2113003] - usb: cdns3 fix use-after-free at workaround 2 (Torez Smith) [2113003] - usb: gadget: uvc: Fix comment blocks style (Torez Smith) [2113003] - thunderbolt: Fix some kernel-doc comments (Torez Smith) [2113003] - thunderbolt: Change TMU mode to HiFi uni-directional once DisplayPort tunneled (Torez Smith) [2113003] - thunderbolt: Add CL1 support for USB4 and Titan Ridge routers (Torez Smith) [2113003] - thunderbolt: Change downstream router's TMU rate in both TMU uni/bidir mode (Torez Smith) [2113003] - thunderbolt: Fix typos in CLx enabling (Torez Smith) [2113003] - thunderbolt: CLx disable before system suspend only if previously enabled (Torez Smith) [2113003] - thunderbolt: Silently ignore CLx enabling in case CLx is not supported (Torez Smith) [2113003] - audit: unify audit_filter_{uring(), inode_name(), syscall()} (Richard Guy Briggs) [2123857] - audit: cache ctx->major in audit_filter_syscall() (Richard Guy Briggs) [2123857] - audit_init_parent(): constify path (Richard Guy Briggs) [2123857] - audit: remove selinux_audit_rule_update() declaration (Richard Guy Briggs) [2123857] - audit: use time_after to compare time (Richard Guy Briggs) [2123857] - audit: free audit_proctitle only on task exit (Richard Guy Briggs) [2123857] - audit: explicitly check audit_context->context enum value (Richard Guy Briggs) [2123857] - audit: audit_context pid unused, context enum comment fix (Richard Guy Briggs) [2123857] - audit: fix repeated words in comments (Richard Guy Briggs) [2123857] - lsm: clean up redundant NULL pointer check (Richard Guy Briggs) [2123857] - audit: move audit_return_fixup before the filters (Richard Guy Briggs) [2123857] - audit: fix potential double free on error path from fsnotify_add_inode_mark (Richard Guy Briggs) [2123857] - audit: make is_audit_feature_set() static (Richard Guy Briggs) [2123857] - audit: remove redundant data_len check (Richard Guy Briggs) [2123857] - redhat: fix the branch we pull from the documentation tree (Herton R. Krzesinski) - x86/fpu: Do not leak fpstate pointer on fork (Rafael Aquini) [2120448] - tools headers kvm s390: Sync headers with the kernel sources (Thomas Huth) [2111086] - KVM: s390: resetting the Topology-Change-Report (Thomas Huth) [2111086] - KVM: s390: guest support for topology function (Thomas Huth) [2111086] - KVM: s390: Cleanup ipte lock access and SIIF facility checks (Thomas Huth) [2111086] - KVM: s390: Pass initialized arg even if unused (Thomas Huth) [2130487] - KVM: s390: pv: destroy the configuration before its memory (Thomas Huth) [2130487] - KVM: s390: pv: refactoring of kvm_s390_pv_deinit_vm (Thomas Huth) [2130487] - KVM: s390: pv: add mmu_notifier (Thomas Huth) [2130487] - KVM: s390: pv: Add kvm_s390_cpus_from_pv to kvm-s390.h and add documentation (Thomas Huth) [2130487] - KVM: s390: pv: clear the state without memset (Thomas Huth) [2130487] - KVM: s390: pv: add export before import (Thomas Huth) [2130487] - KVM: s390: pv: usage counter instead of flag (Thomas Huth) [2130487] - KVM: s390: pv: refactor s390_reset_acc (Thomas Huth) [2130487] - KVM: s390: pv: handle secure storage violations for protected guests (Thomas Huth) [2130487] - KVM: s390: pv: leak the topmost page table when destroy fails (Thomas Huth) [2130487] - s390: Add attestation query information (Thomas Huth) [2130487] - KVM: s390: drop unexpected word 'and' in the comments (Thomas Huth) [2130487] - redhat: Enable CONFIG_VFIO_PCI_ZDEV_KVM for downstream builds (Thomas Huth) [1871143] - KVM: s390: pci: fix GAIT physical vs virtual pointers usage (Thomas Huth) [1871143] - KVM: s390: pci: fix plain integer as NULL pointer warnings (Thomas Huth) [1871143] - KVM: s390/pci: fix include duplicates (Thomas Huth) [1871143] - KVM: s390: pci: register pci hooks without interpretation (Thomas Huth) [1871143] - KVM: s390: pci: Hook to access KVM lowlevel from VFIO (Thomas Huth) [1871143] - Documentation: kvm: extend KVM_S390_ZPCI_OP subheading underline (Thomas Huth) [1871143] - MAINTAINERS: additional files related kvm s390 pci passthrough (Thomas Huth) [1871143] - KVM: s390: add KVM_S390_ZPCI_OP to manage guest zPCI devices (Thomas Huth) [1871143] - vfio-pci/zdev: different maxstbl for interpreted devices (Thomas Huth) [1871143] - vfio-pci/zdev: add function handle to clp base capability (Thomas Huth) [1871143] - vfio-pci/zdev: add open/close device hooks (Thomas Huth) [1871143] - KVM: s390: pci: add routines to start/stop interpretive execution (Thomas Huth) [1871143] - KVM: s390: pci: provide routines for enabling/disabling interrupt forwarding (Thomas Huth) [1871143] - KVM: s390: mechanism to enable guest zPCI Interpretation (Thomas Huth) [1871143] - KVM: s390: pci: enable host forwarding of Adapter Event Notifications (Thomas Huth) [1871143] - KVM: s390: pci: do initial setup for AEN interpretation (Thomas Huth) [1871143] - KVM: s390: pci: add basic kvm_zdev structure (Thomas Huth) [1871143] - vfio/pci: introduce CONFIG_VFIO_PCI_ZDEV_KVM (Thomas Huth) [1871143] - s390/pci: stash dtsm and maxstbl (Thomas Huth) [1871143] - s390/pci: stash associated GISA designation (Thomas Huth) [1871143] - s390/pci: externalize the SIC operation controls and routine (Thomas Huth) [1871143] - s390/airq: allow for airq structure that uses an input vector (Thomas Huth) [1871143] - s390/airq: pass more TPI info to airq handlers (Thomas Huth) [1871143] - s390/ap: fix kernel doc comments (Thomas Huth) [1871143] - s390/sclp: detect the AISI facility (Thomas Huth) [1871143] - s390/sclp: detect the AENI facility (Thomas Huth) [1871143] - s390/sclp: detect the AISII facility (Thomas Huth) [1871143] - s390/sclp: detect the zPCI load/store interpretation facility (Thomas Huth) [1871143] - s390/sclp: add detection of IPL-complete-control facility (Thomas Huth) [1871143] - s390/pci: add error record for CC 2 retries (Thomas Huth) [1871143] - s390/pci: add PCI access type and length to error records (Thomas Huth) [1871143] - s390/pci: don't log availability events as errors (Thomas Huth) [1871143] - s390/pci: make better use of zpci_dbg() levels (Thomas Huth) [1871143] - s390/pci: rename get_zdev_by_bus() to zdev_from_bus() (Thomas Huth) [1871143] - s390/pci: improve zpci_dev reference counting (Thomas Huth) [1871143] - s390/pci: make zpci_set_irq()/zpci_clear_irq() static (Thomas Huth) [1871143] - s390/pci: simplify __pciwb_mio() inline asm (Thomas Huth) [1871143] - s390/pci: use physical addresses in DMA tables (Thomas Huth) [1871143] - s390/pci: use phys_to_virt() for AIBVs/DIBVs (Thomas Huth) [1871143] - s390/pci: implement minimal PCI error recovery (Thomas Huth) [1871143] - s390/pci: implement reset_slot for hotplug slot (Thomas Huth) [1871143] - s390/pci: refresh function handle in iomap (Thomas Huth) [1871143] - s390/pci: add simpler s390dbf traces for events (Thomas Huth) [1871143] - s390/pci: tolerate inconsistent handle in recover (Thomas Huth) [1871143] - s390/pci_mmio: fully validate the VMA before calling follow_pte() (Thomas Huth) [1871143] - s390/pci: read clp_list_pci_req only once (Thomas Huth) [1871143] - s390/pci: fix clp_get_state() handling of -ENODEV (Thomas Huth) [1871143] - s390/pci: improve DMA translation init and exit (Thomas Huth) [1871143] - s390/pci: simplify CLP List PCI handling (Thomas Huth) [1871143] - s390/pci: handle FH state mismatch only on disable (Thomas Huth) [1871143] - s390/pci: fix misleading rc in clp_set_pci_fn() (Thomas Huth) [1871143] - Documentation: KVM: update s390-diag.rst reference (Thomas Huth) [2130487] - Documentation: KVM: update s390-pv.rst reference (Thomas Huth) [2130487] - Documentation/virt/kvm/api.rst: Explain rc/rrc delivery (Thomas Huth) [2044301] - Documentation/virt/kvm/api.rst: Add protvirt dump/info api descriptions (Thomas Huth) [2044301] - Documentation: virt: Protected virtual machine dumps (Thomas Huth) [2044301] - KVM: s390: Add KVM_CAP_S390_PROTECTED_DUMP (Thomas Huth) [2044301] - KVM: s390: Add CPU dump functionality (Thomas Huth) [2044301] - KVM: s390: Add configuration dump functionality (Thomas Huth) [2044301] - KVM: s390: pv: Add query dump information (Thomas Huth) [2044301] - KVM: s390: pv: Add dump support definitions (Thomas Huth) [2044301] - KVM: s390: pv: Add query interface (Thomas Huth) [2044301] - s390/uv: Add dump fields to query (Thomas Huth) [2044301] - s390/uv: Add SE hdr query information (Thomas Huth) [2044301] - s390: uv: Add offset comments to UV query struct and fix naming (Thomas Huth) [2130487] - s390/uv: fix memblock virtual vs physical address confusion (Thomas Huth) [2130487] - KVM: s390: Use Makefile.kvm for common files (Thomas Huth) [2130487] - KVM: s390: Skip gfn/size sanity checks on memslot DELETE or FLAGS_ONLY (Thomas Huth) [2130487] - netfilter: nft_fib: Fix for rpath check with VRF devices (Guillaume Nault) [2134815] - ipv6: ping: fix wrong checksum for large frames (Guillaume Nault) [2134815] - ipv4: Handle attempt to delete multipath route when fib_info contains an nh reference (Guillaume Nault) [2134815] - once: rename _SLOW to _SLEEPABLE (Guillaume Nault) [2134815] - once: add DO_ONCE_SLOW() for sleepable contexts (Guillaume Nault) [2134815] - net, lib/once: remove {net_}get_random_once_wait macro (Guillaume Nault) [2134815] - tcp: use alloc_large_system_hash() to allocate table_perturb (Guillaume Nault) [2134815] - ipv4: fix ip option filtering for locally generated fragments (Guillaume Nault) [2134815] - net: ipv4: Fix the warning for dereference (Guillaume Nault) [2134815] - net: ipv4: Move ip_options_fragment() out of loop (Guillaume Nault) [2134815] - x86/fpu: Prevent FPU state corruption (Oleksandr Natalenko) [2131667] - iommu/amd: Globally detect SNP support (Jerry Snitselaar) [2117776] - iommu/amd: Fix compile warning in init code (Jerry Snitselaar) [2117776] - iommu/amd: Simplify and Consolidate Virtual APIC (AVIC) Enablement (Jerry Snitselaar) [2106596] - iommu/amd: Process all IVHDs before enabling IOMMU features (Jerry Snitselaar) [2117776] - iommu/amd: Introduce global variable for storing common EFR and EFR2 (Jerry Snitselaar) [2117776] - iommu/amd: Introduce Support for Extended Feature 2 Register (Jerry Snitselaar) [2117776] - iommu/amd: Change macro for IOMMU control register bit shift to decimal value (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_iommu_fault structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Update device_state structure to include PCI seg ID (Jerry Snitselaar) [2117776] - iommu/amd: Print PCI segment ID in error log messages (Jerry Snitselaar) [2117776] - iommu/amd: Add PCI segment support for ivrs_[ioapic/hpet/acpihid] commands (Jerry Snitselaar) [2117776] - iommu/amd: Specify PCI segment ID when getting pci device (Jerry Snitselaar) [2117776] - iommu/amd: Include PCI segment ID when initialize IOMMU (Jerry Snitselaar) [2117776] - iommu/amd: Introduce get_device_sbdf_id() helper function (Jerry Snitselaar) [2117776] - iommu/amd: Flush upto last_bdf only (Jerry Snitselaar) [2117776] - iommu/amd: Remove global amd_iommu_[dev_table/alias_table/last_bdf] (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dev_entry_bit() and get_dev_entry_bit() (Jerry Snitselaar) [2117776] - iommu/amd: Update (un)init_device_table_dma() (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_irq_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update dump_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Update iommu_ignore_device (Jerry Snitselaar) [2117776] - iommu/amd: Update set_dte_entry and clear_dte_entry (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment rlookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Update alloc_irq_table and alloc_irq_index (Jerry Snitselaar) [2117776] - iommu/amd: Update amd_irte_ops functions (Jerry Snitselaar) [2117776] - iommu/amd: Introduce struct amd_ir_data.iommu (Jerry Snitselaar) [2117776] - iommu/amd: Update irq_remapping_alloc to use IOMMU lookup helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use rlookup_amd_iommu helper function (Jerry Snitselaar) [2117776] - iommu/amd: Convert to use per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table size (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment last_bdf (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment unity map list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment alias_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment old_dev_tbl_cpy (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment dev_data_list (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment rlookup table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce per PCI segment device table (Jerry Snitselaar) [2117776] - iommu/amd: Introduce pci segment structure (Jerry Snitselaar) [2117776] - iommu/amd: Update struct iommu_dev_data definition (Jerry Snitselaar) [2117776] - iommu/amd: Indicate whether DMA remap support is enabled (Jerry Snitselaar) [2097878] - iomap: iomap_read_inline_data cleanup (Carlos Maiolino) [2130933] - iomap: Fix inline extent handling in iomap_readpage (Carlos Maiolino) [2130933] - iomap: don't try to poll multi-bio I/Os in __iomap_dio_rw (Carlos Maiolino) [2130933] - iomap: standardize tracepoint formatting and storage (Carlos Maiolino) [2130933] - iomap: move loop control code to iter.c (Carlos Maiolino) [2130933] - iomap: constify iomap_iter_srcmap (Carlos Maiolino) [2130933] - fsdax: switch the fault handlers to use iomap_iter (Carlos Maiolino) [2130933] - fsdax: factor out a dax_fault_actor() helper (Carlos Maiolino) [2130933] - fsdax: factor out helpers to simplify the dax fault code (Carlos Maiolino) [2130933] - iomap: rework unshare flag (Carlos Maiolino) [2130933] - iomap: pass an iomap_iter to various buffered I/O helpers (Carlos Maiolino) [2130933] - iomap: remove iomap_apply (Carlos Maiolino) [2130933] - fsdax: Fix infinite loop in dax_iomap_rw() (Carlos Maiolino) [2130933] - fsdax: switch dax_iomap_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_swapfile_activate to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_data to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_seek_hole to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_bmap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_fiemap to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch __iomap_dio_rw to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_page_mkwrite to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_zero_range to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_unshare to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch iomap_file_buffered_write to use iomap_iter (Carlos Maiolino) [2130933] - iomap: switch readahead and readpage to use iomap_iter (Carlos Maiolino) [2130933] - iomap: add the new iomap_iter model (Carlos Maiolino) [2130933] - iomap: fix the iomap_readpage_actor return value for inline data (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_page_sync const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_read_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data_valid const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_inline_data const (Carlos Maiolino) [2130933] - iomap: mark the iomap argument to iomap_sector const (Carlos Maiolino) [2130933] - fsdax: mark the iomap argument to dax_iomap_sector as const (Carlos Maiolino) [2130933] - fs: mark the iomap argument to __block_write_begin_int const (Carlos Maiolino) [2130933] - iomap: fix a trivial comment typo in trace.h (Carlos Maiolino) [2130933] - iomap: pass writeback errors to the mapping (Carlos Maiolino) [2130933] - iomap: Add another assertion to inline data handling (Carlos Maiolino) [2130933] - iomap: Use kmap_local_page instead of kmap_atomic (Carlos Maiolino) [2130933] - iomap: Fix some typos and bad grammar (Carlos Maiolino) [2130933] - iomap: Support inline data with block size < page size (Carlos Maiolino) [2130933] Resolves: rhbz#2139362, rhbz#2089501, rhbz#2137983, rhbz#2113003, rhbz#2123857, rhbz#2120448, rhbz#2111086, rhbz#2130487, rhbz#1871143, rhbz#2044301, rhbz#2134815, rhbz#2131667, rhbz#2117776, rhbz#2106596, rhbz#2097878, rhbz#2130933 Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-08 07:50:05 +00:00
# CONFIG_USB_ONBOARD_HUB is not set
# CONFIG_USB_OTG is not set
# CONFIG_USB_OTG_PRODUCTLIST is not set
# CONFIG_USB_OXU210HP_HCD is not set
CONFIG_USB_PCI=y
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_USBPCWATCHDOG is not set
CONFIG_USB_PEGASUS=m
CONFIG_USB_PRINTER=m
CONFIG_USB_PULSE8_CEC=m
# CONFIG_USB_PWC_DEBUG is not set
CONFIG_USB_PWC_INPUT_EVDEV=y
CONFIG_USB_PWC=m
kernel-5.14.0-138.el9 * Fri Jul 29 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-138.el9] - tools/testing/nvdimm: Fix security_init() symbol collision (Jeff Moyer) [2096788] - NFSD: Move fill_pre_wcc() and fill_post_wcc() (Benjamin Coddington) [2110576] - Revert "nfsd: skip some unnecessary stats in the v4 case" (Benjamin Coddington) [2110576] - Documentation: fix udp_wmem_min in ip-sysctl.rst (Xin Long) [2087560] - redhat/configs/common: Enable CONFIG_LZ4_COMPRESS (Andrew Walsh) [2110097] - net: bonding: fix use-after-free after 802.3ad slave unbind (Jonathan Toppins) [2109349] - net: bonding: fix possible NULL deref in rlb code (Jonathan Toppins) [2109349] - bonding: guard ns_targets by CONFIG_IPV6 (Jonathan Toppins) [2109349] - bonding: show NS IPv6 targets in proc master info (Jonathan Toppins) [2109349] - bonding: NS target should accept link local address (Jonathan Toppins) [2109349] - bonding: combine netlink and console error messages (Jonathan Toppins) [2109349] - usb: chipidea: udc: check request status before setting device address (Torez Smith) [2084667] - USB: gadget: Fix double-free bug in raw_gadget driver (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Meteor Lake xHCI (Torez Smith) [2084667] - xhci-pci: Allow host runtime PM as default for Intel Raptor Lake xHCI (Torez Smith) [2084667] - xhci: turn off port power in shutdown (Torez Smith) [2084667] - xhci: Keep interrupt disabled in initialization until host is running. (Torez Smith) [2084667] - USB: serial: option: add Quectel RM500K module support (Torez Smith) [2084667] - USB: serial: option: add Quectel EM05-G modem (Torez Smith) [2084667] - USB: serial: pl2303: add support for more HXN (G) types (Torez Smith) [2084667] - usb: typec: wcove: Drop wrong dependency to INTEL_SOC_PMIC (Torez Smith) [2084667] - usb: gadget: uvc: fix list double add in uvcg_video_pump (Torez Smith) [2084667] - dt-bindings: usb: ehci: Increase the number of PHYs (Torez Smith) [2084667] - dt-bindings: usb: ohci: Increase the number of PHYs (Torez Smith) [2084667] - usb: gadget: Fix non-unique driver names in raw-gadget driver (Torez Smith) [2084667] - USB: serial: option: add Telit LE910Cx 0x1250 composition (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->ep safe in ffs_epfile_io() (Torez Smith) [2084667] - usb: gadget: f_fs: change ep->status safe in ffs_epfile_io() (Torez Smith) [2084667] - xhci: Fix null pointer dereference in resume if xhci has only one roothub (Torez Smith) [2084667] - USB: fixup for merge issue with "usb: dwc3: Don't switch OTG -> peripheral if extcon is present" (Torez Smith) [2084667] - usb: cdnsp: Fixed setting last_trb incorrectly (Torez Smith) [2084667] - usb: gadget: u_ether: fix regression in setting fixed MAC address (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: Fix refcount leak in lpc32xx_udc_probe (Torez Smith) [2084667] - usb: dwc2: Fix memory leak in dwc2_hcd_init (Torez Smith) [2084667] - usb: dwc3: gadget: Fix IN endpoint max packet size allocation (Torez Smith) [2084667] - docs: usb: fix literal block marker in usbmon verification example (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV31 with new baseline (Torez Smith) [2084667] - USB: serial: io_ti: add Agilent E5805A support (Torez Smith) [2084667] - dt-bindings: reset: update st,stih407-powerdown.yaml references (Torez Smith) [2084667] - dt-bindings: Update QCOM USB subsystem maintainer information (Torez Smith) [2084667] - dt-bindings: usb: snps,dwc3: Add missing 'dma-coherent' property (Torez Smith) [2084667] - usb: typec: ucsi: acpi: fix a NULL vs IS_ERR() check in probe (Torez Smith) [2084667] - USB: new quirk for Dell Gen 2 devices (Torez Smith) [2084667] - tty: remove CMSPAR ifdefs (Torez Smith) [2084667] - usb: dwc3: core: Add error log when core soft reset failed (Torez Smith) [2084667] - usb: dwc3: gadget: Move null pinter check to proper place (Torez Smith) [2084667] - usb: hub: Simplify error and success path in port_over_current_notify (Torez Smith) [2084667] - usb: cdns3: allocate TX FIFO size according to composite EP number (Torez Smith) [2084667] - usb: dwc3: Fix ep0 handling when getting reset while doing control transfer (Torez Smith) [2084667] - usb: Probe EHCI, OHCI controllers asynchronously (Torez Smith) [2084667] - usb: isp1760: Fix out-of-bounds array access (Torez Smith) [2084667] - xhci: Don't defer primary roothub registration if there is only one roothub (Torez Smith) [2084667] - USB: serial: option: add Quectel BG95 modem (Torez Smith) [2084667] - USB: serial: pl2303: fix type detection for odd device (Torez Smith) [2084667] - dt-bindings: usb: ci-hdrc-usb2: fix node node for ethernet controller (Torez Smith) [2084667] - media: stkwebcam: move stk_camera_read_reg() scratch buffer to struct stk_camera (Torez Smith) [2084667] - media: pvrusb2: fix array-index-out-of-bounds in pvr2_i2c_core_init (Torez Smith) [2084667] - media: gspca: make the read-only array table static const (Torez Smith) [2084667] - usb: xhci-mtk: remove bandwidth budget table (Torez Smith) [2084667] - usb: xhci-mtk: fix fs isoc's transfer error (Torez Smith) [2084667] - usb: gadget: fix race when gadget driver register via ioctl (Torez Smith) [2084667] - usb: typec: tcpci_mt6360: Update for BMC PHY setting (Torez Smith) [2084667] - xhci: Allow host runtime PM as default for Intel Alder Lake N xHCI (Torez Smith) [2084667] - xhci: Remove quirk for over 10 year old evaluation hardware (Torez Smith) [2084667] - xhci: prevent U2 link power state if Intel tier policy prevented U1 (Torez Smith) [2084667] - xhci: use generic command timer for stop endpoint commands. (Torez Smith) [2084667] - usb: host: xhci-plat: omit shared hcd if either root hub has no ports (Torez Smith) [2084667] - usb: host: xhci-plat: prepare operation w/o shared hcd (Torez Smith) [2084667] - usb: host: xhci-plat: create shared hcd after having added main hcd (Torez Smith) [2084667] - xhci: prepare for operation w/o shared hcd (Torez Smith) [2084667] - xhci: factor out parts of xhci_gen_setup() (Torez Smith) [2084667] - xhci: Set HCD flag to defer primary roothub registration (Torez Smith) [2084667] - usb: core: hcd: Add support for deferring roothub registration (Torez Smith) [2084667] - usb: host: ehci-xilinx: adding description for return value (Torez Smith) [2084667] - usb: gadget: udc: Remove useless variable assignment in xudc_read_fifo() (Torez Smith) [2084667] - USB: c67x00: remove unnecessary check of res (Torez Smith) [2084667] - usb: host: ehci-xilinx: Fix quoted string split across lines (Torez Smith) [2084667] - USB / dwc3: Fix a checkpatch warning in core.c (Torez Smith) [2084667] - thunderbolt: Add KUnit test for devices with no DisplayPort adapters (Torez Smith) [2084667] - thunderbolt: Fix buffer allocation of devices with no DisplayPort adapters (Torez Smith) [2084667] - USB: gadget: Add ID numbers to gadget names (Torez Smith) [2084667] - media: uvcvideo: Add UVC_GUID_FORMAT_H265 (Torez Smith) [2084667] - media: uvcvideo: Undup use uvc_endpoint_max_bpi() code (Torez Smith) [2084667] - media: uvcvideo: Simplify uvc_endpoint_max_bpi() (Torez Smith) [2084667] - media: uvcvideo: Fix memory leak if uvc_ctrl_add_mapping fails (Torez Smith) [2084667] - media: uvcvideo: Fix bit overflow in uvc_probe_video (Torez Smith) [2084667] - media: uvcvideo: Fix missing check to determine if element is found in list (Torez Smith) [2084667] - media: docs: media: uvcvideo: Use linux-media mailing list (Torez Smith) [2084667] - usb: gadget: uvc: allow for application to cleanly shutdown (Torez Smith) [2084667] - usb: typec: tcpci: Don't skip cleanup in .remove() on error (Torez Smith) [2084667] - usb: cdc-wdm: fix reading stuck on device close (Torez Smith) [2084667] - usb: gadget: uvc: track frames in format entries (Torez Smith) [2084667] - usb: gadget: uvc: move structs to common header (Torez Smith) [2084667] - usb: gadget: uvc: prevent index variables to start from 0 (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: fix clock matching (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: add IPQ8074, MSM8994, QCS404 and SM6125 (Torez Smith) [2084667] - usb: dwc3: gadget: Delay issuing End Transfer (Torez Smith) [2084667] - usb: dwc3: gadget: Only End Transfer for ep0 data phase (Torez Smith) [2084667] - usb: dwc3: ep0: Don't prepare beyond Setup stage (Torez Smith) [2084667] - usb: dwc3: gadget: Don't modify GEVNTCOUNT in pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Refactor pullup() (Torez Smith) [2084667] - usb: dwc3: gadget: Prevent repeat pullup() (Torez Smith) [2084667] - usb: dwc3: xilinx: Add gpio-reset support (Torez Smith) [2084667] - dt-bindings: usb: dwc3-xilinx: add optional property reset-gpios (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add binding for SDX65 (Torez Smith) [2084667] - dt-bindings: usb: da8xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - dt-bindings: usb: am33xx-usb: deprecate '#dma-channels' (Torez Smith) [2084667] - usb: dwc3: remove a possible unnecessary 'out of memory' message (Torez Smith) [2084667] - usb: dwc3: host: Stop setting the ACPI companion (Torez Smith) [2084667] - usb: core: acpi: Use the sysdev pointer instead of controller device (Torez Smith) [2084667] - dt-bindings: usb: mediatek,mtu3: add binding for MT8195 SoC (Torez Smith) [2084667] - usb: ehci-omap: drop unused ehci_read() function (Torez Smith) [2084667] - USB: gadget: Fix return of -EBUSY (Torez Smith) [2084667] - usb: dwc2: gadget: don't reset gadget's driver->bus (Torez Smith) [2084667] - USB: serial: qcserial: add support for Sierra Wireless EM7590 (Torez Smith) [2084667] - USB: serial: ftdi_sio: clean up printk format specifier (Torez Smith) [2084667] - USB: serial: option: add Fibocom MA510 modem (Torez Smith) [2084667] - USB: serial: option: add Fibocom L610 modem (Torez Smith) [2084667] - USB: serial: pl2303: add device id for HP LM930 Display (Torez Smith) [2084667] - thunderbolt: Add support for XDomain lane bonding (Torez Smith) [2084667] - thunderbolt: Ignore port locked error in tb_port_wait_for_link_width() (Torez Smith) [2084667] - thunderbolt: Split setting link width and lane bonding into own functions (Torez Smith) [2084667] - thunderbolt: Move tb_port_state() prototype to correct place (Torez Smith) [2084667] - thunderbolt: Add debug logging when lane is enabled/disabled (Torez Smith) [2084667] - Revert "usb: misc: Add onboard_usb_hub driver" (Torez Smith) [2084667] - Revert "usb: core: hcd: Create platform devices for onboard hubs in probe()" (Torez Smith) [2084667] - thunderbolt: Link USB4 ports to their USB Type-C connectors (Torez Smith) [2084667] - thunderbolt: Make iommu_dma_protection more accurate (Torez Smith) [2084667] - iommu: Add capability for pre-boot DMA protection (Torez Smith) [2084667] - iommu: Introduce device_iommu_capable() (Torez Smith) [2084667] - usb: typec: ucsi: acpi: Map the mailbox with memremap() (Torez Smith) [2084667] - usb: phy: generic: Get the vbus supply (Torez Smith) [2084667] - usb: dwc3: gadget: Return proper request status (Torez Smith) [2084667] - usb: core: hcd: Create platform devices for onboard hubs in probe() (Torez Smith) [2084667] - usb: misc: Add onboard_usb_hub driver (Torez Smith) [2084667] - USB: gadget: Add a new bus for gadgets (Torez Smith) [2084667] - USB: gadget: Fix mistakes in UDC core kerneldoc (Torez Smith) [2084667] - USB: gadget: Register udc before gadget (Torez Smith) [2084667] - USB: gadget: Rename usb_gadget_probe_driver() (Torez Smith) [2084667] - usb: core: devices: drop redundant buffer overflow checks (Torez Smith) [2084667] - dt-bindings: usb: generic-ohci: Add HPE GXP ohci binding (Torez Smith) [2084667] - usb: Enable various new TypeC drivers. (Torez Smith) [2084667] - dt-bindings: usb: generic-ehci: Add HPE GXP ehci binding (Torez Smith) [2084667] - usb: typec: mux: Add On Semi fsa4480 driver (Torez Smith) [2084667] - dt-bindings: usb: Add binding for fcs,fsa4480 (Torez Smith) [2084667] - usb: typec: mux: Allow multiple mux_devs per mux (Torez Smith) [2084667] - usb: typec: mux: Introduce indirection (Torez Smith) [2084667] - usb: typec: mux: Check dev_set_name() return value (Torez Smith) [2084667] - device property: Add helper to match multiple connections (Torez Smith) [2084667] - usb: dwc3: pci: add support for the Intel Meteor Lake-P (Torez Smith) [2084667] - usb: typec: ucsi: Wait for the USB role switches (Torez Smith) [2084667] - usb: typec: ucsi: add a common function ucsi_unregister_connectors() (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/G2UL bindings (Torez Smith) [2084667] - testusb: Fix warning comparing pointer to 0 (Torez Smith) [2084667] - USB: hcd-pci: Fully suspend across freeze/thaw cycle (Torez Smith) [2084667] - usb: deprecate the third argument of usb_maxpacket() (Torez Smith) [2084667] - usb: dwc3: pci: Fix pm_runtime_get_sync() error checking (Torez Smith) [2084667] - USB: core: Disable remote wakeup for freeze/quiesce (Torez Smith) [2084667] - drivers: usb: host: Fix deadlock in oxu_bus_suspend() (Torez Smith) [2084667] - usb: dwc3: gadget: Replace list_for_each_entry_safe() if using giveback (Torez Smith) [2084667] - usb: dwc3: EP clear halt leading to clearing of delayed_status (Torez Smith) [2084667] - usb: dwc3: core: Only handle soft-reset in DCTL (Torez Smith) [2084667] - usb: dwc3: Don't switch OTG -> peripheral if extcon is present (Torez Smith) [2084667] - usb: gadget: configfs: clear deactivation flag in configfs_composite_unbind() (Torez Smith) [2084667] - usb: misc: eud: Fix an error handling path in eud_probe() (Torez Smith) [2084667] - usb: musb: mediatek: Use clk_bulk API to simplify clock operations (Torez Smith) [2084667] - USB: dwc2: Add OTG support for Ingenic SoCs. (Torez Smith) [2084667] - dt-bindings: dwc2: Add bindings for new Ingenic SoCs. (Torez Smith) [2084667] - usb: gadget: net2272: clean up comments (Torez Smith) [2084667] - usb: core: devices: remove dead code under #ifdef PROC_EXTRA (Torez Smith) [2084667] - USB: omap_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: clean up comment (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: clean up comments (Torez Smith) [2084667] - usb: gadget: tegra-xudc: clean up comments (Torez Smith) [2084667] - usb: musb: Fix missing of_node_put() in omap2430_probe (Torez Smith) [2084667] - usb: gadget: f_acm: add support for USB_CDC_REQ_SEND_BREAK (Torez Smith) [2084667] - usb: dwc3: gadget: increase tx fifo size for ss isoc endpoints (Torez Smith) [2084667] - usb: host: ohci-omap: Make it CCF clk API compatible (Torez Smith) [2084667] - usb: gadget: omap_udc: Make it CCF clk API compatible (Torez Smith) [2084667] - USB / dwc3: Fix three doc-build warnings (Torez Smith) [2084667] - usb: core: Don't hold the device lock while sleeping in do_proc_control() (Torez Smith) [2084667] - usb: dwc3: Try usb-role-switch first in dwc3_drd_init (Torez Smith) [2084667] - usb: dwc3: core: Fix tx/rx threshold settings (Torez Smith) [2084667] - usb: mtu3: fix USB 3.0 dual-role-switch from device to host (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: add missing required reg (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: include usb-hcd schema (Torez Smith) [2084667] - USB: storage: karma: fix rio_karma_init return (Torez Smith) [2084667] - usb: gadget: net2280: use swap() instead of open coding it (Torez Smith) [2084667] - usb: gadget: u_audio: clean up some inconsistent indenting (Torez Smith) [2084667] - USB: host: isp116x: check return value after calling platform_get_resource() (Torez Smith) [2084667] - usb:oxu210hp-hcd: Use platform_get_irq() to get the interrupt (Torez Smith) [2084667] - usb-storage: isd200: fix initFunction error return (Torez Smith) [2084667] - usb-storage: shuttle_usbat: fix initFunction error return (Torez Smith) [2084667] - usb-storage: alauda: fix initFunction error return (Torez Smith) [2084667] - usb: Prepare cleanup of powerpc's asm/prom.h (Torez Smith) [2084667] - usb: usbip: add missing device lock on tweak configuration cmd (Torez Smith) [2084667] - usb: usbip: fix a refcount leak in stub_probe() (Torez Smith) [2084667] - xhci: Enable runtime PM on second Alderlake controller (Torez Smith) [2084667] - usb: dwc3: fix backwards compat with rockchip devices (Torez Smith) [2084667] - usb: misc: fix improper handling of refcount in uss720_probe() (Torez Smith) [2084667] - USB: Fix ehci infinite suspend-resume loop issue in zhaoxin (Torez Smith) [2084667] - usb: typec: tcpm: Fix undefined behavior due to shift overflowing the constant (Torez Smith) [2084667] - usb: typec: rt1719: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2084667] - usb: typec: ucsi: Fix role swapping (Torez Smith) [2084667] - usb: typec: ucsi: Fix reuse of completion structure (Torez Smith) [2084667] - usb: xhci: tegra:Fix PM usage reference leak of tegra_xusb_unpowergate_partitions (Torez Smith) [2084667] - drivers: usb: dwc3: Add AM62 USB wrapper driver (Torez Smith) [2084667] - dt-bindings: usb: Add documentation for AM62 USB Wrapper module (Torez Smith) [2084667] - usb: typec: tipd: Only update power status on IRQ (Torez Smith) [2084667] - usb: typec: mux: intel_pmc_mux: Add retry logic to a PMC command (Torez Smith) [2084667] - usb: gadget: uvc: improve sg exit condition (Torez Smith) [2084667] - usb: gadget: uvc: giveback vb2 buffer on req complete (Torez Smith) [2084667] - usb: gadget: uvc: rework uvcg_queue_next_buffer to uvcg_complete_buffer (Torez Smith) [2084667] - usb: gadget: uvc: remove pause flag use (Torez Smith) [2084667] - usb: gadget: uvc: allow changing interface name via configfs (Torez Smith) [2084667] - ARM: omap: dma: make usb support optional (Torez Smith) [2084667] - ARM: omap1: innovator: move ohci phy power handling to board file (Torez Smith) [2084667] - usb: omap: avoid mach/*.h headers (Torez Smith) [2084667] - ARM: omap1: move mach/usb.h to include/linux/soc (Torez Smith) [2084667] - USB: serial: whiteheat: fix heap overflow in WHITEHEAT_GET_DTR_RTS (Torez Smith) [2084667] - USB: serial: cp210x: add PIDs for Kamstrup USB Meter Reader (Torez Smith) [2084667] - USB: serial: option: add support for Cinterion MV32-WA/MV32-WB (Torez Smith) [2084667] - usb: gadget: uvc: Fix crash when encoding data for usb request (Torez Smith) [2084667] - thunderbolt: Use different lane for second DisplayPort tunnel (Torez Smith) [2084667] - thunderbolt: Dump path config space entries during discovery (Torez Smith) [2084667] - thunderbolt: Use decimal number with port numbers (Torez Smith) [2084667] - thunderbolt: Fix typo in comment (Torez Smith) [2084667] - media: dvb-usb: dib0700_devices: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mc: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: dibusb-mb: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp7045: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: vp702x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: umt-010: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: ttusb2: use an enum for the device number (Torez Smith) [2084667] - media: technisat-usb2: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: pctv452e: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: opera1: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: nova-t-usb2: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: m920x: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: gp8psk: use an enum for the device number (Torez Smith) [2084667] - media: dw2102: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dtv5100: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: dtt200u: use an enum for the device number (Torez Smith) [2084667] - media: digitv: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cxusb: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: cinergyT2-core: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: az6027: use an enum for the device number (Torez Smith) [2084667] - media: af9005: use the newer dvb-usb macros for USB device (Torez Smith) [2084667] - media: dvb-usb: a800: use an enum for the device number (Torez Smith) [2084667] - media: dvb-usb: Add helper macros for using USB VID/PID (Torez Smith) [2084667] - media: dvb-usb: vp702x: reference to usb ID table (Torez Smith) [2084667] - media: dvb-usb: move USB IDs to dvb-usb-ids.h (Torez Smith) [2084667] - media: dvb-usb-ids.h: sort entries (Torez Smith) [2084667] - USB: quirks: add STRING quirk for VCOM device (Torez Smith) [2084667] - USB: quirks: add a Realtek card reader (Torez Smith) [2084667] - cdc_ether: export usbnet_cdc_zte_rx_fixup (Torez Smith) [2084667] - USB: serial: option: add Telit 0x1057, 0x1058, 0x1075 compositions (Torez Smith) [2084667] - usb: cdns3: Fix issue for clear halt endpoint (Torez Smith) [2084667] - xhci: increase usb U3 -> U0 link resume timeout from 100ms to 500ms (Torez Smith) [2084667] - xhci: stop polling roothubs after shutdown (Torez Smith) [2084667] - USB: Fix xhci event ring dequeue pointer ERDP update issue (Torez Smith) [2084667] - thunderbolt: test: use NULL macros (Torez Smith) [2084667] - thunderbolt: Replace usage of found with dedicated list iterator variable (Torez Smith) [2084667] - usb: gadget: fsl_qe_udc: Add missing semicolon in qe_ep_dequeue() (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add compatible for mt8186 (Torez Smith) [2084667] - usb: dwc3: Issue core soft reset before enabling run/stop (Torez Smith) [2084667] - usb: gadget: Makefile: remove ccflags-y (Torez Smith) [2084667] - USB: usb-storage: Fix use of bitfields for hardware data in ene_ub6250.c (Torez Smith) [2084667] - usb: gadget: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - usb: usbip: eliminate anonymous module_init & module_exit (Torez Smith) [2084667] - xen/usb: harden xen_hcd against malicious backends (Torez Smith) [2084667] - usb: dwc3: gadget: Wait for ep0 xfers to complete during dequeue (Torez Smith) [2084667] - usb: dwc3: gadget: move cmd_endtransfer to extra function (Torez Smith) [2084667] - usb: dwc3: gadget: ep_queue simplify isoc start condition (Torez Smith) [2084667] - xen/usb: don't use arbitrary_virt_to_machine() (Torez Smith) [2084667] - usb: common: usb-conn-gpio: Make VBUS supply completely optional (Torez Smith) [2084667] - USB: storage: ums-realtek: fix error code in rts51x_read_mem() (Torez Smith) [2084667] - usb: early: xhci-dbc: Fix xdbc number parsing (Torez Smith) [2084667] - usb: early: xhci-dbc: Remove duplicate keep parsing (Torez Smith) [2084667] - usb: gadget: udc: s3c2410: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: dummy_hcd: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: composite: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: pxa27x_udc: replace usage of rc to check if a list element was found (Torez Smith) [2084667] - usb: gadget: composite: remove check of list iterator against head past the loop body (Torez Smith) [2084667] - usb: gadget: tegra-xudc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: udc: max3420_udc: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: legacy: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: configfs: remove using list iterator after loop body as a ptr (Torez Smith) [2084667] - usb: gadget: aspeed: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc-xilinx: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: s3c-hsudc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: omap_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: net2280: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: net2272: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: mv_udc_core: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: mv_u3d: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: lpc32xx_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: gr_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: goku_udc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: at91: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: pxa25x: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: udc: atmel: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: bdc: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: gadget: fsl: remove usage of list iterator past the loop body (Torez Smith) [2084667] - usb: dwc3: pci: Add support for Intel Alder Lake (Torez Smith) [2084667] - usb: dwc3: omap: fix "unbalanced disables for smps10_out1" on omap5evm (Torez Smith) [2084667] - usb: dwc3: gadget: Give some time to schedule isoc (Torez Smith) [2084667] - usb: dwc3: core: do not use 3.0 clock when operating in 2.0 mode (Torez Smith) [2084667] - dt-bindings: usb: add rk3568 compatible to rockchip, dwc3 (Torez Smith) [2084667] - usb: dwc3: imx8mp: Add support for setting SOC specific flags (Torez Smith) [2084667] - dt-bindings: usb: dwc3-imx8mp: Add imx8mp specific flags (Torez Smith) [2084667] - usb: dwc3: imx8mp: rename iomem base pointer (Torez Smith) [2084667] - usb: dwc3-meson-g12a: constify drvdata structs (Torez Smith) [2084667] - usb: raw-gadget: return -EINVAL if no proper ep address available (Torez Smith) [2084667] - dt-bindings: usb: hcd: correct usb-device path (Torez Smith) [2084667] - usb: raw-gadget: use kzalloc (Torez Smith) [2084667] - usb: gadget: udc: fix typos in comments (Torez Smith) [2084667] - media: xc2028: rename the driver from tuner-xc2028 (Torez Smith) [2084667] - USB: serial: usb_wwan: remove redundant assignment to variable i (Torez Smith) [2084667] - USB: serial: pl2303: fix GS type detection (Torez Smith) [2084667] - media: hdpvr: initialize dev->worker at hdpvr_register_videodev (Torez Smith) [2084667] - media: stk1160: use dma_alloc_noncontiguous API (Torez Smith) [2084667] - media: stk1160: move transfer_buffer and urb to same struct 'stk1160_urb' (Torez Smith) [2084667] - media: stk1160: If start stream fails, return buffers with VB2_BUF_STATE_QUEUED (Torez Smith) [2084667] - media: stk1160: fix number of buffers in case not all buffers are created (Torez Smith) [2084667] - media: Revert "media: em28xx: add missing em28xx_close_extension" (Torez Smith) [2084667] - thunderbolt: Rename EEPROM handling bits to match USB4 spec (Torez Smith) [2084667] - thunderbolt: Clarify register definitions for `tb_cap_plug_events` (Torez Smith) [2084667] - USB: serial: pl2303: add IBM device IDs (Torez Smith) [2084667] - usb: host: xhci: Remove some unnecessary return value initializations (Torez Smith) [2084667] - usb: host: xhci: add blank line in xhci_halt() (Torez Smith) [2084667] - usb: host: xhci: update hci_version operation in xhci_gen_setup() (Torez Smith) [2084667] - usb: host: xhci: fix a comment typo in xhci_mem_init() (Torez Smith) [2084667] - usb: host: xhci: use ffs() in xhci_mem_init() (Torez Smith) [2084667] - xhci: fix runtime PM imbalance in USB2 resume (Torez Smith) [2084667] - xhci: fix uninitialized string returned by xhci_decode_ctrl_ctx() (Torez Smith) [2084667] - xhci: fix garbage USBSTS being logged in some cases (Torez Smith) [2084667] - xhci: make xhci_handshake timeout for xhci_reset() adjustable (Torez Smith) [2084667] - usb: typec: tipd: Forward plug orientation to typec subsystem (Torez Smith) [2084667] - usb: Drop commas after SoC match table sentinels (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-usb2: convert to dtschema (Torez Smith) [2084667] - dt-bindings: usb: samsung,exynos-dwc3: convert to dtschema (Torez Smith) [2084667] - USB: serial: simple: add Nokia phone driver (Torez Smith) [2084667] - ACPI: bus: Introduce acpi_bus_for_each_dev() (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add disable-over-current (Torez Smith) [2084667] - dt-bindings: usb: dwc2: add iommus (Torez Smith) [2084667] - dt-bindings: usb: dwc2: fix compatible of Intel Agilex (Torez Smith) [2084667] - dt-bindings: usb: renesas,usbhs: Document RZ/V2L bindings (Torez Smith) [2084667] - USB: serial: make use of UART_LCR_WLEN() + tty_get_char_size() (Torez Smith) [2084667] - USB: core: Update kerneldoc for usb_get_dev() and usb_get_intf() (Torez Smith) [2084667] - Revert "usb: host: xhci: mvebu: make USB 3.0 PHY optional for Armada 3720" (Torez Smith) [2084667] - dt-bindings: usb: qcom,dwc3: Add msm8953 compatible (Torez Smith) [2084667] - usb: misc: USB_QCOM_EUD should depend on ARCH_QCOM (Torez Smith) [2084667] - xhci: omit mem read just after allocation of trb (Torez Smith) [2084667] - usb: host: ehci-q: make qtd_fill() return *unsigned int* (Torez Smith) [2084667] - scsi: usb: Stop using the SCSI pointer (Torez Smith) [2084667] - scsi: Remove drivers/scsi/scsi.h (Torez Smith) [2084667] - dt-bindings: usb: do not use deprecated synopsys prefix (Torez Smith) [2084667] - stkwebcam: add new Asus laptop to upside_down table (Torez Smith) [2084667] - media: usb: go7007: s2250-board: fix leak in probe() (Torez Smith) [2084667] - media: gspca: remove redundant assignment of variable n (Torez Smith) [2084667] - media: go7007: Constify static struct snd_device_ops (Torez Smith) [2084667] - media: em28xx: initialize refcount before kref_get (Torez Smith) [2084667] - media: usb: pwc-uncompress: Use struct_size() helper in pwc_decompress() (Torez Smith) [2084667] - thunderbolt: Drop duplicate NULL checks around nvmem_unregister() (Torez Smith) [2084667] - usb: dwc3: pci: Also apply Bay Trail GPIO mappings to ulpi-device (Torez Smith) [2084667] - usb: dwc3: pci: Set "linux,phy_charger_detect" property on some Bay Trail boards (Torez Smith) [2084667] - usb: dwc3: pci: Set the swnode from inside dwc3_pci_quirks() (Torez Smith) [2084667] - usb: typec: Support the WUSB3801 port controller (Torez Smith) [2084667] - usb: typec: Factor out non-PD fwnode properties (Torez Smith) [2084667] - dt-bindings: usb: Add WUSB3801 Type-C Port Controller (Torez Smith) [2084667] - usb: xhci: fix minmax.cocci warnings (Torez Smith) [2084667] - usb: host: xhci: drop redundant checks (Torez Smith) [2084667] - usb: remove Link Powermanagement (LPM) disable before port reset. (Torez Smith) [2084667] - xhci: Allocate separate command structures for each LPM command (Torez Smith) [2084667] - xhci: dbgtty: use IDR to support several dbc instances. (Torez Smith) [2084667] - xhci: dbc: Don't call dbc_tty_init() on every dbc tty probe (Torez Smith) [2084667] - xhci: dbc: Rename xhci_dbc_init and xhci_dbc_exit (Torez Smith) [2084667] - xhci: dbc: create and remove dbc structure in dbgtty driver. (Torez Smith) [2084667] - xhci: dbc: refactor xhci_dbc_init() (Torez Smith) [2084667] - usb: dwc3: drd: Don't check against CONFIG_OF (Torez Smith) [2084667] - usb: host: xhci-mtk: Simplify supplies handling with regulator_bulk (Torez Smith) [2084667] - media: uvcvideo: Add support for Apple T2-attached FaceTime HD Camera (Torez Smith) [2084667] - usb: host: ehci-platform: Update brcm, xgs-iproc-ehci workaround (Torez Smith) [2084667] - usb: host: {e|o}hci-dbg: kill useless 'ret' variable initializers (Torez Smith) [2084667] - usbip: vudc: Make use of the helper macro LIST_HEAD() (Torez Smith) [2084667] - usb: ulpi: Add debugfs support (Torez Smith) [2084667] - usb: misc: eud: Add driver support for Embedded USB Debugger(EUD) (Torez Smith) [2084667] - bindings: usb: dwc3: Update dwc3 properties for EUD connector (Torez Smith) [2084667] - usb: typec: rt1719: Add support for Richtek RT1719 (Torez Smith) [2084667] - dt-bindings: usb: rt1719: Add binding for Richtek RT1719 (Torez Smith) [2084667] - usb: xhci-mtk: add support ip-sleep wakeup for mt8195 (Torez Smith) [2084667] - dt-bindings: usb: mtk-xhci: add support ip-sleep for mt8195 (Torez Smith) [2084667] - usb: dwc2: Add platform specific data for Intel's Agilex (Torez Smith) [2084667] - USB: usbfs: Use a spinlock instead of atomic accesses to tally used memory. (Torez Smith) [2084667] - usb: ehci: add pci device support for Aspeed platforms (Torez Smith) [2084667] - usb: dwc3: Program GFLADJ (Torez Smith) [2084667] - usb: dwc3: Calculate REFCLKPER based on reference clock (Torez Smith) [2084667] - usb: dwc3: Get clocks individually (Torez Smith) [2084667] - dt-bindings: usb: dwc3: Deprecate snps,ref-clock-period-ns (Torez Smith) [2084667] - scsi: usb: storage: Complete the SCSI request directly (Torez Smith) [2084667] - usb: gadget: f_uac2: Neaten and reduce size of afunc_validate_opts (Torez Smith) [2084667] - usb: gadget: f_uac2: change maxpctksize/maxpcktsize to wMaxPacketSize (Torez Smith) [2084667] - thunderbolt: Replace acpi_bus_get_device() (Torez Smith) [2084667] - thunderbolt: Add internal xHCI connect flows for Thunderbolt 3 devices (Torez Smith) [2084667] - thunderbolt: Add missing device ID to tb_switch_is_alpine_ridge() (Torez Smith) [2084667] - thunderbolt: Disable LTTPR on Intel Titan Ridge (Torez Smith) [2084667] - usb: gadget: f_uac2: Add speed names to bInterval dbg/warn (Torez Smith) [2084667] - usb: gadget: f_uac2: Optionally determine bInterval for HS and SS (Torez Smith) [2084667] - usb: gadget: audio: Add HS/SS bInterval params for UAC2 (Torez Smith) [2084667] - usb: gadget: f_uac2: Add HS/SS bInterval to configfs (Torez Smith) [2084667] - usb: udc: Fix typo in comment (Torez Smith) [2084667] - USB: ACPI: Replace acpi_bus_get_device() (Torez Smith) [2084667] - usb: gadget: f_uac2: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: allow changing interface name via configfs (Torez Smith) [2084667] - usb: gadget: f_uac1: Add suspend callback (Torez Smith) [2084667] - usb: gadget: f_uac2: Add suspend callback (Torez Smith) [2084667] - usb: gadget: u_audio: Add suspend call (Torez Smith) [2084667] - usb: gadget: u_audio: Rate ctl notifies about current srate (0=stopped) (Torez Smith) [2084667] - usb: gadget: f_uac1: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: f_uac2: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget: u_audio: Add capture/playback srate getter (Torez Smith) [2084667] - usb: gadget: u_audio: Move dynamic srate from params to rtd (Torez Smith) [2084667] - usb: gadget: u_audio: Support multiple sampling rates (Torez Smith) [2084667] - usb: gadget:audio: Replace deprecated macro S_IRUGO (Torez Smith) [2084667] - usb: gadget: f_mass_storage: Make CD-ROM emulation work with Mac OS-X (Torez Smith) [2084667] - usb: xhci-mtk: Use struct_size() helper in create_sch_ep() (Torez Smith) [2084667] - USB: hcd-pci: Use PCI_STD_NUM_BARS when checking standard BARs (Torez Smith) [2084667] - usb: gadget: f_fs: Use struct_size() and flex_array_size() helpers (Torez Smith) [2084667] - usb: host: fotg210: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: core: Bail out when port is stuck in reset loop (Torez Smith) [2084667] - usb: gadget: f_phonet: Use struct_size() helper in kzalloc() (Torez Smith) [2084667] - usb: host: xhci-plat: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - dt-bindings: usb: add bindings for microchip mpfs musb (Torez Smith) [2084667] - scsi: usb: Call scsi_done() directly (Torez Smith) [2084667] - usb: cdnsp: remove not used temp_64 variables (Torez Smith) [2084667] - usb: cdnsp: fix cdnsp_decode_trb function to properly handle ret value (Torez Smith) [2084667] - usb: dwc3: drd: Add support for usb-conn-gpio based usb-role-switch (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Fix control endpoint's definitions (Torez Smith) [2084667] - usb: gadget: f_serial: Ensure gserial disconnected during unbind (Torez Smith) [2084667] - usb: gadget: tegra-xudc: Do not program SPARAM (Torez Smith) [2084667] - usb: host: ehci-sched: Use struct_size() in kzalloc() (Torez Smith) [2084667] - thunderbolt: Remove useless DMA-32 fallback configuration (Torez Smith) [2084667] - usb: enable Design Ware Drivers (Torez Smith) [2084667] - tty: serial: define UART_LCR_WLEN() macro (Torez Smith) [2084667] - media: gspca: make array regs_to_read static const (Torez Smith) [2084667] - block: Fix handling of offline queues in blk_mq_alloc_request_hctx() (Ming Lei) [2108481] - nvme: fix RCU hole that allowed for endless looping in multipath round robin (Gopal Tiwari) [2108624] - vdpa/mlx5: Use consistent RQT size (Cindy Lu) [2063693] - vdpa/mlx5: add validation for VIRTIO_NET_CTRL_MQ_VQ_PAIRS_SET command (Cindy Lu) [2063693] - vdpa/mlx5: should verify CTRL_VQ feature exists for MQ (Cindy Lu) [2063693] - fscache: Avoid ASSERTCMP if two threads race into fscache_disable_cookie (Dave Wysochanski) [2073727] - blk-mq: don't create hctx debugfs dir until q->debugfs_dir is created (Ming Lei) [2105622] - redhat: enable CONFIG_MAXLINEAR_GPHY as module (Petr Oros) [2071857] - net: phy: enhance GPY115 loopback disable function (Petr Oros) [2071857] - net: phy: add Maxlinear GPY115/21x/24x driver (Petr Oros) [2071857] - net: phy: add API to read 802.3-c45 IDs (Petr Oros) [2071857] Resolves: rhbz#2096788, rhbz#2110576, rhbz#2087560, rhbz#2110097, rhbz#2109349, rhbz#2084667, rhbz#2108481, rhbz#2108624, rhbz#2063693, rhbz#2073727, rhbz#2105622, rhbz#2071857 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-07-29 17:45:03 +00:00
# CONFIG_USB_QCOM_EUD is not set
# CONFIG_USB_R8A66597_HCD is not set
CONFIG_USB_RAINSHADOW_CEC=m
# CONFIG_USB_RAREMONO is not set
CONFIG_USB_ROLE_SWITCH=y
CONFIG_USB_RTL8150=m
CONFIG_USB_RTL8152=m
CONFIG_USB_RTL8153_ECM=m
CONFIG_USB_S2255=m
CONFIG_USB_SERIAL_AIRCABLE=m
CONFIG_USB_SERIAL_ARK3116=m
CONFIG_USB_SERIAL_BELKIN=m
CONFIG_USB_SERIAL_CH341=m
CONFIG_USB_SERIAL_CONSOLE=y
CONFIG_USB_SERIAL_CP210X=m
CONFIG_USB_SERIAL_CYBERJACK=m
CONFIG_USB_SERIAL_CYPRESS_M8=m
CONFIG_USB_SERIAL_DEBUG=m
CONFIG_USB_SERIAL_DIGI_ACCELEPORT=m
CONFIG_USB_SERIAL_EDGEPORT=m
CONFIG_USB_SERIAL_EDGEPORT_TI=m
CONFIG_USB_SERIAL_EMPEG=m
# CONFIG_USB_SERIAL_F81232 is not set
CONFIG_USB_SERIAL_F8153X=m
CONFIG_USB_SERIAL_FTDI_SIO=m
CONFIG_USB_SERIAL_GARMIN=m
CONFIG_USB_SERIAL_GENERIC=y
CONFIG_USB_SERIAL_IPAQ=m
CONFIG_USB_SERIAL_IPW=m
CONFIG_USB_SERIAL_IR=m
CONFIG_USB_SERIAL_IUU=m
CONFIG_USB_SERIAL_KEYSPAN=m
CONFIG_USB_SERIAL_KEYSPAN_PDA=m
CONFIG_USB_SERIAL_KLSI=m
CONFIG_USB_SERIAL_KOBIL_SCT=m
CONFIG_USB_SERIAL_MCT_U232=m
# CONFIG_USB_SERIAL_METRO is not set
CONFIG_USB_SERIAL_MOS7720=m
CONFIG_USB_SERIAL_MOS7840=m
CONFIG_USB_SERIAL_MXUPORT=m
CONFIG_USB_SERIAL_NAVMAN=m
CONFIG_USB_SERIAL_OMNINET=m
CONFIG_USB_SERIAL_OPTICON=m
CONFIG_USB_SERIAL_OPTION=m
CONFIG_USB_SERIAL_OTI6858=m
CONFIG_USB_SERIAL_PL2303=m
CONFIG_USB_SERIAL_QCAUX=m
CONFIG_USB_SERIAL_QT2=m
CONFIG_USB_SERIAL_QUALCOMM=m
CONFIG_USB_SERIAL_SAFE=m
CONFIG_USB_SERIAL_SAFE_PADDED=y
CONFIG_USB_SERIAL_SIERRAWIRELESS=m
# CONFIG_USB_SERIAL_SIMPLE is not set
CONFIG_USB_SERIAL_SPCP8X5=m
CONFIG_USB_SERIAL_SSU100=m
CONFIG_USB_SERIAL_SYMBOL=m
CONFIG_USB_SERIAL_TI=m
CONFIG_USB_SERIAL_UPD78F0730=m
CONFIG_USB_SERIAL_VISOR=m
CONFIG_USB_SERIAL_WHITEHEAT=m
# CONFIG_USB_SERIAL_WISHBONE is not set
# CONFIG_USB_SERIAL_XR is not set
CONFIG_USB_SERIAL_XSENS_MT=m
CONFIG_USB_SERIAL=y
CONFIG_USB_SEVSEG=m
CONFIG_USB_SIERRA_NET=m
CONFIG_USB_SISUSBVGA=m
# CONFIG_USB_SL811_HCD is not set
CONFIG_USB_SPEEDTOUCH=m
CONFIG_USB_STKWEBCAM=m
CONFIG_USB_STORAGE_ALAUDA=m
CONFIG_USB_STORAGE_CYPRESS_ATACB=m
CONFIG_USB_STORAGE_DATAFAB=m
# CONFIG_USB_STORAGE_DEBUG is not set
CONFIG_USB_STORAGE_ENE_UB6250=m
CONFIG_USB_STORAGE_FREECOM=m
# CONFIG_USB_STORAGE_ISD200 is not set
CONFIG_USB_STORAGE_JUMPSHOT=m
CONFIG_USB_STORAGE_KARMA=m
CONFIG_USB_STORAGE=m
CONFIG_USB_STORAGE_ONETOUCH=m
CONFIG_USB_STORAGE_REALTEK=m
CONFIG_USB_STORAGE_SDDR09=m
CONFIG_USB_STORAGE_SDDR55=m
CONFIG_USB_STORAGE_USBAT=m
CONFIG_USB_STV06XX=m
CONFIG_USB_SUPPORT=y
# CONFIG_USB_TEST is not set
CONFIG_USB_TMC=m
# CONFIG_USB_TRANCEVIBRATOR is not set
# CONFIG_USB_U132_HCD is not set
CONFIG_USB_UAS=m
CONFIG_USB_UEAGLEATM=m
CONFIG_USB_UHCI_HCD=y
# CONFIG_USB_ULPI_BUS is not set
# CONFIG_USB_ULPI is not set
CONFIG_USB_USBNET=m
CONFIG_USB_USS720=m
CONFIG_USB_VIDEO_CLASS_INPUT_EVDEV=y
CONFIG_USB_VIDEO_CLASS=m
CONFIG_USB_VL600=m
CONFIG_USB_WDM=m
kernel-5.14.0-88.el9 * Wed May 11 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-88.el9] - vrf: fix packet sniffing for traffic originating from ip tunnels (Antoine Tenart) [2082589] - vrf: don't run conntrack on vrf with !dflt qdisc (Antoine Tenart) [2082589] - vrf: run conntrack only in context of lower/physdev for locally generated packets (Antoine Tenart) [2082589] - netfilter: conntrack: skip confirmation and nat hooks in postrouting for vrf (Antoine Tenart) [2082589] - vrf: Revert "Reset skb conntrack connection..." (Antoine Tenart) [2082589] - selftests: netfilter: add a vrf+conntrack testcase (Antoine Tenart) [2082589] - ABI: configfs-usb-gadget-uac2: fix a broken table (Torez Smith) [2061784] - usb: gadget: Fix use-after-free bug by not setting udc->dev.driver (Torez Smith) [2061784] - usb: usbtmc: Fix bug in pipe direction for control transfers (Torez Smith) [2061784] - partially Revert "usb: musb: Set the DT node on the child device" (Torez Smith) [2061784] - usb: gadget: rndis: prevent integer overflow in rndis_set_response() (Torez Smith) [2061784] - xen/usb: don't use gnttab_end_foreign_access() in xenhcd_gnttab_done() (Torez Smith) [2061784] - usb: gadget: rndis: add spinlock for rndis response list (Torez Smith) [2061784] - usb: dwc3: gadget: Let the interrupt handler disable bottom halves. (Torez Smith) [2061784] - USB: gadget: validate endpoint index for xilinx udc (Torez Smith) [2061784] - dt-bindings: update Roger Quadros email (Torez Smith) [2061784] - USB: serial: option: add Telit LE910R1 compositions (Torez Smith) [2061784] - USB: serial: option: add support for DW5829e (Torez Smith) [2061784] - Revert "USB: serial: ch341: add new Product ID for CH341A" (Torez Smith) [2061784] - usb: dwc2: drd: fix soft connect when gadget is unconfigured (Torez Smith) [2061784] - usb: dwc3: pci: Fix Bay Trail phy GPIO mappings (Torez Smith) [2061784] - tps6598x: clear int mask on probe failure (Torez Smith) [2061784] - xhci: Prevent futile URB re-submissions due to incorrect return value. (Torez Smith) [2061784] - xhci: re-initialize the HC during resume if HCE was set (Torez Smith) [2061784] - usb: dwc3: pci: Add "snps,dis_u2_susphy_quirk" for Intel Bay Trail (Torez Smith) [2061784] - usb: dwc3: pci: add support for the Intel Raptor Lake-S (Torez Smith) [2061784] - Revert "usb: dwc2: drd: fix soft connect when gadget is unconfigured" (Torez Smith) [2061784] - usb: dwc2: drd: fix soft connect when gadget is unconfigured (Torez Smith) [2061784] - usb: gadget: rndis: check size of RNDIS_MSG_SET command (Torez Smith) [2061784] - USB: gadget: validate interface OS descriptor requests (Torez Smith) [2061784] - usb: core: Unregister device on component_add() failure (Torez Smith) [2061784] - dt-bindings: usb: dwc2: add compatible "intel,socfpga-agilex-hsotg" (Torez Smith) [2061784] - usb: dwc3: gadget: Prevent core from processing stale TRBs (Torez Smith) [2061784] - USB: serial: cp210x: add CPI Bulk Coin Recycler id (Torez Smith) [2061784] - USB: serial: cp210x: add NCR Retail IO box id (Torez Smith) [2061784] - USB: serial: ftdi_sio: add support for Brainboxes US-159/235/320 (Torez Smith) [2061784] - usb: gadget: f_uac2: Define specific wTerminalType (Torez Smith) [2061784] - usb: gadget: udc: renesas_usb3: Fix host to USB_ROLE_NONE transition (Torez Smith) [2061784] - usb: usb251xb: add boost-up property support (Torez Smith) [2061784] - usb: raw-gadget: fix handling of dual-direction-capable endpoints (Torez Smith) [2061784] - usb: ulpi: Call of_node_put correctly (Torez Smith) [2061784] - usb: ulpi: Move of_node_put to ulpi_dev_release (Torez Smith) [2061784] - USB: serial: option: add ZTE MF286D modem (Torez Smith) [2061784] - USB: serial: ch341: add support for GW Instek USB2.0-Serial devices (Torez Smith) [2061784] - usb: f_fs: Fix use-after-free for epfile (Torez Smith) [2061784] - usb: dwc3: xilinx: fix uninitialized return value (Torez Smith) [2061784] - usb: cdnsp: Fix segmentation fault in cdns_lost_power function (Torez Smith) [2061784] - usb: dwc2: gadget: don't try to disable ep0 in dwc2_hsotg_suspend (Torez Smith) [2061784] - usb: gadget: at91_udc: fix incorrect print type (Torez Smith) [2061784] - usb: dwc3: xilinx: Fix error handling when getting USB3 PHY (Torez Smith) [2061784] - usb: dwc3: xilinx: Skip resets and USB3 register settings for USB2.0 mode (Torez Smith) [2061784] - usb: xhci-plat: fix crash when suspend if remote wake enable (Torez Smith) [2061784] - usb: common: ulpi: Fix crash in ulpi_match() (Torez Smith) [2061784] - usb: gadget: f_sourcesink: Fix isoc transfer for USB_SPEED_SUPER_PLUS (Torez Smith) [2061784] - ucsi_ccg: Check DEV_INT bit only when starting CCG4 (Torez Smith) [2061784] - USB: core: Fix hang in usb_kill_urb by adding memory barriers (Torez Smith) [2061784] - usb-storage: Add unusual-devs entry for VL817 USB-SATA bridge (Torez Smith) [2061784] - usb: typec: tcpm: Do not disconnect when receiving VSAFE0V (Torez Smith) [2061784] - usb: typec: tcpm: Do not disconnect while receiving VBUS off (Torez Smith) [2061784] - usb: typec: Don't try to register component master without components (Torez Smith) [2061784] - usb: typec: Only attempt to link USB ports if there is fwnode (Torez Smith) [2061784] - usb: typec: tcpci: don't touch CC line if it's Vconn source (Torez Smith) [2061784] - usb: roles: fix include/linux/usb/role.h compile issue (Torez Smith) [2061784] - usb: gadget: u_audio: Subdevice 0 for capture ctls (Torez Smith) [2061784] - usb: gadget: u_audio: fix calculations for small bInterval (Torez Smith) [2061784] - usb: dwc2: gadget: initialize max_speed from params (Torez Smith) [2061784] - usb: dwc2: do not gate off the hardware if it does not support clock gating (Torez Smith) [2061784] - usb: dwc3: qcom: Fix NULL vs IS_ERR checking in dwc3_qcom_probe (Torez Smith) [2061784] - headers/deps: USB: Optimize <linux/usb/ch9.h> dependencies, remove <linux/device.h> (Torez Smith) [2061784] - USB: common: debug: add needed kernel.h include (Torez Smith) [2061784] - headers/prep: Fix non-standard header section: drivers/usb/host/ohci-tmio.c (Torez Smith) [2061784] - headers/prep: Fix non-standard header section: drivers/usb/cdns3/core.h (Torez Smith) [2061784] - headers/prep: usb: gadget: Fix namespace collision (Torez Smith) [2061784] - USB: core: Fix bug in resuming hub's handling of wakeup requests (Torez Smith) [2061784] - USB: Fix "slab-out-of-bounds Write" bug in usb_hcd_poll_rh_status (Torez Smith) [2061784] - usb: dwc3: dwc3-qcom: Add missing platform_device_put() in dwc3_qcom_acpi_register_core (Torez Smith) [2061784] - usb: gadget: clear related members when goto fail (Torez Smith) [2061784] - usb: gadget: don't release an existing dev->buf (Torez Smith) [2061784] - usb: dwc2: Simplify a bitmap declaration (Torez Smith) [2061784] - usb: Remove usb_for_each_port() (Torez Smith) [2061784] - usb: typec: port-mapper: Convert to the component framework (Torez Smith) [2061784] - usb: Link the ports to the connectors they are attached to (Torez Smith) [2061784] - acpi: Store CRC-32 hash of the _PLD in struct acpi_device (Torez Smith) [2061784] - docs: ABI: fixed req_number desc in UAC1 (Torez Smith) [2061784] - usb-storage: Remove redundant assignments (Torez Smith) [2061784] - thunderbolt: Add module parameter for CLx disabling (Torez Smith) [2061784] - thunderbolt: Enable CL0s for Intel Titan Ridge (Torez Smith) [2061784] - thunderbolt: Rename Intel TB_VSE_CAP_IECS capability (Torez Smith) [2061784] - thunderbolt: Implement TMU time disruption for Intel Titan Ridge (Torez Smith) [2061784] - thunderbolt: Move usb4_switch_wait_for_bit() to switch.c (Torez Smith) [2061784] - thunderbolt: Add CL0s support for USB4 routers (Torez Smith) [2061784] - thunderbolt: Add TMU uni-directional mode (Torez Smith) [2061784] - usb: typec: ucsi: Only check the contract if there is a connection (Torez Smith) [2061784] - xhci: Fresco FL1100 controller should not have BROKEN_MSI quirk set. (Torez Smith) [2061784] - tty: tty_io: Switch to vmalloc() fallback in case of TTY_NO_WRITE_SPLIT (Torez Smith) [2061784] - usb: mtu3: set interval of FS intr and isoc endpoint (Torez Smith) [2061784] - usb: mtu3: fix list_head check warning (Torez Smith) [2061784] - usb: mtu3: add memory barrier before set GPD's HWO (Torez Smith) [2061784] - usb: mtu3: fix interval value for intr and isoc (Torez Smith) [2061784] - usb: gadget: f_fs: Clear ffs_eventfd in ffs_data_clear. (Torez Smith) [2061784] - usb: musb: dsps: Use platform_get_irq_byname() to get the interrupt (Torez Smith) [2061784] - usb: cdns3: Use platform_get_irq_byname() to get the interrupt (Torez Smith) [2061784] - usb: isp1760: Use platform_get_irq() to get the interrupt (Torez Smith) [2061784] - usb: dwc3: Drop unneeded calls to platform_get_resource_byname() (Torez Smith) [2061784] - usb: renesas_usbhs: Use platform_get_irq() to get the interrupt (Torez Smith) [2061784] - usb: host: fotg210: Use platform_get_irq() to get the interrupt (Torez Smith) [2061784] - xhci: use max() to make code cleaner (Torez Smith) [2061784] - Revert "usb: host: ehci-sh: propagate errors from platform_get_irq()" (Torez Smith) [2061784] - usb: misc: ehset: Rework test mode entry (Torez Smith) [2061784] - usb: core: Export usb_device_match_id (Torez Smith) [2061784] - usb: musb: Set the DT node on the child device (Torez Smith) [2061784] - usb: musb: Drop unneeded resource copying (Torez Smith) [2061784] - usb: host: ohci-omap: propagate errors from platform_get_irq() (Torez Smith) [2061784] - usb: host: ehci-sh: propagate errors from platform_get_irq() (Torez Smith) [2061784] - usb: gadget: udc: pxa25x: propagate errors from platform_get_irq() (Torez Smith) [2061784] - usb: gadget: udc: bcm63xx: propagate errors from platform_get_irq() (Torez Smith) [2061784] - usb: ftdi-elan: fix memory leak on device disconnect (Torez Smith) [2061784] - usb: hub: Add delay for SuperSpeed hub resume to let links transit to U0 (Torez Smith) [2061784] - dt-bindings: usb: qcom,dwc3: Add SM6350 compatible (Torez Smith) [2061784] - usb: dwc2: drd: restore role and overrides upon resume (Torez Smith) [2061784] - usb: dwc2: drd: add role-switch-default-node support (Torez Smith) [2061784] - dt-bindings: usb: document role-switch-default-mode property in dwc2 (Torez Smith) [2061784] - usb: chipidea: Set the DT node on the child device (Torez Smith) [2061784] - usb: uhci: Use platform_get_irq() to get the interrupt (Torez Smith) [2061784] - usb: ohci-s3c2410: Use platform_get_irq() to get the interrupt (Torez Smith) [2061784] - usb: ohci-spear: Remove direct access to platform_device resource list (Torez Smith) [2061784] - dt-bindings: usb: qcom,dwc3: add binding for SM8450 (Torez Smith) [2061784] - Revert "usb: early: convert to readl_poll_timeout_atomic()" (Torez Smith) [2061784] - dt-bindings: usb: Convert BDC to YAML (Torez Smith) [2061784] - usb: hub: make wait_for_connected() take an int instead of a pointer to int (Torez Smith) [2061784] - usb: chipidea: tegra: Add runtime PM and OPP support (Torez Smith) [2061784] - usb: hub: avoid warm port reset during USB3 disconnect (Torez Smith) [2061784] - usb: host: xen-hcd: add missing unlock in error path (Torez Smith) [2061784] - dt-bindings: usb: dwc3-xilinx: Convert USB DWC3 bindings (Torez Smith) [2061784] - usb: dwc2: platform: adopt dev_err_probe() to silent probe defer (Torez Smith) [2061784] - usb: typec: tcpm: fix tcpm unregister port but leave a pending timer (Torez Smith) [2061784] - usb: cdnsp: Fix lack of spin_lock_irqsave/spin_lock_restore (Torez Smith) [2061784] - USB: NO_LPM quirk Lenovo USB-C to Ethernet Adapher(RTL8153-04) (Torez Smith) [2061784] - usb: dwc2: fix STM ID/VBUS detection startup delay in dwc2_driver_probe (Torez Smith) [2061784] - USB: gadget: bRequestType is a bitfield, not a enum (Torez Smith) [2061784] - thunderbolt: Check return value of kmemdup() in icm_handle_event() (Torez Smith) [2061784] - dt-bindings: usb: Add missing properties used in examples (Torez Smith) [2061784] - media: drivers:usb:remove unneeded variable (Torez Smith) [2061784] - media: b2c2-flexcop-usb: fix some whitespace coding style (Torez Smith) [2061784] - media: Revert "media: uvcvideo: Set unique vdev name based in type" (Torez Smith) [2061784] - media: dw2102: Fix use after free (Torez Smith) [2061784] - USB: serial: option: add Telit FN990 compositions (Torez Smith) [2061784] - USB: serial: cp210x: fix CP2105 GPIO registration (Torez Smith) [2061784] - usb: cdnsp: Fix incorrect status for control request (Torez Smith) [2061784] - usb: cdnsp: Fix issue in cdnsp_log_ep trace event (Torez Smith) [2061784] - usb: cdnsp: Fix incorrect calling of cdnsp_died function (Torez Smith) [2061784] - usb: xhci-mtk: fix list_del warning when enable list debug (Torez Smith) [2061784] - usb: gadget: u_ether: fix race in setting MAC address in setup phase (Torez Smith) [2061784] - dt-bindings: usb: tegra-xudc: Document interconnects and iommus properties (Torez Smith) [2061784] - usb: core: hcd: change sizeof(vaddr) to sizeof(unsigned long) (Torez Smith) [2061784] - usb: aspeed-vhub: support test mode feature (Torez Smith) [2061784] - usb: aspeed-vhub: fix ep0 OUT ack received wrong length issue (Torez Smith) [2061784] - usb: aspeed-vhub: add qualifier descriptor (Torez Smith) [2061784] - Add CONFIG_USB_XEN_HCD to configs (Torez Smith) [2061784] - usb: Introduce Xen pvUSB frontend (xen hcd) (Torez Smith) [2061784] - usb: dwc3: gadget: Support Multi-Stream Transfer (Torez Smith) [2061784] - usb: core: config: using bit mask instead of individual bits (Torez Smith) [2061784] - usb: core: config: fix validation of wMaxPacketValue entries (Torez Smith) [2061784] - USB: gadget: zero allocate endpoint 0 buffers (Torez Smith) [2061784] - USB: gadget: detect too-big endpoint 0 requests (Torez Smith) [2061784] - xhci: avoid race between disable slot command and host runtime suspend (Torez Smith) [2061784] - xhci: Remove CONFIG_USB_DEFAULT_PERSIST to prevent xHCI from runtime suspending (Torez Smith) [2061784] - Revert "usb: dwc3: dwc3-qcom: Enable tx-fifo-resize property by default" (Torez Smith) [2061784] - thunderbolt: Do not dereference fwnode in struct device (Torez Smith) [2061784] - thunderbolt: Add debug logging of DisplayPort resource allocation (Torez Smith) [2061784] - thunderbolt: Do not program path HopIDs for USB4 routers (Torez Smith) [2061784] - thunderbolt: Do not allow subtracting more NFC credits than configured (Torez Smith) [2061784] - thunderbolt: Runtime resume USB4 port when retimers are scanned (Torez Smith) [2061784] - thunderbolt: Tear down existing tunnels when resuming from hibernate (Torez Smith) [2061784] - thunderbolt: Runtime PM activate both ends of the device link (Torez Smith) [2061784] - thunderbolt: xdomain: Avoid potential stack OOB read (Torez Smith) [2061784] - media: m920x: don't use stack on USB reads (Torez Smith) [2061784] - media: siano: remove duplicate USB device IDs (Torez Smith) [2061784] - media: replace setting of bytesused with vb2_set_plane_payload (Torez Smith) [2061784] - media: uvcvideo: Avoid returning invalid controls (Torez Smith) [2061784] - media: uvcvideo: Avoid invalid memory access (Torez Smith) [2061784] - media: uvcvideo: Increase UVC_CTRL_CONTROL_TIMEOUT to 5 seconds. (Torez Smith) [2061784] - media: uvcvideo: Set the colorspace as sRGB if undefined (Torez Smith) [2061784] - media: uvcvideo: fix division by zero at stream start (Torez Smith) [2061784] - media: uvcvideo: Fix memory leak of object map on error exit path (Torez Smith) [2061784] - usb: core: Fix file path that does not exist (Torez Smith) [2061784] - treewide: Add missing includes masked by cgroup -> bpf dependency (Torez Smith) [2061784] - usb: cdnsp: Fix a NULL pointer dereference in cdnsp_endpoint_init() (Torez Smith) [2061784] - usb: cdns3: gadget: fix new urb never complete if ep cancel previous requests (Torez Smith) [2061784] - usb: typec: tcpm: Wait in SNK_DEBOUNCED until disconnect (Torez Smith) [2061784] - USB: NO_LPM quirk Lenovo Powered USB-C Travel Hub (Torez Smith) [2061784] - xhci: Fix commad ring abort, write all 64 bits to CRCR register. (Torez Smith) [2061784] - usb: bdc: fix error handling code in bdc_resume (Torez Smith) [2061784] - usb: uhci: add aspeed ast2600 uhci support (Torez Smith) [2061784] - usb: dwc3: gadget: Skip reading GEVNTSIZn (Torez Smith) [2061784] - usb: dwc3: gadget: Ignore Update Transfer cmd params (Torez Smith) [2061784] - usb: dwc3: gadget: Skip checking Update Transfer status (Torez Smith) [2061784] - media: pvrusb2: fix inconsistent indenting (Torez Smith) [2061784] - media: au0828-i2c: drop a duplicated function (Torez Smith) [2061784] - media: lmedm04: don't ignore errors when setting a filter (Torez Smith) [2061784] - USB: serial: option: add Fibocom FM101-GL variants (Torez Smith) [2061784] - usb: dwc2: gadget: use existing helper (Torez Smith) [2061784] - usb: gadget: configfs: use to_usb_function_instance() in cfg (un)link func (Torez Smith) [2061784] - usb: gadget: configfs: use to_config_usb_cfg() in os_desc_link() (Torez Smith) [2061784] - usb: gadget: configfs: remove os_desc_attr_release() (Torez Smith) [2061784] - usb: gadget: configfs: simplify os_desc_item_to_gadget_info() helper (Torez Smith) [2061784] - usb: xilinx: Add suspend resume support (Torez Smith) [2061784] - usb: typec: tipd: Fix initialization sequence for cd321x (Torez Smith) [2061784] - usb: typec: tipd: Fix typo in cd321x_switch_power_state (Torez Smith) [2061784] - usb: hub: Fix locking issues with address0_mutex (Torez Smith) [2061784] - USB: serial: pl2303: fix GC type detection (Torez Smith) [2061784] - USB: serial: option: add Telit LE910S1 0x9200 composition (Torez Smith) [2061784] - media: dib0700: Only touch one bit when start/stop an adapter (Torez Smith) [2061784] - media: dib0700: cleanup start/stop streaming logic (Torez Smith) [2061784] - media: dib0700: fix undefined behavior in tuner shutdown (Torez Smith) [2061784] - media: stk1160: fix control-message timeouts (Torez Smith) [2061784] - media: s2255: fix control-message timeouts (Torez Smith) [2061784] - media: pvrusb2: fix control-message timeouts (Torez Smith) [2061784] - media: em28xx: fix control-message timeouts (Torez Smith) [2061784] - media: cpia2: fix control-message timeouts (Torez Smith) [2061784] - media: flexcop-usb: fix control-message timeouts (Torez Smith) [2061784] - usb: chipidea: ci_hdrc_imx: fix potential error pointer dereference in probe (Torez Smith) [2061784] - usb: hub: Fix usb enumeration issue due to address0 race (Torez Smith) [2061784] - usb: typec: fusb302: Fix masking of comparator and bc_lvl interrupts (Torez Smith) [2061784] - usb: dwc3: leave default DMA for PCI devices (Torez Smith) [2061784] - usb: dwc2: hcd_queue: Fix use of floating point literal (Torez Smith) [2061784] - usb: dwc3: gadget: Fix null pointer exception (Torez Smith) [2061784] - usb: gadget: udc-xilinx: Fix an error handling path in 'xudc_probe()' (Torez Smith) [2061784] - usb: xhci: tegra: Check padctrl interrupt presence in device tree (Torez Smith) [2061784] - usb: dwc2: gadget: Fix ISOC flow for elapsed frames (Torez Smith) [2061784] - usb: dwc3: gadget: Check for L1/L2/U3 for Start Transfer (Torez Smith) [2061784] - usb: dwc3: gadget: Ignore NoStream after End Transfer (Torez Smith) [2061784] - usb: dwc3: core: Revise GHWPARAMS9 offset (Torez Smith) [2061784] - usb: typec: ucsi: Expose number of alternate modes in partner (Torez Smith) [2061784] - usb: xhci-mtk: fix random remote wakeup (Torez Smith) [2061784] - usb: xhci-mtk: remove unnecessary error check (Torez Smith) [2061784] - Docs: usb: update writesize, copy_from_user, usb_fill_bulk_urb, usb_submit_urb (Torez Smith) [2061784] - Docs: usb: update comment and code near increment usage count (Torez Smith) [2061784] - Docs: usb: update err() to pr_err() and replace __FILE__ (Torez Smith) [2061784] - usb: gadget: at91_udc: Convert to GPIO descriptors (Torez Smith) [2061784] - usb: gadget: composite: Show warning if function driver's descriptors are incomplete. (Torez Smith) [2061784] - usb: gadget: f_midi: allow resetting index option (Torez Smith) [2061784] - usb: Remove redundant 'flush_workqueue()' calls (Torez Smith) [2061784] - usb: gadget: f_fs: Use stream_open() for endpoint files (Torez Smith) [2061784] - USB: ehci_brcm_hub_control: Improve port index sanitizing (Torez Smith) [2061784] - usb: dwc3: meson-g12a: fix shared reset control use (Torez Smith) [2061784] - dt-bindings: usb: qcom,dwc3: add binding for IPQ4019 and IPQ8064 (Torez Smith) [2061784] - dt-bindings: usb: dwc2: document the port when usb-role-switch is used (Torez Smith) [2061784] - usb: cdnsp: Remove unneeded semicolon after `}' (Torez Smith) [2061784] - media: em28xx: fix memory leak in em28xx_init_dev (Torez Smith) [2061784] - media: gspca: Make use of the helper macro kthread_run() (Torez Smith) [2061784] - dt-bindings: treewide: Update @st.com email address to @foss.st.com (Torez Smith) [2061784] - xhci: Fix USB 3.1 enumeration issues by increasing roothub power-on-good delay (Torez Smith) [2061784] - Revert "usb: core: hcd: Add support for deferring roothub registration" (Torez Smith) [2061784] - Revert "xhci: Set HCD flag to defer primary roothub registration" (Torez Smith) [2061784] - usb: gadget: Mark USB_FSL_QE broken on 64-bit (Torez Smith) [2061784] - usb: gadget: f_mass_storage: Disable eps during disconnect (Torez Smith) [2061784] - usb: gadget: udc: core: Revise comments for USB ep enable/disable (Torez Smith) [2061784] - usb: mtu3: enable wake-up interrupt after runtime_suspend called (Torez Smith) [2061784] - usb: xhci-mtk: enable wake-up interrupt after runtime_suspend called (Torez Smith) [2061784] - USB: serial: keyspan: fix memleak on probe errors (Torez Smith) [2061784] - USB: serial: cp210x: use usb_control_msg_recv() and usb_control_msg_send() (Torez Smith) [2061784] - USB: serial: ch314: use usb_control_msg_recv() (Torez Smith) [2061784] - USB: iowarrior: fix control-message timeouts (Torez Smith) [2061784] - Documentation: USB: fix example bulk-message timeout (Torez Smith) [2061784] - usb: remove res2 argument from gadget code completions (Torez Smith) [2061784] - usb: dwc2: stm32mp15: set otg_rev (Torez Smith) [2061784] - usb: dwc2: add otg_rev and otg_caps information for gadget driver (Torez Smith) [2061784] - dt-bindings: usb: dwc2: adopt otg properties defined in usb-drd.yaml (Torez Smith) [2061784] - dt-bindings: usb: dwc2: Add reference to usb-drd.yaml (Torez Smith) [2061784] - usb: gadget: uvc: implement dwPresentationTime and scrSourceClock (Torez Smith) [2061784] - usb: gadget: uvc: use on returned header len in video_encode_isoc_sg (Torez Smith) [2061784] - usb:gadget: f_uac1: fixed sync playback (Torez Smith) [2061784] - Docs: usb: remove :c:func: for usb_register and usb_deregister (Torez Smith) [2061784] - Docs: usb: update struct usb_driver (Torez Smith) [2061784] - usb: gadget: configfs: change config attributes file operation (Torez Smith) [2061784] - usb: gadget: configfs: add cfg_to_gadget_info() helper (Torez Smith) [2061784] - usb: dwc3: Align DWC3_EP_* flag macros (Torez Smith) [2061784] - usb: dwc3: gadget: Skip resizing EP's TX FIFO if already resized (Torez Smith) [2061784] - USB: chipidea: fix interrupt deadlock (Torez Smith) [2061784] - usb: musb: Balance list entry in musb_gadget_queue (Torez Smith) [2061784] - usb: musb: sunxi: Don't print error on MUSB_ULPI_BUSCONTROL access (Torez Smith) [2061784] - usb: gadget: uvc: ensure the vdev is unset (Torez Smith) [2061784] - usb: gadget: uvc: only pump video data if necessary (Torez Smith) [2061784] - usb: gadget: uvc: only schedule stream in streaming state (Torez Smith) [2061784] - usb: gadget: uvc: test if ep->desc is valid on ep_queue (Torez Smith) [2061784] - usb: gadget: uvc: rename function to be more consistent (Torez Smith) [2061784] - usb: gadget: uvc: consistently use define for headerlen (Torez Smith) [2061784] - usb: max-3421: Use driver data instead of maintaining a list of bound devices (Torez Smith) [2061784] - usb: dwc2: drd: reset current session before setting the new one (Torez Smith) [2061784] - usb: dwc2: drd: fix dwc2_drd_role_sw_set when clock could be disabled (Torez Smith) [2061784] - usb: dwc2: drd: fix dwc2_force_mode call in dwc2_ovr_init (Torez Smith) [2061784] - usb: ohci: disable start-of-frame interrupt in ohci_rh_suspend (Torez Smith) [2061784] - usb: host: ehci: Make use of dma_pool_zalloc() instead of dma_pool_alloc/memset() (Torez Smith) [2061784] - usb: host: fotg210: Make use of dma_pool_zalloc() instead of dma_pool_alloc/memset() (Torez Smith) [2061784] - usb: dwc3: gadget: Change to dev_dbg() when queuing to inactive gadget/ep (Torez Smith) [2061784] - usb: gadget: u_ether: use eth_hw_addr_set() (Torez Smith) [2061784] - usb: typec: tipd: Enable event interrupts by default (Torez Smith) [2061784] - media: gspca/gl860-mi1320/ov9655: avoid -Wstring-concatenation warning (Torez Smith) [2061784] - usb: typec: STUSB160X should select REGMAP_I2C (Torez Smith) [2061784] - usb-storage: Add compatibility quirk flags for iODD 2531/2541 (Torez Smith) [2061784] - scsi: usb: Switch to attribute groups (Torez Smith) [2061784] - net: remove single-byte netdev->dev_addr writes (Torez Smith) [2061784] - usb: musb: dsps: Fix the probe error path (Torez Smith) [2061784] - usb: gadget: avoid unusual inline assembly (Torez Smith) [2061784] - usb: musb: select GENERIC_PHY instead of depending on it (Torez Smith) [2061784] - usb: host: ohci-tmio: check return value after calling platform_get_resource() (Torez Smith) [2061784] - usb: gadget: u_audio.c: Adding Playback Pitch ctl for sync playback (Torez Smith) [2061784] - usb: gadget: hid: fix error code in do_config() (Torez Smith) [2061784] - xhci: Enable trust tx length quirk for Fresco FL11 USB controller (Torez Smith) [2061784] - xhci: Fix command ring pointer corruption while aborting a command (Torez Smith) [2061784] - USB: xhci: dbc: fix tty registration race (Torez Smith) [2061784] - xhci: add quirk for host controllers that don't update endpoint DCS (Torez Smith) [2061784] - xhci: guard accesses to ep_state in xhci_endpoint_reset() (Torez Smith) [2061784] - USB: gadget: udc: Remove some dead code (Torez Smith) [2061784] - usb: gadget: storage: add support for media larger than 2T (Torez Smith) [2061784] - usb: chipidea: udc: make controller hardware endpoint primed (Torez Smith) [2061784] - dt-binding: usb: xilinx: Add clocking node (Torez Smith) [2061784] - media: usb: dvd-usb: fix uninit-value bug in dibusb_read_eeprom_byte() (Torez Smith) [2061784] - USB: serial: qcserial: add EM9191 QDL support (Torez Smith) [2061784] - Revert "usb: misc: ehset: Workaround for "special" hubs" (Torez Smith) [2061784] - USB: serial: option: add Quectel EC200S-CN module support (Torez Smith) [2061784] - USB: serial: option: add prod. id for Quectel EG91 (Torez Smith) [2061784] - USB: serial: option: add Telit LE910Cx composition 0x1204 (Torez Smith) [2061784] - thunderbolt: build kunit tests without structleak plugin (Torez Smith) [2061784] - usb: core: config: Change sizeof(struct ...) to sizeof(*...) (Torez Smith) [2061784] - ARM: omap1: move omap15xx local bus handling to usb.c (Torez Smith) [2061784] - USB: cdc-acm: fix break reporting (Torez Smith) [2061784] - USB: cdc-acm: fix racy tty buffer accesses (Torez Smith) [2061784] - usb: gadget: f_uac2: fixed EP-IN wMaxPacketSize (Torez Smith) [2061784] - usb: cdc-wdm: Fix check for WWAN (Torez Smith) [2061784] - usb: chipidea: ci_hdrc_imx: Also search for 'phys' phandle (Torez Smith) [2061784] - usb: typec: tcpm: handle SRC_STARTUP state if cc changes (Torez Smith) [2061784] - usb: typec: tcpci: don't handle vSafe0V event if it's not enabled (Torez Smith) [2061784] - usb: typec: tipd: Remove dependency on "connector" child fwnode (Torez Smith) [2061784] - Partially revert "usb: Kconfig: using select for USB_COMMON dependency" (Torez Smith) [2061784] - usb: dwc3: gadget: Revert "set gadgets parent to the right controller" (Torez Smith) [2061784] - usb: xhci: tegra: mark PM functions as __maybe_unused (Torez Smith) [2061784] - usb: gadget: udc: core: Print error code in usb_gadget_probe_driver() (Torez Smith) [2061784] - usb: gadget: udc: core: Use pr_fmt() to prefix messages (Torez Smith) [2061784] - usb: gadget: uvc: fix multiple opens (Torez Smith) [2061784] - usb: cdc-wdm: Constify static struct wwan_port_ops (Torez Smith) [2061784] - usb: usb-skeleton: Update min() to min_t() (Torez Smith) [2061784] - dt-bindings: usb: dwc3: Fix usb-phy check (Torez Smith) [2061784] - dt-bindings: usb: Convert SMSC USB3503 binding to a schema (Torez Smith) [2061784] - usb: exynos: describe driver in KConfig (Torez Smith) [2061784] - usb: gadget: udc-xilinx: Add clock support (Torez Smith) [2061784] - usb: xhci-mtk: use xhci_dbg() to print log (Torez Smith) [2061784] - USB: EHCI: Improve port index sanitizing (Torez Smith) [2061784] - USB: phy: tahvo:remove unnecessary debug log (Torez Smith) [2061784] - usb: atm: Use struct_size() helper (Torez Smith) [2061784] - usb: phy: tegra: Support OTG mode programming (Torez Smith) [2061784] - usb: host: oxu210hp: Fix a function name in comments (Torez Smith) [2061784] - usb: host: fotg210: Fix a function name in comments (Torez Smith) [2061784] - usb: ehci: Fix a function name in comments (Torez Smith) [2061784] - usb: typec: tipd: Remove FIXME about testing with I2C_FUNC_I2C (Torez Smith) [2061784] - usb: typec: tipd: Switch CD321X power state to S0 (Torez Smith) [2061784] - usb: typec: tipd: Add support for Apple CD321X (Torez Smith) [2061784] - usb: typec: tipd: Add short-circuit for no irqs (Torez Smith) [2061784] - usb: typec: tipd: Split interrupt handler (Torez Smith) [2061784] - dt-bindings: usb: tps6598x: Add Apple CD321x compatible (Torez Smith) [2061784] - usb: typec: ucsi: Better fix for missing unplug events issue (Torez Smith) [2061784] - usb: typec: ucsi: Read the PDOs in separate work (Torez Smith) [2061784] - usb: typec: ucsi: Check the partner alt modes always if there is PD contract (Torez Smith) [2061784] - usb: typec: ucsi: acpi: Reduce the command completion timeout (Torez Smith) [2061784] - usb: typec: ucsi: Add polling mechanism for partner tasks like alt mode checking (Torez Smith) [2061784] - usb: typec: ucsi: Don't stop alt mode registration on busy condition (Torez Smith) [2061784] - usb: typec: ucsi: Always cancel the command if PPM reports BUSY condition (Torez Smith) [2061784] - usb: typec: tcpci: Fix spelling mistake "resolbed" -> "resolved" (Torez Smith) [2061784] - usb: misc: ehset: Workaround for "special" hubs (Torez Smith) [2061784] - media: em28xx: Don't use ops->suspend if it is NULL (Torez Smith) [2061784] - scsi: target: usb: Replace enable attr with ops.enable (Torez Smith) [2061784] - media: mxl111sf: change mutex_init() location (Torez Smith) [2061784] - media: tm6000: Avoid card name truncation (Torez Smith) [2061784] - media: usb: stkwebcam: Update the reference count of the usb device structure (Torez Smith) [2061784] - media: gspca: Limit frame size to sizeimage. (Torez Smith) [2061784] - media: usb: airspy: clean the freed pointer and counter (Torez Smith) [2061784] - media: pvrusb2: Replaced simple_strtol() with kstrtoint() (Torez Smith) [2061784] - media: uvcvideo: Don't spam the log in uvc_ctrl_restore_values() (Torez Smith) [2061784] - media: uvcvideo: Set error_idx during ctrl_commit errors (Torez Smith) [2061784] - media: uvcvideo: Check controls flags before accessing them (Torez Smith) [2061784] - media: uvcvideo: Use control names from framework (Torez Smith) [2061784] - media: uvcvideo: Increase the size of UVC_METADATA_BUF_SIZE (Torez Smith) [2061784] - media: uvcvideo: Set unique vdev name based in type (Torez Smith) [2061784] - media: uvcvideo: Use dev->name for querycap() (Torez Smith) [2061784] - media: uvcvideo: Add support for V4L2_CTRL_TYPE_CTRL_CLASS (Torez Smith) [2061784] - media: uvcvideo: refactor __uvc_ctrl_add_mapping (Torez Smith) [2061784] - media: uvcvideo: Return -EIO for control errors (Torez Smith) [2061784] - media: uvcvideo: Set capability in s_param (Torez Smith) [2061784] - media: uvcvideo: Remove s_ctrl and g_ctrl (Torez Smith) [2061784] - media: uvcvideo: Remove unused including <linux/version.h> (Torez Smith) [2061784] - media: uvcvideo: Do not check for V4L2_CTRL_WHICH_DEF_VAL (Torez Smith) [2061784] - media: pvrusb2: Do not check for V4L2_CTRL_WHICH_DEF_VAL (Torez Smith) [2061784] - media: m5602_ov7660: remove the repeated declaration (Torez Smith) [2061784] - media: dvb-usb: fix ununit-value in az6027_rc_query (Torez Smith) [2061784] - media: ttusb-dec: avoid release of non-acquired mutex (Torez Smith) [2061784] - media: gspca/sn9c20x: Add ability to control built-in webcam LEDs (Torez Smith) [2061784] - media: em28xx: add missing em28xx_close_extension (Torez Smith) [2061784] - thunderbolt: Fix -Wrestrict warning (Torez Smith) [2061784] - USB: serial: option: add device id for Foxconn T99W265 (Torez Smith) [2061784] - USB: serial: cp210x: add ID for GW Instek GDM-834x Digital Multimeter (Torez Smith) [2061784] - USB: serial: cp210x: add part-number debug printk (Torez Smith) [2061784] - USB: serial: cp210x: fix dropped characters with CP2102 (Torez Smith) [2061784] - USB: serial: kl5kusb105: drop line-status helper (Torez Smith) [2061784] - USB: serial: kl5kusb105: simplify line-status handling (Torez Smith) [2061784] - USB: serial: kl5kusb105: clean up line-status handling (Torez Smith) [2061784] - usb: musb: tusb6010: uninitialized data in tusb_fifo_write_unaligned() (Torez Smith) [2061784] - usb-storage: Add quirk for ScanLogic SL11R-IDE older than 2.6c (Torez Smith) [2061784] - Re-enable UAS for LaCie Rugged USB3-FW with fk quirk (Torez Smith) [2061784] - usb: dwc3: gadget: Avoid starting DWC3 gadget during UDC unbind (Torez Smith) [2061784] - usb: core: hcd: fix messages in usb_hcd_request_irqs() (Torez Smith) [2061784] - usb: host: ehci-mv: drop duplicated MODULE_ALIAS (Torez Smith) [2061784] - usb: typec: tipd: Remove WARN_ON in tps6598x_block_read (Torez Smith) [2061784] - usb: typec: tipd: Add an additional overflow check (Torez Smith) [2061784] - usb: typec: tipd: Don't read/write more bytes than required (Torez Smith) [2061784] - usb: musb: tusb6010: check return value after calling platform_get_resource() (Torez Smith) [2061784] - USB: cdc-acm: remove duplicate USB device ID (Torez Smith) [2061784] - USB: serial: kl5kusb105: use usb_control_msg_recv() and usb_control_msg_send() (Torez Smith) [2061784] - USB: serial: keyspan_pda: use usb_control_msg_recv() (Torez Smith) [2061784] - USB: serial: ftdi_sio: use usb_control_msg_recv() (Torez Smith) [2061784] - USB: serial: f81232: use usb_control_msg_recv() and usb_control_msg_send() (Torez Smith) [2061784] - USB: serial: allow hung up ports to be suspended (Torez Smith) [2061784] - USB: serial: clean up core error labels (Torez Smith) [2061784] - USB: serial: option: remove duplicate USB device ID (Torez Smith) [2061784] - USB: serial: mos7840: remove duplicated 0xac24 device ID (Torez Smith) [2061784] - USB: core: Make do_proc_control() and do_proc_bulk() killable (Torez Smith) [2061784] - usb: musb: mediatek: Expose role-switch control to userspace (Torez Smith) [2061784] - usb: gadget: f_uac2: Populate SS descriptors' wBytesPerInterval (Torez Smith) [2061784] - usb: gadget: f_uac2: Add missing companion descriptor for feedback EP (Torez Smith) [2061784] - usb: dwc2: gadget: Fix ISOC transfer complete handling for DDMA (Torez Smith) [2061784] - usb: core: hcd: Modularize HCD stop configuration in usb_stop_hcd() (Torez Smith) [2061784] - xhci: Set HCD flag to defer primary roothub registration (Torez Smith) [2061784] - usb: core: hcd: Add support for deferring roothub registration (Torez Smith) [2061784] - usb: dwc2: gadget: Fix ISOC flow for BDMA and Slave (Torez Smith) [2061784] - usb: dwc3: core: balance phy init and exit (Torez Smith) [2061784] - Revert "USB: bcma: Add a check for devm_gpiod_get" (Torez Smith) [2061784] - usb: ehci: Simplify platform driver registration (Torez Smith) [2061784] - USB: cdc-acm: fix minor-number release (Torez Smith) [2061784] - usb: dwc2: check return value after calling platform_get_resource() (Torez Smith) [2061784] - usb: cdns3: fix race condition before setting doorbell (Torez Smith) [2061784] - usb: gadget: r8a66597: fix a loop in set_feature() (Torez Smith) [2061784] - usb: gadget: u_audio: EP-OUT bInterval in fback frequency (Torez Smith) [2061784] - usb: testusb: Fix for showing the connection speed (Torez Smith) [2061784] - usb: gadget: fix for a typo that conveys logically-inverted information. (Torez Smith) [2061784] - usb: gadget: goku_udc: Fix mask and set operation on variable master (Torez Smith) [2061784] - USB: host: ehci-atmel: Add support for HSIC phy (Torez Smith) [2061784] - dt-bindings: usb: atmel: add USB PHY type property (Torez Smith) [2061784] - usb: ehci: handshake CMD_RUN instead of STS_HALT (Torez Smith) [2061784] - usb: gadget: f_uac2: clean up some inconsistent indenting (Torez Smith) [2061784] - dt-bindings: usb: qcom,dwc3: add binding for IPQ6018 (Torez Smith) [2061784] - usb: dwc3: reference clock period configuration (Torez Smith) [2061784] - dt-bindings: usb: dwc3: add reference clock period (Torez Smith) [2061784] - usb: ohci: Prefer struct_size over open coded arithmetic (Torez Smith) [2061784] - usb: typec: hd3ss3220: Use regmap_write_bits() (Torez Smith) [2061784] - dt-binding: usb: xilinx: Convert binding to YAML (Torez Smith) [2061784] - USB: serial: option: add Telit LN920 compositions (Torez Smith) [2061784] - thunderbolt: test: split up test cases in tb_test_credit_alloc_all (Torez Smith) [2061784] - USB: serial: pl2303: fix GL type detection (Torez Smith) [2061784] - usb: isp1760: otg control register access (Torez Smith) [2061784] - usb: isp1760: use the right irq status bit (Torez Smith) [2061784] - usb: isp1760: write to status and address register (Torez Smith) [2061784] - usb: isp1760: fix qtd fill length (Torez Smith) [2061784] - usb: isp1760: fix memory pool initialization (Torez Smith) [2061784] - usb: typec: tcpm: Fix spelling mistake "atleast" -> "at least" (Torez Smith) [2061784] - usb: dwc2: Fix spelling mistake "was't" -> "wasn't" (Torez Smith) [2061784] - usb: renesas_usbhs: Fix spelling mistake "faile" -> "failed" (Torez Smith) [2061784] - usb: host: xhci-rcar: Don't reload firmware after the completion (Torez Smith) [2061784] - usb: xhci-mtk: allow bandwidth table rollover (Torez Smith) [2061784] - usb: mtu3: fix random remote wakeup (Torez Smith) [2061784] - usb: mtu3: return successful suspend status (Torez Smith) [2061784] - usb: xhci-mtk: Do not use xhci's virt_dev in drop_endpoint (Torez Smith) [2061784] - usb: xhci-mtk: modify the SOF/ITP interval for mt8195 (Torez Smith) [2061784] - usb: xhci-mtk: add a member of num_esit (Torez Smith) [2061784] - usb: xhci-mtk: check boundary before check tt (Torez Smith) [2061784] - usb: xhci-mtk: update fs bus bandwidth by bw_budget_table (Torez Smith) [2061784] - usb: xhci-mtk: fix issue of out-of-bounds array access (Torez Smith) [2061784] - usb: xhci-mtk: support option to disable usb2 ports (Torez Smith) [2061784] - usb: xhci-mtk: fix use-after-free of mtk->hcd (Torez Smith) [2061784] - dt-bindings: usb: mtk-xhci: add compatible for mt8195 (Torez Smith) [2061784] - dt-bindings: usb: mtk-xhci: add optional property to disable usb2 ports (Torez Smith) [2061784] - usb: bdc: Fix a resource leak in the error handling path of 'bdc_probe()' (Torez Smith) [2061784] - usb: bdc: Fix an error handling path in 'bdc_probe()' when no suitable DMA config is available (Torez Smith) [2061784] - Revert "usb: xhci-mtk: relax TT periodic bandwidth allocation" (Torez Smith) [2061784] - usb: isp1760: clean never read udc_enabled warning (Torez Smith) [2061784] - usb: isp1760: do not shift in uninitialized slot (Torez Smith) [2061784] - usb: isp1760: do not reset retval (Torez Smith) [2061784] - usb: isp1760: check maxpacketsize before using it (Torez Smith) [2061784] - usb: isp1760: ignore return value for bus change pattern (Torez Smith) [2061784] - usb: gadget: Add description for module parameter (Torez Smith) [2061784] - usbip:vhci_hcd USB port can get stuck in the disabled state (Torez Smith) [2061784] - usbip: clean up code in vhci_device_unlink_cleanup (Torez Smith) [2061784] - usbip: give back URBs for unsent unlink requests during cleanup (Torez Smith) [2061784] - usb: gadget: aspeed: Remove repeated verbose license text (Torez Smith) [2061784] - usb: gadget: mass_storage: Remove repeated verbose license text (Torez Smith) [2061784] - usb: musb: musb_dsps: request_irq() after initializing musb (Torez Smith) [2061784] - usb: dwc3: pci: add support for AMD's newer generation platform. (Torez Smith) [2061784] - usb: dwc3: imx8mp: request irq after initializing dwc3 (Torez Smith) [2061784] - usb: ehci-orion: Handle errors of clk_prepare_enable() in probe (Torez Smith) [2061784] - xhci: Add bus number to some debug messages (Torez Smith) [2061784] - xhci: Add additional dynamic debug to follow URBs in cancel and error cases. (Torez Smith) [2061784] - Revert "USB: xhci: fix U1/U2 handling for hardware with XHCI_INTEL_HOST quirk set" (Torez Smith) [2061784] - xhci: Fix failure to give back some cached cancelled URBs. (Torez Smith) [2061784] - xhci: fix even more unsafe memory usage in xhci tracing (Torez Smith) [2061784] - xhci: fix unsafe memory usage in xhci tracing (Torez Smith) [2061784] - USB: serial: replace symbolic permissions by octal permissions (Torez Smith) [2061784] - usb: gadget: f_hid: optional SETUP/SET_REPORT mode (Torez Smith) [2061784] - usb: chipidea: host: fix port index underflow and UBSAN complains (Torez Smith) [2061784] - usb: typec: altmodes/displayport: Notify drm subsys of hotplug events (Torez Smith) [2061784] - usb: typec: altmodes/displayport: Make dp_altmode_notify() more generic (Torez Smith) [2061784] - drm/connector: Add support for out-of-band hotplug notification (v3) (Torez Smith) [2061784] - drm/connector: Add drm_connector_find_by_fwnode() function (v3) (Torez Smith) [2061784] - drm/connector: Add a fwnode pointer to drm_connector and register with ACPI (v2) (Torez Smith) [2061784] - drm/connector: Give connector sysfs devices there own device_type (Torez Smith) [2061784] - USB: EHCI: Add alias for Broadcom INSNREG (Torez Smith) [2061784] - USB: EHCI: Add register array bounds to HCS ports (Torez Smith) [2061784] - usb: gadget: mv_u3d: request_irq() after initializing UDC (Torez Smith) [2061784] - usb: gadget: f_uac1: fixing inconsistent indenting (Torez Smith) [2061784] - usb: remove reference to deleted config STB03xxx (Torez Smith) [2061784] - usb: host: remove line for obsolete config USB_HWA_HCD (Torez Smith) [2061784] - usb: host: remove dead EHCI support for on-chip PMC MSP71xx USB controller (Torez Smith) [2061784] - usb: dwc3: Decouple USB 2.0 L1 & L2 events (Torez Smith) [2061784] - usb: phy: tahvo: add IRQ check (Torez Smith) [2061784] - usb: host: ohci-tmio: add IRQ check (Torez Smith) [2061784] - dt-bindings: usb: mtk-musb: add MT7623 compatible (Torez Smith) [2061784] - usb: gadget: remove unnecessary AND operation when get ep maxp (Torez Smith) [2061784] - usb: gadget: bdc: remove unnecessary AND operation when get ep maxp (Torez Smith) [2061784] - usb: gadget: udc: renesas_usb3: Fix soc_device_match() abuse (Torez Smith) [2061784] - usb: typec: tcpm: Support non-PD mode (Torez Smith) [2061784] - usb: gadget: tegra-xudc: fix the wrong mult value for HS isoc or intr (Torez Smith) [2061784] - usb: cdnsp: fix the wrong mult value for HS isoc or intr (Torez Smith) [2061784] - usb: mtu3: fix the wrong HS mult value (Torez Smith) [2061784] - usb: mtu3: use @mult for HS isoc or intr (Torez Smith) [2061784] - usb: mtu3: restore HS function when set SS/SSP (Torez Smith) [2061784] - usb: phy: twl6030: add IRQ checks (Torez Smith) [2061784] - usb: phy: fsl-usb: add IRQ check (Torez Smith) [2061784] - usb: misc: brcmstb-usb-pinmap: add IRQ check (Torez Smith) [2061784] - usb: gadget: udc: s3c2410: add IRQ check (Torez Smith) [2061784] - usb: gadget: udc: at91: add IRQ check (Torez Smith) [2061784] - usb: dwc3: qcom: add IRQ check (Torez Smith) [2061784] - usb: dwc3: meson-g12a: add IRQ check (Torez Smith) [2061784] - usb: dwc2: gadget: implement udc_set_speed() (Torez Smith) [2061784] - usb: xhci-mtk: relax TT periodic bandwidth allocation (Torez Smith) [2061784] - dt-bindings: usb: renesas,usbhs: Document RZ/G2L bindings (Torez Smith) [2061784] - docs: usb: fix malformed table (Torez Smith) [2061784] - usb: gadget: f_uac2: remove redundant assignments to pointer i_feature (Torez Smith) [2061784] - usb: misc: adutux: use swap() (Torez Smith) [2061784] - usb: gadget: remove useless cast (Torez Smith) [2061784] - usb: gadget: Fix inconsistent indent (Torez Smith) [2061784] - media: em28xx-input: fix refcount bug in em28xx_usb_disconnect (Torez Smith) [2061784] - thunderbolt: Add authorized value to the KOBJ_CHANGE uevent (Torez Smith) [2061784] - USB: serial: cp210x: determine fw version for CP2105 and CP2108 (Torez Smith) [2061784] - USB: serial: cp210x: clean up type detection (Torez Smith) [2061784] - USB: serial: cp210x: clean up set-chars request (Torez Smith) [2061784] - USB: serial: cp210x: clean up control-request timeout (Torez Smith) [2061784] - USB: serial: cp210x: fix flow-control error handling (Torez Smith) [2061784] - USB: serial: cp210x: fix control-characters error handling (Torez Smith) [2061784] - USB: serial: io_edgeport: drop unused descriptor helper (Torez Smith) [2061784] - usb: gadget: pxa25x_udc: Constify static struct pxa25x_ep_ops (Torez Smith) [2061784] - drivers: usb: dwc3-qcom: Add sdm660 compatible (Torez Smith) [2061784] - usb: isp1760: rework cache initialization error handling (Torez Smith) [2061784] - usb: isp1760: do not sleep in field register poll (Torez Smith) [2061784] - usb: isp1760: remove debug message as error (Torez Smith) [2061784] - dt-bindings: usb: ohci: Add Allwinner A83t compatible (Torez Smith) [2061784] - dt-bindings: usb: ehci: Add Allwinner A83t compatible (Torez Smith) [2061784] - usb: gadget: uvc: decrease the interrupt load to a quarter (Torez Smith) [2061784] - usb: gadget: uvc: add scatter gather support (Torez Smith) [2061784] - usb: gadget: uvc: set v4l2_dev->dev in f_uvc (Torez Smith) [2061784] - usb: gadget: uvc: make uvc_num_requests depend on gadget speed (Torez Smith) [2061784] - usb: dwc3: gadget: set gadgets parent to the right controller (Torez Smith) [2061784] - usb: mtu3: support suspend/resume for dual-role mode (Torez Smith) [2061784] - usb: mtu3: support suspend/resume for device mode (Torez Smith) [2061784] - usb: mtu3: add helper to power on/down device (Torez Smith) [2061784] - usb: mtu3: support runtime PM for host mode (Torez Smith) [2061784] - usb: mtu3: add new helpers for host suspend/resume (Torez Smith) [2061784] - usb: mtu3: support option to disable usb2 ports (Torez Smith) [2061784] - usb: mtu3: support property role-switch-default-mode (Torez Smith) [2061784] - usb: dwc3: drd: use helper to get role-switch-default-mode (Torez Smith) [2061784] - usb: common: add helper to get role-switch-default-mode (Torez Smith) [2061784] - dt-bindings: usb: mtu3: add wakeup interrupt (Torez Smith) [2061784] - dt-bindings: usb: mtu3: add support property role-switch-default-mode (Torez Smith) [2061784] - dt-bindings: usb: mtu3: add optional property to disable usb2 ports (Torez Smith) [2061784] - dt-bindings: usb: mtu3: remove support VBUS detection of extcon (Torez Smith) [2061784] - media: stkwebcam: fix memory leak in stk_camera_probe (Torez Smith) [2061784] - media: go7007: remove redundant initialization (Torez Smith) [2061784] - media: go7007: fix memory leak in go7007_usb_probe (Torez Smith) [2061784] - media: dvb-usb: Fix error handling in dvb_usb_i2c_init (Torez Smith) [2061784] - media: dvb-usb: fix uninit-value in vp702x_read_mac_addr (Torez Smith) [2061784] - media: dvb-usb: fix uninit-value in dvb_usb_adapter_dvb_init (Torez Smith) [2061784] - usb: dwc3: dwc3-qcom: Fix typo in the dwc3 vbus override API (Torez Smith) [2061784] - usb: gadget: f_uac1: add volume and mute support (Torez Smith) [2061784] - usb: gadget: f_uac2: add volume and mute support (Torez Smith) [2061784] - usb: gadget: u_audio: add bi-directional volume and mute support (Torez Smith) [2061784] - usb: audio-v2: add ability to define feature unit descriptor (Torez Smith) [2061784] - dt-bindings: usb: generic-ohci: Document dr_mode property (Torez Smith) [2061784] - dt-bindings: usb: generic-ehci: Document dr_mode property (Torez Smith) [2061784] - usb: dwc2: gadget: Add endpoint wedge support (Torez Smith) [2061784] - usb: gadget: composite: Allow bMaxPower=0 if self-powered (Torez Smith) [2061784] - usb: isp1301-omap: Fix the GPIO include (Torez Smith) [2061784] - usb: renesas-xhci: Remove renesas_xhci_pci_exit() (Torez Smith) [2061784] - usb: xhci-renesas: Minor coding style cleanup (Torez Smith) [2061784] - usb: host: ohci-spear: simplify calling usb_add_hcd() (Torez Smith) [2061784] - USB: EHCI: ehci-mv: improve error handling in mv_ehci_enable() (Torez Smith) [2061784] - usb: gadget: u_ether: fix a potential null pointer dereference (Torez Smith) [2061784] - usb: gadget: f_ncm: ncm_wrap_ntb - move var definitions into if statement (Torez Smith) [2061784] - usb: gadget: f_ncm: remove spurious if statement (Torez Smith) [2061784] - usb: gadget: f_ncm: remove check for NULL skb_tx_data in timer function (Torez Smith) [2061784] - usb: gadget: f_ncm: remove spurious boolean timer_stopping (Torez Smith) [2061784] - usb: gadget: f_ncm: remove timer_force_tx field (Torez Smith) [2061784] - usb: host: fotg210: fix the actual_length of an iso packet (Torez Smith) [2061784] - usb: host: fotg210: fix the endpoint's transactional opportunities calculation (Torez Smith) [2061784] - Revert "usb: host: fotg210: Use dma_pool_zalloc" (Torez Smith) [2061784] - media: usb: dvb-usb-v2: af9035: let subdrv autoselect enable si2168 and si2157 (Torez Smith) [2061784] - media: usb: dvb-usb-v2: af9035: report if i2c client isn't bound (Torez Smith) [2061784] - dt-bindings: usb: dwc3: Update dwc3 TX fifo properties (Torez Smith) [2061784] - usb: dwc3: dwc3-qcom: Enable tx-fifo-resize property by default (Torez Smith) [2061784] - usb: dwc3: Resize TX FIFOs to meet EP bursting requirements (Torez Smith) [2061784] - usb: gadget: configfs: Check USB configuration before adding (Torez Smith) [2061784] - usb: gadget: udc: core: Introduce check_config to verify USB configuration (Torez Smith) [2061784] - media: drivers/media/usb/gspca: fix typo Fliker -> Flicker (Torez Smith) [2061784] - media: uvc: don't do DMA on stack (Torez Smith) [2061784] - media: gspca: Drop default m (Torez Smith) [2061784] - media: dvbsky: add support for MyGica T230C2_LITE and T230A (Torez Smith) [2061784] - oom_kill.c: futex: delay the OOM reaper to allow time for proper futex cleanup (Nico Pache) [1951330] - scsi: mpt3sas: Fix mpt3sas_check_same_4gb_region() kdoc comment (Tomas Henzl) [2068044] - scsi: mpt3sas: Fix incorrect 4GB boundary check (Tomas Henzl) [2068044] - scsi: mpt3sas: Remove scsi_dma_map() error messages (Tomas Henzl) [2068044] - qed: fix ethtool register dump (Manish Chopra) [2040279] - qede: confirm skb is allocated before using (Manish Chopra) [2040279] - qed: remove unnecessary memset in qed_init_fw_funcs (Manish Chopra) [2040279] - qed: return status of qed_iov_get_link (Manish Chopra) [2040279] - net: qlogic: check the return value of dma_alloc_coherent() in qed_vf_hw_prepare() (Manish Chopra) [2040279] - qed: validate and restrict untrusted VFs vlan promisc mode (Manish Chopra) [2040279] - qed: display VF trust config (Manish Chopra) [2040279] - qed: prevent a fw assert during device shutdown (Manish Chopra) [2040279] - qed: use msleep() in qed_mcp_cmd() and add qed_mcp_cmd_nosleep() for udelay. (Manish Chopra) [2040279] - qed: Use dma_set_mask_and_coherent() and simplify code (Manish Chopra) [2040279] - qed*: esl priv flag support through ethtool (Manish Chopra) [2040279] - qed*: enhance tx timeout debug info (Manish Chopra) [2040279] - qede: validate non LSO skb length (Manish Chopra) [2040279] - qed: Enhance rammod debug prints to provide pretty details (Manish Chopra) [2040279] - net: qed: fix the array may be out of bound (Manish Chopra) [2040279] - qed: Use the bitmap API to simplify some functions (Manish Chopra) [2040279] - RDMA/qed: Use helper function to set GUIDs (Manish Chopra) [2040279] - RDMA/qedr: Fix NULL deref for query_qp on the GSI QP (Manish Chopra) [2040279] - net: qed_dev: fix check of true !rc expression (Manish Chopra) [2040279] - net: qed_ptp: fix check of true !rc expression (Manish Chopra) [2040279] - RDMA/qedr: Remove unsupported qedr_resize_cq callback (Manish Chopra) [2040279] - qed: Change the TCP common variable - "iscsi_ooo" (Manish Chopra) [2040279] - qed: Optimize the ll2 ooo flow (Manish Chopra) [2040279] - net: qed_debug: fix check of false (grc_param < 0) expression (Manish Chopra) [2040279] - qed: Fix missing error code in qed_slowpath_start() (Manish Chopra) [2040279] - qed: Fix compilation for CONFIG_QED_SRIOV undefined scenario (Manish Chopra) [2040279] - qed: Initialize debug string array (Manish Chopra) [2040279] - qed: Fix spelling mistake "ctx_bsaed" -> "ctx_based" (Manish Chopra) [2040279] - qed: fix ll2 establishment during load of RDMA driver (Manish Chopra) [2040279] - qed: Update the TCP active termination 2 MSL timer ("TIME_WAIT") (Manish Chopra) [2040279] - qed: Update TCP silly-window-syndrome timeout for iwarp, scsi (Manish Chopra) [2040279] - qed: Update debug related changes (Manish Chopra) [2040279] - qed: Add '_GTT' suffix to the IRO RAM macros (Manish Chopra) [2040279] - qed: Update FW init functions to support FW 8.59.1.0 (Manish Chopra) [2040279] - qed: Use enum as per FW 8.59.1.0 in qed_iro_hsi.h (Manish Chopra) [2040279] - qed: Update qed_hsi.h for fw 8.59.1.0 (Manish Chopra) [2040279] - qed: Update qed_mfw_hsi.h for FW ver 8.59.1.0 (Manish Chopra) [2040279] - qed: Update common_hsi for FW ver 8.59.1.0 (Manish Chopra) [2040279] - qed: Split huge qed_hsi.h header file (Manish Chopra) [2040279] - qed: Remove e4_ and _e4 from FW HSI (Manish Chopra) [2040279] - qed: Fix kernel-doc warnings (Manish Chopra) [2040279] - qed: rdma - don't wait for resources under hw error recovery flow (Manish Chopra) [2040279] - qed: Improve the stack space of filter_config() (Manish Chopra) [2040279] - qed: Handle management FW error (Manish Chopra) [2040279] - qed: Enable automatic recovery on error condition. (Manish Chopra) [2040279] - RDMA/qedr: Move variables reset to qedr_set_common_qp_params() (Manish Chopra) [2040279] - qed: Remove duplicated include of kernel.h (Manish Chopra) [2040279] - qed: Remove redundant prints from the iWARP SYN handling (Manish Chopra) [2040279] - qed: Skip DORQ attention handling during recovery (Manish Chopra) [2040279] - qed: Avoid db_recovery during recovery (Manish Chopra) [2040279] - RDMA/qedr: Improve error logs for rdma_alloc_tid error return (Manish Chopra) [2040279] - RDMA/qed: Use accurate error num in qed_cxt_dynamic_ilt_alloc (Manish Chopra) [2040279] - qede: Remove the qede module version (Manish Chopra) [2040279] - qed: Remove the qed module version (Manish Chopra) [2040279] - net: qed: remove unneeded return variables (Manish Chopra) [2040279] - sched/isolation: Split housekeeping cpumask per isolation features (Phil Auld) [2065222] - sched/isolation: Fix housekeeping_mask memory leak (Phil Auld) [2065222] - sched/isolation: Consolidate error handling (Phil Auld) [2065222] - sched/isolation: Consolidate check for housekeeping minimum service (Phil Auld) [2065222] - sched/isolation: Use single feature type while referring to housekeeping cpumask (Phil Auld) [2065222] - net: Decouple HK_FLAG_WQ and HK_FLAG_DOMAIN cpumask fetch (Phil Auld) [2065222] - workqueue: Decouple HK_FLAG_WQ and HK_FLAG_DOMAIN cpumask fetch (Phil Auld) [2065222] - pci: Decouple HK_FLAG_WQ and HK_FLAG_DOMAIN cpumask fetch (Phil Auld) [2065222] - mailbox: pcc: Avoid using the uninitialized variable 'dev' (Mark Langsdorf) [1998271] - mailbox: pcc: Handle all PCC subtypes correctly in pcc_mbox_irq (Mark Langsdorf) [1998271] - i2c: xgene-slimpro: Fix wrong pointer passed to PTR_ERR() (Mark Langsdorf) [1998271] - ACPI: Make acpi_node_get_parent() local (Mark Langsdorf) [1998271] - ACPI: Get acpi_device's parent from the parent field (Mark Langsdorf) [1998271] - ACPI: Drop ACPI_USE_BUILTIN_STDARG ifdef from acgcc.h (Mark Langsdorf) [1998271] - ACPI: PM: Fix device wakeup power reference counting error (Mark Langsdorf) [1998271] - ACPI: video: use platform backlight driver on Xiaomi Mi Pad 2 (Mark Langsdorf) [1998271] - ACPI: video: Drop dmi_system_id.ident settings from video_detect_dmi_table[] (Mark Langsdorf) [1998271] - ACPI: PMIC: Fix intel_pmic_regs_handler() read accesses (Mark Langsdorf) [1998271] - ACPI: EC: Remove initialization of static variables to false (Mark Langsdorf) [1998271] - ACPI: EC: Use ec_no_wakeup on HP ZHAN 66 Pro (Mark Langsdorf) [1998271] - ACPI: Add a convenience function to tell a device is in D0 state (Mark Langsdorf) [1998271] - ACPI: scan: Obtain device's desired enumeration power state (Mark Langsdorf) [1998271] - perf: qcom_l2_pmu: ACPI: Use ACPI_COMPANION() directly (Mark Langsdorf) [1998271] - ACPI/PCC: Add maintainer for PCC mailbox driver (Mark Langsdorf) [1998271] - mailbox: pcc: Move bulk of PCCT parsing into pcc_mbox_probe (Mark Langsdorf) [1998271] - mailbox: pcc: Add support for PCCT extended PCC subspaces(type 3/4) (Mark Langsdorf) [1998271] - mailbox: pcc: Drop handling invalid bit-width in {read,write}_register (Mark Langsdorf) [1998271] - mailbox: pcc: Avoid accessing PCCT table in pcc_send_data and pcc_mbox_irq (Mark Langsdorf) [1998271] - mailbox: pcc: Add PCC register bundle and associated accessor functions (Mark Langsdorf) [1998271] - mailbox: pcc: Rename doorbell ack to platform interrupt ack register (Mark Langsdorf) [1998271] - mailbox: pcc: Use PCC mailbox channel pointer instead of standard (Mark Langsdorf) [1998271] - mailbox: pcc: Add pcc_mbox_chan structure to hold shared memory region info (Mark Langsdorf) [1998271] - mailbox: pcc: Consolidate subspace doorbell register parsing (Mark Langsdorf) [1998271] - mailbox: pcc: Consolidate subspace interrupt information parsing (Mark Langsdorf) [1998271] - mailbox: pcc: Refactor all PCC channel information into a structure (Mark Langsdorf) [1998271] - mailbox: pcc: Fix kernel doc warnings (Mark Langsdorf) [1998271] - ACPI: APEI: mark apei_hest_parse() static (Mark Langsdorf) [1998271] - ACPI: APEI: EINJ: Relax platform response timeout to 1 second (Mark Langsdorf) [1998271] - ACPI: resources: Add one more Medion model in IRQ override quirk (Mark Langsdorf) [1998271] - ACPI: AC: Quirk GK45 to skip reading _PSR (Mark Langsdorf) [1998271] - ACPI: PM: sleep: Do not set suspend_ops unnecessarily (Mark Langsdorf) [1998271] - ACPI: PRM: Handle memory allocation and memory remap failure (Mark Langsdorf) [1998271] - ACPI: PRM: Remove unnecessary blank lines (Mark Langsdorf) [1998271] - ACPI: PM: Turn off wakeup power resources on _DSW/_PSW errors (Mark Langsdorf) [1998271] - ACPI: PM: Fix sharing of wakeup power resources (Mark Langsdorf) [1998271] - ACPI: PM: Turn off unused wakeup power resources (Mark Langsdorf) [1998271] - ACPI: PM: Check states of power resources during initialization (Mark Langsdorf) [1998271] - hwmon: (acpi_power_meter) Use acpi_bus_get_acpi_device() (Mark Langsdorf) [1998271] - ACPI: replace snprintf() in "show" functions with sysfs_emit() (Mark Langsdorf) [1998271] - ACPI: LPSS: Use ACPI_COMPANION() directly (Mark Langsdorf) [1998271] - ACPI: battery: Accept charges over the design capacity as full (Mark Langsdorf) [1998271] - ACPICA: Update version to 20210930 (Mark Langsdorf) [1998271] - ACPICA: iASL table disassembler: Added disassembly support for the NHLT ACPI table (Mark Langsdorf) [1998271] - ACPICA: ACPI 6.4 SRAT: add Generic Port Affinity type (Mark Langsdorf) [1998271] - ACPICA: Add support for Windows 2020 _OSI string (Mark Langsdorf) [1998271] - ACPICA: Avoid evaluating methods too early during system resume (Mark Langsdorf) [1998271] - ACPI: glue: Look for ACPI bus type only if ACPI companion is not known (Mark Langsdorf) [1998271] - ACPI: glue: Drop cleanup callback from struct acpi_bus_type (Mark Langsdorf) [1998271] - ACPI: processor: idle: Avoid falling back to C3 type C-states (Mark Langsdorf) [1998271] - ACPI: processor idle: Allow playing dead in C3 state (Mark Langsdorf) [1998271] - ACPI: Kconfig: Fix a typo in Kconfig (Mark Langsdorf) [1998271] - ACPI: PNP: remove duplicated BRI0A49 and BDP3336 entries (Mark Langsdorf) [1998271] - ACPI: resources: Add DMI-based legacy IRQ override quirk (Mark Langsdorf) [1998271] - ACPICA: Add support for MADT online enabled bit (Mark Langsdorf) [1998271] - ACPI: PM: Do not turn off power resources in unknown state (Mark Langsdorf) [1998269] - acpi/arm64: fix next_platform_timer() section mismatch error (Mark Langsdorf) [1998269] - ACPI: PM: Include alternate AMDI0005 id in special behaviour (Mark Langsdorf) [1998269] - ACPI: NFIT: Use fallback node id when numa info in NFIT table is incorrect (Mark Langsdorf) [1998269] - ACPICA: Update the list of maintainers (Mark Langsdorf) [1998269] - MAINTAINERS: Change Rafael's e-mail address (Mark Langsdorf) [1998269] - ACPI: PRM: Find PRMT table before parsing it (Mark Langsdorf) [1998269] - ACPI: scan: Remove unneeded header linux/nls.h (Mark Langsdorf) [1998269] - ACPI: PM: s2idle: Run both AMD and Microsoft methods if both are supported (Mark Langsdorf) [1998269] - ACPI: power: Drop name from struct acpi_power_resource (Mark Langsdorf) [1998269] - ACPI: power: Use acpi_handle_debug() to print debug messages (Mark Langsdorf) [1998269] - ACPI: button: Add DMI quirk for Lenovo Yoga 9 (14INTL5) (Mark Langsdorf) [1998269] - ACPI: SPCR: Add support for the new 16550-compatible Serial Port Subtype (Mark Langsdorf) [1998269] - ACPI: platform-profile: call sysfs_notify() from platform_profile_store() (Mark Langsdorf) [1998269] - ACPICA: Update version to 20210730 (Mark Langsdorf) [1998269] - ACPICA: Add method name "_DIS" For use with aslmethod.c (Mark Langsdorf) [1998269] - ACPICA: iASL: Fix for WPBT table with no command-line arguments (Mark Langsdorf) [1998269] - ACPICA: Headers: Add new DBG2 Serial Port Subtypes (Mark Langsdorf) [1998269] - ACPICA: Macros should not use a trailing semicolon (Mark Langsdorf) [1998269] - ACPICA: Fix an if statement (add parens) (Mark Langsdorf) [1998269] - ACPICA: iASL: Add support for the AEST table (data compiler) (Mark Langsdorf) [1998269] - clk: fractional-divider: Introduce POWER_OF_TWO_PS flag (Mark Langsdorf) [1998269] - ACPI: DPTF: Add new PCH FIVR methods (Mark Langsdorf) [1998269] - clk: x86: Rename clk-lpt to more specific clk-lpss-atom (Mark Langsdorf) [1998269] - ACPI: configfs: Make get_header() to return error pointer (Mark Langsdorf) [1998269] - ACPI: configfs: Use sysfs_emit() in "show" functions (Mark Langsdorf) [1998269] - ACPI: Add LoongArch support for ACPI_PROCESSOR/ACPI_NUMA (Mark Langsdorf) [1998269] - ACPI / PMIC: XPower: optimize MIPI PMIQ sequence I2C-bus accesses (Mark Langsdorf) [1998269] - ACPI / PMIC: XPower: optimize I2C-bus accesses (Mark Langsdorf) [1998269] Resolves: rhbz#2082589, rhbz#2061784, rhbz#1951330, rhbz#2068044, rhbz#2040279, rhbz#2065222, rhbz#1998271, rhbz#1998269 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-05-11 06:57:35 +00:00
# CONFIG_USB_XEN_HCD is not set
# CONFIG_USB_XHCI_DBGCAP is not set
CONFIG_USB_XHCI_HCD=y
# CONFIG_USB_XHCI_HISTB is not set
# CONFIG_USB_XHCI_PCI_RENESAS is not set
# CONFIG_USB_XHCI_PLATFORM is not set
CONFIG_USB_XUSBATM=m
CONFIG_USB=y
# CONFIG_USB_YUREX is not set
CONFIG_USB_ZR364XX=m
# CONFIG_USELIB is not set
CONFIG_USERFAULTFD=y
# CONFIG_USERIO is not set
CONFIG_USER_NS=y
CONFIG_UTS_NS=y
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_UV_SYSFS=m
# CONFIG_VALIDATE_FS_PARSER is not set
# CONFIG_VCNL3020 is not set
# CONFIG_VCNL4000 is not set
# CONFIG_VCNL4035 is not set
kernel-5.14.0-213.el9 * Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-213.el9] - redhat: configs: disable vDPA on all archs except x86_64 (Laurent Vivier) [2140885] - pipe: Fix missing lock in pipe_resize_ring() (Ian Kent) [2141632] {CVE-2022-2959} - NFSD: Protect against send buffer overflow in NFSv3 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv3 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_encode's buflen calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_decode's end-of-buffer calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - block: change request end_io handler to pass back a return value (Eric Chanudet) [2139205] - block: Change the return type of blk_mq_map_queues() into void (Eric Chanudet) [2139205] - block: simplify disk shutdown (Eric Chanudet) [2139205] - scsi: ufs: Split the drivers/scsi/ufs directory (Eric Chanudet) [2139205] - blk-mq: remove the done argument to blk_execute_rq_nowait (Eric Chanudet) [2139205] - i40e: Fix error handling in i40e_init_module() (Stefan Assmann) [2104466] - i40e: Add appropriate error message logged for incorrect duplex setting (Stefan Assmann) [2104466] - i40e: Add i40e_napi_poll tracepoint (Stefan Assmann) [2104466] - i40e: Record number of RXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Record number TXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Store the irq number in i40e_q_vector (Stefan Assmann) [2104466] - ptp: introduce helpers to adjust by scaled parts per million (Stefan Assmann) [2104466] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Stefan Assmann) [2104466] - i40e: Fix flow-type by setting GL_HASH_INSET registers (Stefan Assmann) [2104466] - i40e: Fix VF hang when reset is triggered on another VF (Stefan Assmann) [2104466] - i40e: Fix ethtool rx-flow-hash setting for X722 (Stefan Assmann) [2104466] - i40e: Fix DMA mappings leak (Stefan Assmann) [2104466] - i40e: add description and modify interrupts configuration procedure (Stefan Assmann) [2104466] - i40e: Add basic support for I710 devices (Stefan Assmann) [2104466] - i40e: Fix set max_tx_rate when it is lower than 1 Mbps (Stefan Assmann) [2104466] - i40e: Fix VF set max MTU size (Stefan Assmann) [2104466] - i40e: Fix ADQ rate limiting for PF (Stefan Assmann) [2104466] - net: ethernet: move from strlcpy with unused retval to strscpy (Stefan Assmann) [2104466] - i40e: Fix incorrect address type for IPv6 flow rules (Stefan Assmann) [2104466] - i40e: Fix to stop tx_timeout recovery if GLOBR fails (Stefan Assmann) [2104466] - i40e: convert .adjfreq to .adjfine (Stefan Assmann) [2104466] - i40e: use mul_u64_u64_div_u64 for PTP frequency calculation (Stefan Assmann) [2104466] - i40e: Fix interface init with MSI interrupts (no MSI-X) (Stefan Assmann) [2104466] - i40e: Refactor tc mqprio checks (Stefan Assmann) [2104466] - i40e: Fix erroneous adapter reinitialization during recovery process (Stefan Assmann) [2104466] - i40e: Fix VF's MAC Address change on VM (Stefan Assmann) [2104466] - i40e: Fix dropped jumbo frames statistics (Stefan Assmann) [2104466] - intel/i40e:fix repeated words in comments (Stefan Assmann) [2104466] - intel: remove unused macros (Stefan Assmann) [2104466] - i40e: read the XDP program once per NAPI (Stefan Assmann) [2104466] - intel/i40e: delete if NULL check before dev_kfree_skb (Stefan Assmann) [2104466] - i40e: Remove unnecessary synchronize_irq() before free_irq() (Stefan Assmann) [2104466] - i40e: Add support for ethtool -s <interface> speed <speed in Mb> (Stefan Assmann) [2104466] - i40e: Add VF VLAN pruning (Stefan Assmann) [2104466] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2104466] Resolves: rhbz#2140885, rhbz#2141632, rhbz#2141770, rhbz#2139205, rhbz#2104466 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 15:28:06 +00:00
# CONFIG_VDPA is not set
# CONFIG_VDPA_SIM_BLOCK is not set
# CONFIG_VDPA_SIM is not set
# CONFIG_VDPA_SIM_NET is not set
# CONFIG_VEML6030 is not set
# CONFIG_VEML6070 is not set
CONFIG_VETH=m
CONFIG_VFAT_FS=m
CONFIG_VFIO_IOMMU_TYPE1=m
CONFIG_VFIO=m
# CONFIG_VFIO_MDEV is not set
# CONFIG_VFIO_NOIOMMU is not set
# CONFIG_VFIO_PCI_IGD is not set
CONFIG_VFIO_PCI=m
CONFIG_VGA_ARB_MAX_GPUS=64
CONFIG_VGA_ARB=y
CONFIG_VGA_CONSOLE=y
# CONFIG_VGA_SWITCHEROO is not set
CONFIG_VHOST_CROSS_ENDIAN_LEGACY=y
CONFIG_VHOST_MENU=y
CONFIG_VHOST_NET=m
# CONFIG_VHOST_SCSI is not set
kernel-5.14.0-213.el9 * Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-213.el9] - redhat: configs: disable vDPA on all archs except x86_64 (Laurent Vivier) [2140885] - pipe: Fix missing lock in pipe_resize_ring() (Ian Kent) [2141632] {CVE-2022-2959} - NFSD: Protect against send buffer overflow in NFSv3 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv3 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_encode's buflen calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_decode's end-of-buffer calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - block: change request end_io handler to pass back a return value (Eric Chanudet) [2139205] - block: Change the return type of blk_mq_map_queues() into void (Eric Chanudet) [2139205] - block: simplify disk shutdown (Eric Chanudet) [2139205] - scsi: ufs: Split the drivers/scsi/ufs directory (Eric Chanudet) [2139205] - blk-mq: remove the done argument to blk_execute_rq_nowait (Eric Chanudet) [2139205] - i40e: Fix error handling in i40e_init_module() (Stefan Assmann) [2104466] - i40e: Add appropriate error message logged for incorrect duplex setting (Stefan Assmann) [2104466] - i40e: Add i40e_napi_poll tracepoint (Stefan Assmann) [2104466] - i40e: Record number of RXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Record number TXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Store the irq number in i40e_q_vector (Stefan Assmann) [2104466] - ptp: introduce helpers to adjust by scaled parts per million (Stefan Assmann) [2104466] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Stefan Assmann) [2104466] - i40e: Fix flow-type by setting GL_HASH_INSET registers (Stefan Assmann) [2104466] - i40e: Fix VF hang when reset is triggered on another VF (Stefan Assmann) [2104466] - i40e: Fix ethtool rx-flow-hash setting for X722 (Stefan Assmann) [2104466] - i40e: Fix DMA mappings leak (Stefan Assmann) [2104466] - i40e: add description and modify interrupts configuration procedure (Stefan Assmann) [2104466] - i40e: Add basic support for I710 devices (Stefan Assmann) [2104466] - i40e: Fix set max_tx_rate when it is lower than 1 Mbps (Stefan Assmann) [2104466] - i40e: Fix VF set max MTU size (Stefan Assmann) [2104466] - i40e: Fix ADQ rate limiting for PF (Stefan Assmann) [2104466] - net: ethernet: move from strlcpy with unused retval to strscpy (Stefan Assmann) [2104466] - i40e: Fix incorrect address type for IPv6 flow rules (Stefan Assmann) [2104466] - i40e: Fix to stop tx_timeout recovery if GLOBR fails (Stefan Assmann) [2104466] - i40e: convert .adjfreq to .adjfine (Stefan Assmann) [2104466] - i40e: use mul_u64_u64_div_u64 for PTP frequency calculation (Stefan Assmann) [2104466] - i40e: Fix interface init with MSI interrupts (no MSI-X) (Stefan Assmann) [2104466] - i40e: Refactor tc mqprio checks (Stefan Assmann) [2104466] - i40e: Fix erroneous adapter reinitialization during recovery process (Stefan Assmann) [2104466] - i40e: Fix VF's MAC Address change on VM (Stefan Assmann) [2104466] - i40e: Fix dropped jumbo frames statistics (Stefan Assmann) [2104466] - intel/i40e:fix repeated words in comments (Stefan Assmann) [2104466] - intel: remove unused macros (Stefan Assmann) [2104466] - i40e: read the XDP program once per NAPI (Stefan Assmann) [2104466] - intel/i40e: delete if NULL check before dev_kfree_skb (Stefan Assmann) [2104466] - i40e: Remove unnecessary synchronize_irq() before free_irq() (Stefan Assmann) [2104466] - i40e: Add support for ethtool -s <interface> speed <speed in Mb> (Stefan Assmann) [2104466] - i40e: Add VF VLAN pruning (Stefan Assmann) [2104466] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2104466] Resolves: rhbz#2140885, rhbz#2141632, rhbz#2141770, rhbz#2139205, rhbz#2104466 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 15:28:06 +00:00
# CONFIG_VHOST_VDPA is not set
CONFIG_VHOST_VSOCK=m
# CONFIG_VIDEO_AD5820 is not set
# CONFIG_VIDEO_ADP1653 is not set
# CONFIG_VIDEO_ADV_DEBUG is not set
# CONFIG_VIDEO_AK7375 is not set
CONFIG_VIDEO_AU0828=m
CONFIG_VIDEO_AU0828_V4L2=y
CONFIG_VIDEOBUF2_DMA_SG=m
CONFIG_VIDEOBUF2_DVB=m
# CONFIG_VIDEO_CCS is not set
# CONFIG_VIDEO_CPIA2 is not set
CONFIG_VIDEO_CX231XX_ALSA=m
CONFIG_VIDEO_CX231XX_DVB=m
CONFIG_VIDEO_CX231XX=m
# CONFIG_VIDEO_CX25821 is not set
CONFIG_VIDEO_DEV=m
# CONFIG_VIDEO_DT3155 is not set
# CONFIG_VIDEO_DW9714 is not set
# CONFIG_VIDEO_DW9768 is not set
# CONFIG_VIDEO_DW9807_VCM is not set
CONFIG_VIDEO_EM28XX_ALSA=m
CONFIG_VIDEO_EM28XX_DVB=m
kernel-5.14.0-80.el9 * Thu Apr 21 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-80.el9] - redhat: disable uncommon media device infrastructure (Jarod Wilson) [2074598] - netfilter: nf_tables: unregister flowtable hooks on netns exit (Florian Westphal) [2056869] - netfilter: nf_tables_offload: incorrect flow offload action array size (Florian Westphal) [2056869] {CVE-2022-25636} - netfilter: nf_tables: validate registers coming from userspace. (Phil Sutter) [2065350] {CVE-2022-1015} - scsi: qedi: Fix failed disconnect handling (Chris Leech) [2071524] - scsi: iscsi: Fix unbound endpoint error handling (Chris Leech) [2071524] - scsi: iscsi: Fix conn cleanup and stop race during iscsid restart (Chris Leech) [2071524] - scsi: iscsi: Fix endpoint reuse regression (Chris Leech) [2071524] - scsi: iscsi: Release endpoint ID when its freed (Chris Leech) [2071524] - scsi: iscsi: Fix offload conn cleanup when iscsid restarts (Chris Leech) [2071524] - Revert "scsi: iscsi: Fix offload conn cleanup when iscsid restarts" (Chris Leech) [2071524] - scsi: iscsi: Speed up session unblocking and removal (Chris Leech) [2071524] - scsi: iscsi: Fix recovery and unblocking race (Chris Leech) [2071524] - scsi: qedi: Fix cmd_cleanup_cmpl counter mismatch issue (Chris Leech) [2071524] - scsi: iscsi: Unblock session then wake up error handler (Chris Leech) [2071524] - scsi: iscsi: Fix set_param() handling (Chris Leech) [2071524] - scsi: iscsi: Fix iscsi_task use after free (Chris Leech) [2071524] - scsi: iscsi: Adjust iface sysfs attr detection (Chris Leech) [2071524] - scsi: qedi: Add support for fastpath doorbell recovery (Chris Leech) [2071524] - redhat: Enable KASAN on all ELN debug kernels (Nico Pache) [1995251] - sched/topology: Remove redundant variable and fix incorrect type in build_sched_domains (Phil Auld) [2065198] - sched/fair: Adjust the allowed NUMA imbalance when SD_NUMA spans multiple LLCs (Phil Auld) [2065198] - sched/fair: Improve consistency of allowed NUMA balance calculations (Phil Auld) [2065198] - redhat/configs: Enable CONFIG_RCU_SCALE_TEST & CONFIG_RCU_REF_SCALE_TEST (Waiman Long) [2070740] - thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL (David Arcari) [2039995] - thermal: int340x: Use struct_group() for memcpy() region (David Arcari) [2039995] - thermal: int340x: Limit Kconfig to 64-bit (David Arcari) [2039995] - thermal: int340x: fix build on 32-bit targets (David Arcari) [2039995 2040066] - thermal/drivers/int340x: processor_thermal: Suppot 64 bit RFIM responses (David Arcari) [2039995 2040066] - thermal/drivers/int340x: Improve the tcc offset saving for suspend/resume (David Arcari) [2039995] - thermal: int340x: delete bogus length check (David Arcari) [2039995] - thermal/drivers/int340x: Do not set a wrong tcc offset on resume (David Arcari) [2039995] - thermal/drivers/int340x: Use IMOK independently (David Arcari) [2039995] - stddef: Introduce struct_group() helper macro (David Arcari) [2039995] - gfs2: Initialize gh_error in gfs2_glock_nq (Andreas Gruenbacher) [2031240] - gfs2: Make use of list_is_first (Andreas Gruenbacher) [2031240] - gfs2: Switch lock order of inode and iopen glock (Andreas Gruenbacher) [2031240] - gfs2: cancel timed-out glock requests (Andreas Gruenbacher) [2031240] - gfs2: Expect -EBUSY after canceling dlm locking requests (Andreas Gruenbacher) [2031240] - gfs2: gfs2_setattr_size error path fix (Andreas Gruenbacher) [2031240] - gfs2: assign rgrp glock before compute_bitstructs (Bob Peterson) [2031240] Resolves: rhbz#2040066, rhbz#1995251, rhbz#2070740, rhbz#2031240, rhbz#2039995, rhbz#2065198 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-04-21 08:30:49 +00:00
# CONFIG_VIDEO_EM28XX is not set
# CONFIG_VIDEO_EM28XX_V4L2 is not set
# CONFIG_VIDEO_ET8EK8 is not set
# CONFIG_VIDEO_FIXED_MINOR_RANGES is not set
# CONFIG_VIDEO_GO7007 is not set
CONFIG_VIDEO_HDPVR=m
# CONFIG_VIDEO_HEXIUM_GEMINI is not set
# CONFIG_VIDEO_HEXIUM_ORION is not set
# CONFIG_VIDEO_HI556 is not set
# CONFIG_VIDEO_IMX214 is not set
# CONFIG_VIDEO_IMX219 is not set
# CONFIG_VIDEO_IMX258 is not set
# CONFIG_VIDEO_IMX274 is not set
# CONFIG_VIDEO_IMX290 is not set
# CONFIG_VIDEO_IMX319 is not set
# CONFIG_VIDEO_IMX334 is not set
# CONFIG_VIDEO_IMX355 is not set
# CONFIG_VIDEO_IPU3_CIO2 is not set
# CONFIG_VIDEO_LM3560 is not set
# CONFIG_VIDEO_LM3646 is not set
# CONFIG_VIDEO_M5MOLS is not set
# CONFIG_VIDEO_MEYE is not set
# CONFIG_VIDEO_MT9M001 is not set
# CONFIG_VIDEO_MT9M032 is not set
# CONFIG_VIDEO_MT9M111 is not set
# CONFIG_VIDEO_MT9P031 is not set
# CONFIG_VIDEO_MT9T001 is not set
# CONFIG_VIDEO_MT9T112 is not set
# CONFIG_VIDEO_MT9V011 is not set
# CONFIG_VIDEO_MT9V032 is not set
# CONFIG_VIDEO_MT9V111 is not set
# CONFIG_VIDEO_MXB is not set
# CONFIG_VIDEO_NOON010PC30 is not set
# CONFIG_VIDEO_OV02A10 is not set
# CONFIG_VIDEO_OV13858 is not set
# CONFIG_VIDEO_OV2640 is not set
# CONFIG_VIDEO_OV2659 is not set
# CONFIG_VIDEO_OV2680 is not set
# CONFIG_VIDEO_OV2685 is not set
# CONFIG_VIDEO_OV2740 is not set
# CONFIG_VIDEO_OV5640 is not set
# CONFIG_VIDEO_OV5645 is not set
# CONFIG_VIDEO_OV5647 is not set
# CONFIG_VIDEO_OV5648 is not set
# CONFIG_VIDEO_OV5670 is not set
# CONFIG_VIDEO_OV5675 is not set
# CONFIG_VIDEO_OV5695 is not set
# CONFIG_VIDEO_OV6650 is not set
# CONFIG_VIDEO_OV7251 is not set
# CONFIG_VIDEO_OV7640 is not set
# CONFIG_VIDEO_OV7670 is not set
# CONFIG_VIDEO_OV772X is not set
# CONFIG_VIDEO_OV7740 is not set
# CONFIG_VIDEO_OV8856 is not set
# CONFIG_VIDEO_OV8865 is not set
# CONFIG_VIDEO_OV9640 is not set
# CONFIG_VIDEO_OV9650 is not set
# CONFIG_VIDEO_OV9734 is not set
# CONFIG_VIDEO_PVRUSB2_DEBUGIFC is not set
CONFIG_VIDEO_PVRUSB2_DVB=y
CONFIG_VIDEO_PVRUSB2=m
CONFIG_VIDEO_PVRUSB2_SYSFS=y
# CONFIG_VIDEO_RDACM20 is not set
# CONFIG_VIDEO_RDACM21 is not set
# CONFIG_VIDEO_RJ54N1 is not set
# CONFIG_VIDEO_S5C73M3 is not set
# CONFIG_VIDEO_S5K4ECGX is not set
# CONFIG_VIDEO_S5K5BAF is not set
# CONFIG_VIDEO_S5K6A3 is not set
# CONFIG_VIDEO_S5K6AA is not set
CONFIG_VIDEO_SAA6588=m
CONFIG_VIDEO_SAA6752HS=m
CONFIG_VIDEO_SAA7134_ALSA=m
CONFIG_VIDEO_SAA7134_DVB=m
CONFIG_VIDEO_SAA7134=m
CONFIG_VIDEO_SAA7146=m
CONFIG_VIDEO_SAA7146_VV=m
CONFIG_VIDEO_SAA7164=m
# CONFIG_VIDEO_SOLO6X10 is not set
# CONFIG_VIDEO_SR030PC30 is not set
# CONFIG_VIDEO_STK1160_COMMON is not set
CONFIG_VIDEO_TUNER=m
# CONFIG_VIDEO_TW5864 is not set
# CONFIG_VIDEO_TW686X is not set
# CONFIG_VIDEO_TW68 is not set
# CONFIG_VIDEO_USBTV is not set
CONFIG_VIDEO_V4L2=m
# CONFIG_VIDEO_V4L2_SUBDEV_API is not set
# CONFIG_VIDEO_VS6624 is not set
# CONFIG_VIPERBOARD_ADC is not set
CONFIG_VIRT_CPU_ACCOUNTING_GEN=y
# CONFIG_VIRT_CPU_ACCOUNTING_NATIVE is not set
kernel-5.14.0-16.el9 * Fri Nov 12 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-16.el9] - CI: Add template for baseline gcov build for RHEL (Israel Santana Aleman) - redhat/configs: Enable Nitro Enclaves on Aarch64 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch blank line reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch spell check reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fixes for checkpatch match open parenthesis reports (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update copyright statement to include 2021 (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Add fix for the kernel-doc report (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Update documentation for Arm64 support (Vitaly Kuznetsov) [2001582] - nitro_enclaves: Enable Arm64 support (Vitaly Kuznetsov) [2001582] - redhat/configs: Enable Hyper-V support on ARM (Vitaly Kuznetsov) [1949613] - redhat/configs: enable CONFIG_INPUT_KEYBOARD for AARCH64 (Vitaly Kuznetsov) [1949613] - Drivers: hv: Enable Hyper-V code to be built on ARM64 (Vitaly Kuznetsov) [1949613] - arm64: efi: Export screen_info (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Initialize hypervisor on boot (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add panic handler (Vitaly Kuznetsov) [1949613] - arm64: hyperv: Add Hyper-V hypercall and register access utilities (Vitaly Kuznetsov) [1949613] - PCI: hv: Turn on the host bridge probing on ARM64 (Vitaly Kuznetsov) [1949613] - PCI: hv: Set up MSI domain at bridge probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Set ->domain_nr of pci_host_bridge at probing time (Vitaly Kuznetsov) [1949613] - PCI: hv: Generify PCI probing (Vitaly Kuznetsov) [1949613] - arm64: PCI: Support root bridge preparation for Hyper-V (Vitaly Kuznetsov) [1949613] - arm64: PCI: Restructure pcibios_root_bridge_prepare() (Vitaly Kuznetsov) [1949613] - PCI: Support populating MSI domains of root buses via bridges (Vitaly Kuznetsov) [1949613] - PCI: Introduce domain_nr in pci_host_bridge (Vitaly Kuznetsov) [1949613] - drivers: hv: Decouple Hyper-V clock/timer code from VMbus drivers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Move Hyper-V misc functionality to arch-neutral code (Vitaly Kuznetsov) [1949613] - Drivers: hv: Add arch independent default functions for some Hyper-V handlers (Vitaly Kuznetsov) [1949613] - Drivers: hv: Make portions of Hyper-V init code be arch neutral (Vitaly Kuznetsov) [1949613] - asm-generic/hyperv: Add missing #include of nmi.h (Vitaly Kuznetsov) [1949613] - PCI: hv: Support for create interrupt v3 (Vitaly Kuznetsov) [1949613] - x86_64: Enable Elkhart Lake Quadrature Encoder Peripheral support (Prarit Bhargava) [1874997] Resolves: rhbz#1874997, rhbz#1949613, rhbz#2001582 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-11-12 15:12:32 +00:00
CONFIG_VIRT_DRIVERS=y
CONFIG_VIRTIO_BALLOON=m
CONFIG_VIRTIO_BLK=m
CONFIG_VIRTIO_CONSOLE=m
CONFIG_VIRTIO_FS=m
CONFIG_VIRTIO_INPUT=m
# CONFIG_VIRTIO_IOMMU is not set
CONFIG_VIRTIO_MENU=y
# CONFIG_VIRTIO_MMIO_CMDLINE_DEVICES is not set
# CONFIG_VIRTIO_MMIO is not set
CONFIG_VIRTIO_NET=m
CONFIG_VIRTIO_PCI_LEGACY=y
CONFIG_VIRTIO_PCI=y
# CONFIG_VIRTIO_PMEM is not set
kernel-5.14.0-213.el9 * Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-213.el9] - redhat: configs: disable vDPA on all archs except x86_64 (Laurent Vivier) [2140885] - pipe: Fix missing lock in pipe_resize_ring() (Ian Kent) [2141632] {CVE-2022-2959} - NFSD: Protect against send buffer overflow in NFSv3 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv3 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_encode's buflen calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_decode's end-of-buffer calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - block: change request end_io handler to pass back a return value (Eric Chanudet) [2139205] - block: Change the return type of blk_mq_map_queues() into void (Eric Chanudet) [2139205] - block: simplify disk shutdown (Eric Chanudet) [2139205] - scsi: ufs: Split the drivers/scsi/ufs directory (Eric Chanudet) [2139205] - blk-mq: remove the done argument to blk_execute_rq_nowait (Eric Chanudet) [2139205] - i40e: Fix error handling in i40e_init_module() (Stefan Assmann) [2104466] - i40e: Add appropriate error message logged for incorrect duplex setting (Stefan Assmann) [2104466] - i40e: Add i40e_napi_poll tracepoint (Stefan Assmann) [2104466] - i40e: Record number of RXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Record number TXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Store the irq number in i40e_q_vector (Stefan Assmann) [2104466] - ptp: introduce helpers to adjust by scaled parts per million (Stefan Assmann) [2104466] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Stefan Assmann) [2104466] - i40e: Fix flow-type by setting GL_HASH_INSET registers (Stefan Assmann) [2104466] - i40e: Fix VF hang when reset is triggered on another VF (Stefan Assmann) [2104466] - i40e: Fix ethtool rx-flow-hash setting for X722 (Stefan Assmann) [2104466] - i40e: Fix DMA mappings leak (Stefan Assmann) [2104466] - i40e: add description and modify interrupts configuration procedure (Stefan Assmann) [2104466] - i40e: Add basic support for I710 devices (Stefan Assmann) [2104466] - i40e: Fix set max_tx_rate when it is lower than 1 Mbps (Stefan Assmann) [2104466] - i40e: Fix VF set max MTU size (Stefan Assmann) [2104466] - i40e: Fix ADQ rate limiting for PF (Stefan Assmann) [2104466] - net: ethernet: move from strlcpy with unused retval to strscpy (Stefan Assmann) [2104466] - i40e: Fix incorrect address type for IPv6 flow rules (Stefan Assmann) [2104466] - i40e: Fix to stop tx_timeout recovery if GLOBR fails (Stefan Assmann) [2104466] - i40e: convert .adjfreq to .adjfine (Stefan Assmann) [2104466] - i40e: use mul_u64_u64_div_u64 for PTP frequency calculation (Stefan Assmann) [2104466] - i40e: Fix interface init with MSI interrupts (no MSI-X) (Stefan Assmann) [2104466] - i40e: Refactor tc mqprio checks (Stefan Assmann) [2104466] - i40e: Fix erroneous adapter reinitialization during recovery process (Stefan Assmann) [2104466] - i40e: Fix VF's MAC Address change on VM (Stefan Assmann) [2104466] - i40e: Fix dropped jumbo frames statistics (Stefan Assmann) [2104466] - intel/i40e:fix repeated words in comments (Stefan Assmann) [2104466] - intel: remove unused macros (Stefan Assmann) [2104466] - i40e: read the XDP program once per NAPI (Stefan Assmann) [2104466] - intel/i40e: delete if NULL check before dev_kfree_skb (Stefan Assmann) [2104466] - i40e: Remove unnecessary synchronize_irq() before free_irq() (Stefan Assmann) [2104466] - i40e: Add support for ethtool -s <interface> speed <speed in Mb> (Stefan Assmann) [2104466] - i40e: Add VF VLAN pruning (Stefan Assmann) [2104466] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2104466] Resolves: rhbz#2140885, rhbz#2141632, rhbz#2141770, rhbz#2139205, rhbz#2104466 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 15:28:06 +00:00
# CONFIG_VIRTIO_VDPA is not set
CONFIG_VIRTIO_VSOCKETS=m
CONFIG_VIRTIO=y
CONFIG_VIRTUALIZATION=y
# CONFIG_VIRT_WIFI is not set
CONFIG_VITESSE_PHY=m
# CONFIG_VL53L0X_I2C is not set
# CONFIG_VL6180 is not set
CONFIG_VLAN_8021Q_GVRP=y
CONFIG_VLAN_8021Q=m
CONFIG_VLAN_8021Q_MVRP=y
CONFIG_VMAP_STACK=y
# CONFIG_VME_BUS is not set
kernel-5.14.0-112.el9 * Wed Jun 15 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-112.el9] - redhat: configs: Update configs for vmware (Cathy Avery) [2087134] - VMCI: Add support for ARM64 (Cathy Avery) [2079153] - VMCI: Release notification_bitmap in error path (Cathy Avery) [2079153] - VMCI: Check exclusive_vectors when freeing interrupt 1 (Cathy Avery) [2079153] - VMCI: Fix some error handling paths in vmci_guest_probe_device() (Cathy Avery) [2079153] - VMCI: No need to clear memory after a dma_alloc_coherent() call (Cathy Avery) [2079153] - VMCI: Fix the description of vmci_check_host_caps() (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams receive (Cathy Avery) [2079153] - VMCI: dma dg: add support for DMA datagrams sends (Cathy Avery) [2079153] - VMCI: dma dg: allocate send and receive buffers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: register dummy IRQ handlers for DMA datagrams (Cathy Avery) [2079153] - VMCI: dma dg: set OS page size (Cathy Avery) [2079153] - VMCI: dma dg: whitespace formatting change for vmci register defines (Cathy Avery) [2079153] - VMCI: dma dg: detect DMA datagram capability (Cathy Avery) [2079153] - VMCI: dma dg: add MMIO access to registers (Cathy Avery) [2079153] - misc: vmw_vmci: Switch to kvfree_rcu() API (Cathy Avery) [2079153] - VMCI: fix NULL pointer dereference when unmapping queue pair (Cathy Avery) [2079153] - [s390] s390/dasd: prevent double format of tracks for ESE devices (Mete Durlu) [2094295] - [s390] s390/dasd: fix data corruption for ESE devices (Mete Durlu) [2094295] - iio: Turn off kernel configs for iios added by this patch set (Steve Best) [2071780] - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (Steve Best) [2071780] - iio: sx9324: Fix default precharge internal resistance register (Steve Best) [2071780] - iio:proximity:sx9324: Fix hardware gain read/write (Steve Best) [2071780] - iio:proximity:sx_common: Fix device property parsing on DT systems (Steve Best) [2071780] - iio:filter:admv8818: select REGMAP_SPI for ADMV8818 (Steve Best) [2071780] - iio: scd4x: check return of scd4x_write_and_fetch (Steve Best) [2071780] - iio: dac: ad3552r: fix signedness bug in ad3552r_reset() (Steve Best) [2071780] - iio: adc: xilinx-ams: Fix single channel switching sequence (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed wrong sequencer register settings (Steve Best) [2071780] - iio: adc: xilinx-ams: Fixed missing PS channels (Steve Best) [2071780] - iio: accel: mma8452: use the correct logic to get mma8452_data (Steve Best) [2071780] - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct (Steve Best) [2071780] - iio: buffer: Fix file related error handling in IIO_BUFFER_GET_FD_IOCTL (Steve Best) [2071780] - iio: imu: st_lsm6dsx: wait for settling time in st_lsm6dsx_read_oneshot (Steve Best) [2071780] - iio: adc: cpcap-adc: remove redundant assignment to variable cal_data_diff (Steve Best) [2071780] - iio: imu: inv_mpu6050: Make use of device properties (Steve Best) [2071780] - iio: imu: inv_mpu6050: Check ACPI companion directly (Steve Best) [2071780] - iio: imu: inv_mpu6050: Drop wrong use of ACPI_PTR() (Steve Best) [2071780] - iio: accel: adxl355: Replace custom definitions with generic from units.h (Steve Best) [2071780] - iio: temperature: maxim_thermocouple: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: temperature: mlx90632: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: humidity: dht11: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: frequency: adf4350: Make use of device properties (Steve Best) [2071780] - iio: amplifiers: hmc425a: Make use of device properties (Steve Best) [2071780] - iio: chemical: bme680: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio: dac: ad5592r: Drop leftover header inclusion (Steve Best) [2071780] - iio: Fix error handling for PM (Steve Best) [2071780] - iio: afe: rescale: reorder includes (Steve Best) [2071780] - iio: afe: rescale: use s64 for temporary scale calculations (Steve Best) [2071780] - iio: inkern: make a best effort on offset calculation (Steve Best) [2071780] - iio: inkern: apply consumer scale when no channel scale is available (Steve Best) [2071780] - iio: inkern: apply consumer scale on IIO_VAL_INT cases (Steve Best) [2071780] - iio: addac: ad74413r: correct comparator gpio getters mask usage (Steve Best) [2071780] - iio: addac: ad74413r: use ngpio size when iterating over mask (Steve Best) [2071780] - iio: addac: ad74413r: Do not reference negative array offsets (Steve Best) [2071780] - iio: adc: men_z188_adc: Fix a resource leak in an error handling path (Steve Best) [2071780] - iio: humidity: hdc100x: Add ACPI HID table (Steve Best) [2071780] - iio: pressure: dps310: Add ACPI HID table (Steve Best) [2071780] - iio: sx9360: fix iio event generation (Steve Best) [2071780] - iio: adc: qcom-vadc-common: Re-use generic struct u32_fract (Steve Best) [2071780] - iio: adc: twl4030-madc: Re-use generic struct s16_fract (Steve Best) [2071780] - iio: adc: rn5t618: Re-use generic struct u16_fract (Steve Best) [2071780] - iio: frequency: admv1013: remove the always true condition (Steve Best) [2071780] - iio: accel: fxls8962af: add padding to regmap for SPI (Steve Best) [2071780] - iio:imu:adis16480: fix buffering for devices with no burst mode (Steve Best) [2071780] - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM bits (Steve Best) [2071780] - iio: adc: tsc2046: fix memory corruption by preventing array overflow (Steve Best) [2071780] - iio: adc: tsc2046: add sanity check to avoid to big allocations (Steve Best) [2071780] - iio: adc: tsc2046: add .read_raw support (Steve Best) [2071780] - iio: hw_consumer: Use struct_size() helper in kzalloc() (Steve Best) [2071780] - iio: adis: stylistic changes (Steve Best) [2071780] - iio: sps30: Use sysfs_emit() (Steve Best) [2071780] - iio: scd4x: Use sysfs_emit() (Steve Best) [2071780] - iio: ms_sensors: Use sysfs_emit() (Steve Best) [2071780] - iio: max9611: Use sysfs_emit() (Steve Best) [2071780] - iio: max31865: Use sysfs_emit() (Steve Best) [2071780] - iio: max31856: Use sysfs_emit() (Steve Best) [2071780] - iio: lm3533: Use sysfs_emit() (Steve Best) [2071780] - iio: ina2xx-adc: sysfs_emit() (Steve Best) [2071780] - iio: as3935: Use sysfs_emit() (Steve Best) [2071780] - iio: ad9523: Use sysfs_emit() (Steve Best) [2071780] - iio: ad7192: Use sysfs_emit() (Steve Best) [2071780] - iio: dmaengine-buffer: Use sysfs_emit() (Steve Best) [2071780] - iio: adc: tsc2046: rework the trigger state machine (Steve Best) [2071780] - iio: adc: mt8186: Add compatible node for mt8186 (Steve Best) [2071780] - iio: st_sensors: don't always auto-enable I2C and SPI interface drivers (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix typo (Steve Best) [2071780] - iio:accel:dmard09: Tidy up white space around {} in id table (Steve Best) [2071780] - iio:proximity:vl53l0x: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:light:tsl2722: Fix inconsistent spacing before } in id table (Steve Best) [2071780] - iio:adc:ti-adc084s021: Tidy up white space around {} (Steve Best) [2071780] - iio:adc:hi8435: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:adc:mt6577_auxadc: Tidy up white space around {} in id tables (Steve Best) [2071780] - iio:frequency:admv1013: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf08: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:srf04: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:rfd77402: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:proximity:ping: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:ltr501: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:us5182: White space cleanup of spacing around {} in id tables (Steve Best) [2071780] - iio:light:vcnl4035: Trivial whitespace cleanup to add space before } (Steve Best) [2071780] - iio:light:pa12203001: Tidy up white space change to add spaces after { and before } (Steve Best) [2071780] - iio:chemical:atlas: Trivial white space cleanup to add space before } (Steve Best) [2071780] - iio:proximity:sx9360: Add dt-binding support (Steve Best) [2071780] - iio:proximity:sx9360: Add sx9360 support (Steve Best) [2071780] - iio:proximity:sx9324: Add dt_binding support (Steve Best) [2071780] - iio:proximity:sx9324: Add SX9324 support (Steve Best) [2071780] - iio:proximity:sx9310: Extract common Semtech sensor logic (Steve Best) [2071780] - iio:proximity:sx9310: Add frequency in read_avail (Steve Best) [2071780] - all: replace find_next{,_zero}_bit with find_first{,_zero}_bit where appropriate (Steve Best) [2071780] - iio: pressure: bmp280: Use new PM macros (Steve Best) [2071780] - iio: chemical: sunrise_co2: set val parameter only on success (Steve Best) [2071780] - iio: frequency: admv1013: add support for ADMV1013 (Steve Best) [2071780] - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs (Steve Best) [2071780] - iio:addac:ad74413r: Fix uninitialized ret in a path that won't be hit. (Steve Best) [2071780] - iio: adc: Add Xilinx AMS driver (Steve Best) [2071780] - iio:accel:kxcjk-1013: Mark struct __maybe_unused to avoid warning. (Steve Best) [2071780] - iio:accel:bmc150: Mark structure __maybe_unused as only needed with for pm ops. (Steve Best) [2071780] - iio:dummy: Drop set but unused variable len. (Steve Best) [2071780] - iio:magn:ak8975: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_mpu6050: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:imu:inv_icm42600: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:dac:mcp4725: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:amplifiers:hmc425a: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ti-ads1015: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:rcar: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:adc:ina2xx-adc: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - iio:accel:bma180: Suppress clang W=1 warning about pointer to enum conversion. (Steve Best) [2071780] - drivers:iio:dac: Add AD3552R driver support (Steve Best) [2071780] - iio:filter:admv8818: add support for ADMV8818 (Steve Best) [2071780] - iio: add filter subfolder (Steve Best) [2071780] - iio: vz89x: Remove unnecessary cast (Steve Best) [2071780] - iio: in2xx-adc: Remove unnecessary cast (Steve Best) [2071780] - iio: as3935: Remove unnecessary cast (Steve Best) [2071780] - iio: stmpe-adc: Use correctly sized arguments for bit field (Steve Best) [2071780] - iio:adc:ti-ads8688:: remove redundant ret variable (Steve Best) [2071780] - iio: addac: ad74413r: fix off by one in ad74413r_parse_channel_config() (Steve Best) [2071780] - iio: adc: ad7606: Fix syntax errors in comments (Steve Best) [2071780] - iio: iio_device_alloc(): Remove unnecessary self drvdata (Steve Best) [2071780] - iio:adc/dac:Kconfig: Update to drop OF dependencies. (Steve Best) [2071780] - iio:adc:ti-ads124s08: Drop dependency on OF. (Steve Best) [2071780] - iio:adc:envelope-detector: Switch from of headers to mod_devicetable.h (Steve Best) [2071780] - iio:adc:ti-adc12138: Switch to generic firmware properties and drop of_match_ptr (Steve Best) [2071780] - iio:adc:mcp3911: Switch to generic firmware properties. (Steve Best) [2071780] - iio:adc:max9611: Switch to generic firmware properties. (Steve Best) [2071780] - iio:light:cm3605: Switch to generic firmware properties. (Steve Best) [2071780] - iio:pot:mcp41010: Switch to generic firmware properties. (Steve Best) [2071780] - iio:dac:lpc18xx_dac: Swap from of* to mod_devicetable.h (Steve Best) [2071780] - iio:dac:dpot-dac: Swap of.h for mod_devicetable.h (Steve Best) [2071780] - iio:dac:ad5758: Drop unused of specific headers. (Steve Best) [2071780] - iio:dac:ad5755: Switch to generic firmware properties and drop pdata (Steve Best) [2071780] - iio: trigger: Fix a scheduling whilst atomic issue seen on tsc2046 (Steve Best) [2071780] - iio: addac: add AD74413R driver (Steve Best) [2071780] - iio: add addac subdirectory (Steve Best) [2071780] - iio:dac:ad7293: add support for AD7293 (Steve Best) [2071780] - iio: trigger: stm32-timer: fix MODULE_ALIAS (Steve Best) [2071780] - iio: ltr501: Export near level property for proximity sensor (Steve Best) [2071780] - iio:adc:axp20x: add support for NTC thermistor (Steve Best) [2071780] - iio: imu: st_lsm6dsx: add dts property to disable sensor-hub (Steve Best) [2071780] - iio: test: Add test for IIO_VAL_INT_64. (Steve Best) [2071780] - iio: test: Add check against NULL for buffer in tests. (Steve Best) [2071780] - iio: expose shared parameter in IIO_ENUM_AVAILABLE (Steve Best) [2071780] - iio: adc: stm32: fix null pointer on defer_probe error (Steve Best) [2071780] - iio: buffer-dma: Use round_down() instead of rounddown() (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect sign extension (Steve Best) [2071780] - iio: adc: axp20x_adc: fix charging current reporting on AXP22x (Steve Best) [2071780] - iio: gyro: adxrs290: fix data signedness (Steve Best) [2071780] - iio: buffer: Use dedicated variable in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: ad7768-1: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: itg3200: Call iio_trigger_notify_done() on error (Steve Best) [2071780] - iio: imx8qxp-adc: fix dependency to the intended ARCH_MXC config (Steve Best) [2071780] - iio: dln2: Check return value of devm_iio_trigger_register() (Steve Best) [2071780] - iio: trigger: Fix reference counting (Steve Best) [2071780] - iio: dln2-adc: Fix lockdep complaint (Steve Best) [2071780] - iio: adc: stm32: fix a current leak by resetting pcsel before disabling vdda (Steve Best) [2071780] - iio: mma8452: Fix trigger reference couting (Steve Best) [2071780] - iio: stk3310: Don't return error code in interrupt handler (Steve Best) [2071780] - iio: kxsd9: Don't return error code in trigger handler (Steve Best) [2071780] - iio: ltr501: Don't return error code in trigger handler (Steve Best) [2071780] - iio: accel: kxcjk-1013: Fix possible memory leak in probe and remove (Steve Best) [2071780] - iio: light: ltr501: Added ltr303 driver support (Steve Best) [2071780] - iio: adc: rzg2l_adc: Remove unnecessary print function dev_err() (Steve Best) [2071780] - iio: mpl3115: Use scan_type.shift and realbit in mpl3115_read_raw (Steve Best) [2071780] - iio: xilinx-xadc-core: Use local variable in xadc_read_raw (Steve Best) [2071780] - iio: ti-ads1015: Remove shift variable ads1015_read_raw (Steve Best) [2071780] - iio: mag3110: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ti-adc12138: Use scan_type when processing raw data (Steve Best) [2071780] - iio: ad7266: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8ba50: Use scan_type when processing raw data (Steve Best) [2071780] - iio: stk8312: Use scan_type when processing raw data (Steve Best) [2071780] - iio: sca3000: Use scan_type when processing raw data (Steve Best) [2071780] - iio: mma7455: Use scan_type when processing raw data (Steve Best) [2071780] - iio: kxcjk-1013: Use scan_type when processing raw data (Steve Best) [2071780] - iio: bma220: Use scan_type when processing raw data (Steve Best) [2071780] - iio: at91-sama5d2: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: at91-sama5d2: Fix incorrect cast to platform_device (Steve Best) [2071780] - iio: mma8452: Use correct type for return variable in IRQ handler (Steve Best) [2071780] - iio: lmp91000: Remove no-op trigger ops (Steve Best) [2071780] - iio: gp2ap020a00f: Remove no-op trigger ops (Steve Best) [2071780] - iio: atlas-sensor: Remove no-op trigger ops (Steve Best) [2071780] - iio: as3935: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4404: Remove no-op trigger ops (Steve Best) [2071780] - iio: afe4403: Remove no-op trigger ops (Steve Best) [2071780] - iio: ad_sigma_delta: Remove no-op trigger ops (Steve Best) [2071780] - iio: sysfs-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: interrupt-trigger: Remove no-op trigger ops (Steve Best) [2071780] - iio: Mark iio_device_type as const (Steve Best) [2071780] - iio/scmi: Add reading "raw" attribute. (Steve Best) [2071780] - iio: core: Introduce IIO_VAL_INT_64. (Steve Best) [2071780] - iio: adc: ina2xx: Avoid double reference counting from get_task_struct/put_task_struct() (Steve Best) [2071780] - iio: adc: ina2xx: Make use of the helper macro kthread_run() (Steve Best) [2071780] - iio: ms5611: Simplify IO callback parameters (Steve Best) [2071780] - iio: imx7d_adc: Don't pass IIO device to imx7d_adc_{enable,disable}() (Steve Best) [2071780] - iio: st-sensors: Use dev_to_iio_dev() in sysfs callbacks (Steve Best) [2071780] - iio: adc: stm32-adc: Fix of_node_put() issue in stm32-adc (Steve Best) [2071780] - iio: xilinx-xadc: Make IRQ optional (Steve Best) [2071780] - iio: accel: mma7660: Warn about failure to put device in stand-by in .remove() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Reorder clk_get_rate() function call (Steve Best) [2071780] - iio: frequency: adrf6780: Fix adrf6780_spi_{read,write}() (Steve Best) [2071780] - iio: frequency: adrf6780: add support for ADRF6780 (Steve Best) [2071780] - iio: chemical: scd4x: Add a scale for the co2 concentration reading (Steve Best) [2071780] - iio: adc: adc128s052: Simplify adc128_probe() (Steve Best) [2071780] - iio: multiplexer: iio-mux: Support settle-time-us property (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: adc: stm32-adc: use generic binding for sample-time (Steve Best) [2071780] - iio: adc: stm32-adc: add vrefint calibration support (Steve Best) [2071780] - iio: adc: stm32-adc: add support of internal channels (Steve Best) [2071780] - iio: adc: stm32-adc: add support of generic channels binding (Steve Best) [2071780] - iio: adc: stm32-adc: split channel init into several routines (Steve Best) [2071780] - iio: accel: sca3000: Use sign_extend32() instead of opencoding sign extension. (Steve Best) [2071780] - iio: xilinx-xadc: Remove `irq` field from state struct (Steve Best) [2071780] - iio: imu: st_lsm6dsx: Avoid potential array overflow in st_lsm6dsx_set_odr() (Steve Best) [2071780] - iio: light: gp2ap002: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm3605: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ti-ads7950: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: qcom-pm8xxx-xoadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: meson_saradc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1241: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: max1118: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: imx7d_adc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ab8500-gpadc: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: buffer: Fix uninitialized variable ret (Steve Best) [2071780] - iio: adc: lpc18xx_adc: Convert probe to device managed version (Steve Best) [2071780] - iio: light: ltr501: Add of_device_id table (Steve Best) [2071780] - iio: light: ltr501: Add rudimentary regulator support (Steve Best) [2071780] - iio: imx8qxp-adc: mark PM functions as __maybe_unused (Steve Best) [2071780] - iio: pressure: ms5611: Make ms5611_remove() return void (Steve Best) [2071780] - iio: potentiometer: max5487: Don't return an error in .remove() (Steve Best) [2071780] - iio: magn: hmc5843: Make hmc5843_common_remove() return void (Steve Best) [2071780] - iio: health: afe4403: Don't return an error in .remove() (Steve Best) [2071780] - iio: dac: ad5686: Make ad5686_remove() return void (Steve Best) [2071780] - iio: dac: ad5592r: Make ad5592r_remove() return void (Steve Best) [2071780] - iio: dac: ad5446: Make ad5446_remove() return void (Steve Best) [2071780] - iio: dac: ad5380: Make ad5380_remove() return void (Steve Best) [2071780] - iio: accel: mma7455: Make mma7455_core_remove() return void (Steve Best) [2071780] - iio: accel: kxsd9: Make kxsd9_common_remove() return void (Steve Best) [2071780] - iio: accel: bmi088: Make bmi088_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bmc150: Make bmc150_accel_core_remove() return void (Steve Best) [2071780] - iio: accel: bma400: Make bma400_remove() return void (Steve Best) [2071780] - drivers:iio:dac:ad5766.c: Add trigger buffer (Steve Best) [2071780] - iio: triggered-buffer: extend support to configure output buffers (Steve Best) [2071780] - iio: kfifo-buffer: Add output buffer support (Steve Best) [2071780] - iio: Add output buffer support (Steve Best) [2071780] - drivers: iio: chemical: Add support for Sensirion SCD4x CO2 sensor (Steve Best) [2071780] - iio: light: noa1305: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: light: cm36651: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4404: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: health: afe4403: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_lsm9ds0: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: st_sensors: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ti-dac7311: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: mcp4922: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: max5821: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ds4424: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ltc1660: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: lpc18xx_dac: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: dac: ad8801: Make use of the helper function dev_err_probe() (Steve Best) [2071780] - iio: adc: ad799x: Implement selecting external reference voltage input on AD7991, AD7995 and AD7999. (Steve Best) [2071780] - iio: adc: max1027: fix error code in max1027_wait_eoc() (Steve Best) [2071780] - iio: imu: adis16400: Fix buffer alignment requirements. (Steve Best) [2071780] - iio: gyro: mpu3050: Fix alignment and size issues with buffers. (Steve Best) [2071780] - iio: adc: ti-adc108s102: Fix alignment of buffer pushed to iio buffers. (Steve Best) [2071780] - iio: core: Introduce iio_push_to_buffers_with_ts_unaligned() (Steve Best) [2071780] - iio: chemical: SENSEAIR_SUNRISE_CO2 depends on I2C (Steve Best) [2071780] - iio: adc: aspeed: Fix spelling mistake "battey" -> "battery" (Steve Best) [2071780] - iio: adc: ad7291: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: Kconfig: add COMPILE_TEST dep for berlin2-adc (Steve Best) [2071780] - iio: adc: berlin2-adc: convert probe to device-managed only (Steve Best) [2071780] - iio: adc: rn5t618-adc: use devm_iio_map_array_register() function (Steve Best) [2071780] - iio: adc: nau7802: convert probe to full device-managed (Steve Best) [2071780] - iio: adis16460: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis16475: make use of the new unmasked_drdy flag (Steve Best) [2071780] - iio: adis: handle devices that cannot unmask the drdy pin (Steve Best) [2071780] - iio: adis: do not disabe IRQs in 'adis_init()' (Steve Best) [2071780] - iio: adc: da9150-gpadc: convert probe to full-device managed (Steve Best) [2071780] - iio: adc: axp288_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: adc: intel_mrfld_adc: convert probe to full device-managed (Steve Best) [2071780] - iio: inkern: introduce devm_iio_map_array_register() short-hand function (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: initialize regulators as needed (Steve Best) [2071780] - iio: imu: st_lsm6dsx: move max_fifo_size in st_lsm6dsx_fifo_ops (Steve Best) [2071780] - iio: adc: max1027: Don't reject external triggers when there is no IRQ (Steve Best) [2071780] - iio: adc: max1027: Allow all kind of triggers to be used (Steve Best) [2071780] - iio: adc: max1027: Use the EOC IRQ when populated for single reads (Steve Best) [2071780] - iio: adc: max1027: Stop requesting a threaded IRQ (Steve Best) [2071780] - iio: adc: max1027: Introduce an end of conversion helper (Steve Best) [2071780] - iio: adc: max1027: Separate the IRQ handler from the read logic (Steve Best) [2071780] - iio: adc: max1027: Prevent single channel accesses during buffer reads (Steve Best) [2071780] - iio: adc: max1027: Create a helper to configure the channels to scan (Steve Best) [2071780] - iio: adc: max1027: Ensure a default cnvst trigger configuration (Steve Best) [2071780] - iio: adc: max1027: Simplify the _set_trigger_state() helper (Steve Best) [2071780] - iio: adc: max1027: Create a helper to enable/disable the cnvst trigger (Steve Best) [2071780] - iio: adc: max1027: Rename a helper (Steve Best) [2071780] - iio: adc: max1027: Minimize the number of converted channels (Steve Best) [2071780] - iio: adc: max1027: Drop useless debug messages (Steve Best) [2071780] - iio: adc: max1027: Drop extra warning message (Steve Best) [2071780] - iio: adc: max1027: Fix style (Steve Best) [2071780] - iio: imx8qxp-adc: Add driver support for NXP IMX8QXP ADC (Steve Best) [2071780] - iio: accel: fxls8962af: add wake on event (Steve Best) [2071780] - iio: accel: fxls8962af: add threshold event handling (Steve Best) [2071780] - iio: adc: aspeed: Get and set trimming data. (Steve Best) [2071780] - iio: adc: aspeed: Support battery sensing. (Steve Best) [2071780] - iio: adc: aspeed: Add compensation phase. (Steve Best) [2071780] - iio: adc: aspeed: Add func to set sampling rate. (Steve Best) [2071780] - iio: adc: aspeed: Fix the calculate error of clock. (Steve Best) [2071780] - iio: adc: aspeed: Support ast2600 adc. (Steve Best) [2071780] - iio: adc: aspeed: Use devm_add_action_or_reset. (Steve Best) [2071780] - iio: adc: aspeed: Use model_data to set clk scaler. (Steve Best) [2071780] - iio: adc: aspeed: Add vref config function (Steve Best) [2071780] - iio: adc: aspeed: Restructure the model data (Steve Best) [2071780] - iio: adc: aspeed: Keep model data to driver data. (Steve Best) [2071780] - iio: chemical: Add Senseair Sunrise 006-0-007 driver (Steve Best) [2071780] - iio: adc: tsc2046: fix scan interval warning (Steve Best) [2071780] - iio: core: fix double free in iio_device_unregister_sysfs() (Steve Best) [2071780] - iio: core: check return value when calling dev_set_name() (Steve Best) [2071780] - iio: buffer: Fix memory leak in iio_buffer_register_legacy_sysfs_groups() (Steve Best) [2071780] - iio: buffer: Fix double-free in iio_buffers_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: Fix memory leak in __iio_buffer_alloc_sysfs_and_mask() (Steve Best) [2071780] - iio: buffer: check return value of kstrdup_const() (Steve Best) [2071780] - iio: dac: ad5446: Fix ad5622_write() return value (Steve Best) [2071780] - iio: adc: exynos: describe drivers in KConfig (Steve Best) [2071780] - iio: adc: rockchip_saradc: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: dac: stm32-dac: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - iio: accel: mma7660: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: light: max44000: use device-managed functions in probe (Steve Best) [2071780] - iio: gyro: adis16080: use devm_iio_device_register() in probe (Steve Best) [2071780] - iio: dac: ad5064: convert probe to full device-managed (Steve Best) [2071780] - iio: dac: ad7303: convert probe to full device-managed (Steve Best) [2071780] - iio: imu: inv_mpu6050: Mark acpi match table as maybe unused (Steve Best) [2071780] - iio: ep93xx: Make use of the helper function devm_platform_ioremap_resource() (Steve Best) [2071780] - drivers: iio: dac: ad5766: Fix dt property name (Steve Best) [2071780] - iio: st_pressure_spi: Add missing entries SPI to device ID table (Steve Best) [2071780] - iio/test-format: build kunit tests without structleak plugin (Steve Best) [2071780] - iio: light: opt3001: Fixed timeout error when 0 lux (Steve Best) [2071780] - iio: common: cros_ec_sensors: simplify getting .driver_data (Steve Best) [2071780] - iio: adis16480: fix devices that do not support sleep mode (Steve Best) [2071780] - iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (Steve Best) [2071780] - iio: adis16475: fix deadlock on frequency set (Steve Best) [2071780] - iio: ssp_sensors: add more range checking in ssp_parse_dataframe() (Steve Best) [2071780] - iio: ssp_sensors: fix error code in ssp_print_mcu_debug() (Steve Best) [2071780] - iio: adc: ad7793: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7780: Fix IRQ flag (Steve Best) [2071780] - iio: adc: ad7192: Add IRQ flag (Steve Best) [2071780] - iio: adc: aspeed: set driver data when adc probe. (Steve Best) [2071780] - iio: adc: rzg2l_adc: add missing clk_disable_unprepare() in rzg2l_adc_pm_runtime_resume() (Steve Best) [2071780] - iio: adc: max1027: Fix the number of max1X31 channels (Steve Best) [2071780] - iio: adc: max1027: Fix wrong shift with 12-bit devices (Steve Best) [2071780] - iio: adc128s052: Fix the error handling path of 'adc128_probe()' (Steve Best) [2071780] - iio: adc: rzg2l_adc: Fix -EBUSY timeout error return (Steve Best) [2071780] - iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed (Steve Best) [2071780] - iio: dac: ti-dac5571: fix an error code in probe() (Steve Best) [2071780] - iio: accel: adxl355: Add triggered buffer support (Steve Best) [2071780] - iio: accel: adxl355: use if(ret) in place of ret < 0 (Steve Best) [2071780] - iio: accel: Add driver support for ADXL313 (Steve Best) [2071780] - iio: adc: aspeed: completes the bitfield declare. (Steve Best) [2071780] - iio: adc: ti-ads8344: convert probe to device-managed (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: update copyright and authors information (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for sama7g5 device (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add helper for COR register (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: add support for separate end of conversion registers (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: convert to platform specific data structures (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: remove unused definition (Steve Best) [2071780] - iio: adc: at91-sama5d2_adc: initialize hardware after clock is started (Steve Best) [2071780] - iio: magnetometer: ak8975: add AK09116 support (Steve Best) [2071780] - iio: temperature: Add MAX31865 RTD Support (Steve Best) [2071780] - iio: adc: twl6030-gpadc: Use the defined variable to clean code (Steve Best) [2071780] - iio: ltc2983: fail probe if no channels are given (Steve Best) [2071780] - iio: ltc2983: add support for optional reset gpio (Steve Best) [2071780] - iio: ad5770r: make devicetree property reading consistent (Steve Best) [2071780] - iio: gyro: remove dead config dependencies on INPUT_MPU3050 (Steve Best) [2071780] - iio: st_sensors: remove reference to parent device object on st_sensor_data (Steve Best) [2071780] - iio: st_sensors: remove all driver remove functions (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_power_disable() function (Steve Best) [2071780] - iio: st_sensors: remove st_sensors_deallocate_trigger() function (Steve Best) [2071780] - iio: magn: st_magn: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: gyro: st_gyro: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: accel: st_accel: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: pressure: st_pressure: use devm_iio_triggered_buffer_setup() for buffer (Steve Best) [2071780] - iio: st_sensors: disable regulators after device unregistration (Steve Best) [2071780] - iio: adc: ad7949: use devm managed functions (Steve Best) [2071780] - iio: adc: ad7949: add vref selection support (Steve Best) [2071780] - iio: adc: ad7949: enable use with non 14/16-bit controllers (Steve Best) [2071780] - iio: adc: ad7949: define and use bitfield names (Steve Best) [2071780] - drivers/iio: Remove all strcpy() uses (Steve Best) [2071780] - iio: accel: Add driver support for ADXL355 (Steve Best) [2071780] - iio/drivers/hid-sensor: use HZ macros (Steve Best) [2071780] - units: add the HZ macros (Steve Best) [2071780] - iio/drivers/as73211: use HZ macros (Steve Best) [2071780] - iio: adc: Add driver for Renesas RZ/G2L A/D converter (Steve Best) [2071780] - iio: pressure: hp03: update device probe to register with devm functions (Steve Best) [2071780] - iio: adc: rockchip_saradc: add voltage notifier so get referenced voltage once at probe (Steve Best) [2071780] - iio: ltc2983: fix device probe (Steve Best) [2071780] - iio: potentiometer: Add driver support for AD5110 (Steve Best) [2071780] - iio: adc: rn5t618: Add iio map (Steve Best) [2071780] - iio: hid-sensor-press: Add timestamp channel (Steve Best) [2071780] - iio: accel: bmc150: Add support for BMC156 (Steve Best) [2071780] - iio: accel: bmc150: Make it possible to configure INT2 instead of INT1 (Steve Best) [2071780] - iio: light: cm3323: Add of_device_id table (Steve Best) [2071780] - iio: chemical: Add driver support for sgp40 (Steve Best) [2071780] - iio: ep93xx: Prepare clock before using it (Steve Best) [2071780] - iio: adc: fsl-imx25-gcq: adjust irq check to match docs and simplify code (Steve Best) [2071780] - iio: dac: max5821: convert device register to device managed function (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760B support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: add JZ4760 support to the sadc driver (Steve Best) [2071780] - iio/adc: ingenic: rename has_aux2 to has_aux_md (Steve Best) [2071780] - iio: sx9310: Support ACPI property (Steve Best) [2071780] - PCI: hv: Remove unused hv_set_msi_entry_from_desc() (Mohammed Gamal) [2086678] - PCI: hv: Avoid the retarget interrupt hypercall in irq_unmask() on ARM64 (Mohammed Gamal) [2086678] - PCI: hv: Fix NUMA node assignment when kernel boots with custom NUMA topology (Mohammed Gamal) [2086678] - net: mana: Reuse XDP dropped page (Mohammed Gamal) [2086676] - net: mana: Add counter for XDP_TX (Mohammed Gamal) [2086676] - net: mana: Add counter for packet dropped by XDP (Mohammed Gamal) [2086676] - net: mana: Use struct_size() helper in mana_gd_create_dma_region() (Mohammed Gamal) [2086676] Resolves: rhbz#2087134, rhbz#2079153, rhbz#2094295, rhbz#2071780, rhbz#2086678, rhbz#2086676 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-06-15 09:22:09 +00:00
CONFIG_VMWARE_VMCI=m
CONFIG_VMWARE_VMCI_VSOCKETS=m
# CONFIG_VMXNET3 is not set
kernel-5.14.0-213.el9 * Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-213.el9] - redhat: configs: disable vDPA on all archs except x86_64 (Laurent Vivier) [2140885] - pipe: Fix missing lock in pipe_resize_ring() (Ian Kent) [2141632] {CVE-2022-2959} - NFSD: Protect against send buffer overflow in NFSv3 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READ (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv3 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - NFSD: Protect against send buffer overflow in NFSv2 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_encode's buflen calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - SUNRPC: Fix svcxdr_init_decode's end-of-buffer calculation (Scott Mayhew) [2141770] {CVE-2022-43945} - block: change request end_io handler to pass back a return value (Eric Chanudet) [2139205] - block: Change the return type of blk_mq_map_queues() into void (Eric Chanudet) [2139205] - block: simplify disk shutdown (Eric Chanudet) [2139205] - scsi: ufs: Split the drivers/scsi/ufs directory (Eric Chanudet) [2139205] - blk-mq: remove the done argument to blk_execute_rq_nowait (Eric Chanudet) [2139205] - i40e: Fix error handling in i40e_init_module() (Stefan Assmann) [2104466] - i40e: Add appropriate error message logged for incorrect duplex setting (Stefan Assmann) [2104466] - i40e: Add i40e_napi_poll tracepoint (Stefan Assmann) [2104466] - i40e: Record number of RXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Record number TXes cleaned during NAPI (Stefan Assmann) [2104466] - i40e: Store the irq number in i40e_q_vector (Stefan Assmann) [2104466] - ptp: introduce helpers to adjust by scaled parts per million (Stefan Assmann) [2104466] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Stefan Assmann) [2104466] - i40e: Fix flow-type by setting GL_HASH_INSET registers (Stefan Assmann) [2104466] - i40e: Fix VF hang when reset is triggered on another VF (Stefan Assmann) [2104466] - i40e: Fix ethtool rx-flow-hash setting for X722 (Stefan Assmann) [2104466] - i40e: Fix DMA mappings leak (Stefan Assmann) [2104466] - i40e: add description and modify interrupts configuration procedure (Stefan Assmann) [2104466] - i40e: Add basic support for I710 devices (Stefan Assmann) [2104466] - i40e: Fix set max_tx_rate when it is lower than 1 Mbps (Stefan Assmann) [2104466] - i40e: Fix VF set max MTU size (Stefan Assmann) [2104466] - i40e: Fix ADQ rate limiting for PF (Stefan Assmann) [2104466] - net: ethernet: move from strlcpy with unused retval to strscpy (Stefan Assmann) [2104466] - i40e: Fix incorrect address type for IPv6 flow rules (Stefan Assmann) [2104466] - i40e: Fix to stop tx_timeout recovery if GLOBR fails (Stefan Assmann) [2104466] - i40e: convert .adjfreq to .adjfine (Stefan Assmann) [2104466] - i40e: use mul_u64_u64_div_u64 for PTP frequency calculation (Stefan Assmann) [2104466] - i40e: Fix interface init with MSI interrupts (no MSI-X) (Stefan Assmann) [2104466] - i40e: Refactor tc mqprio checks (Stefan Assmann) [2104466] - i40e: Fix erroneous adapter reinitialization during recovery process (Stefan Assmann) [2104466] - i40e: Fix VF's MAC Address change on VM (Stefan Assmann) [2104466] - i40e: Fix dropped jumbo frames statistics (Stefan Assmann) [2104466] - intel/i40e:fix repeated words in comments (Stefan Assmann) [2104466] - intel: remove unused macros (Stefan Assmann) [2104466] - i40e: read the XDP program once per NAPI (Stefan Assmann) [2104466] - intel/i40e: delete if NULL check before dev_kfree_skb (Stefan Assmann) [2104466] - i40e: Remove unnecessary synchronize_irq() before free_irq() (Stefan Assmann) [2104466] - i40e: Add support for ethtool -s <interface> speed <speed in Mb> (Stefan Assmann) [2104466] - i40e: Add VF VLAN pruning (Stefan Assmann) [2104466] - ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2104466] Resolves: rhbz#2140885, rhbz#2141632, rhbz#2141770, rhbz#2139205, rhbz#2104466 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 15:28:06 +00:00
# CONFIG_VP_VDPA is not set
CONFIG_VSOCKETS_DIAG=m
CONFIG_VSOCKETS_LOOPBACK=m
CONFIG_VSOCKETS=m
CONFIG_VSOCKMON=m
CONFIG_VSX=y
CONFIG_VT_CONSOLE=y
CONFIG_VT_HW_CONSOLE_BINDING=y
CONFIG_VT=y
# CONFIG_VXFS_FS is not set
CONFIG_VXLAN=m
# CONFIG_VZ89X is not set
# CONFIG_W1 is not set
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_W83627HF_WDT is not set
# CONFIG_W83877F_WDT is not set
# CONFIG_W83977F_WDT is not set
# CONFIG_WAFER_WDT is not set
# CONFIG_WANXL is not set
CONFIG_WAN=y
# CONFIG_WARN_ALL_UNSEEDED_RANDOM is not set
CONFIG_WATCHDOG_CORE=y
CONFIG_WATCHDOG_HANDLE_BOOT_ENABLED=y
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_WATCHDOG_HRTIMER_PRETIMEOUT is not set
# CONFIG_WATCHDOG_NOWAYOUT is not set
CONFIG_WATCHDOG_OPEN_TIMEOUT=0
# CONFIG_WATCHDOG_PRETIMEOUT_GOV is not set
CONFIG_WATCHDOG_RTAS=m
CONFIG_WATCHDOG_SYSFS=y
CONFIG_WATCHDOG=y
kernel-5.12.0-0.rc5.180.el9 * Tue Mar 30 2021 Herton R. Krzesinski [5.12.0-0.rc5.180] - v5.12-rc5 rebase - New configs in fs/pstore (CKI@GitLab) - New configs in arch/powerpc (Fedora Kernel Team) - configs: enable BPF LSM on Fedora and ARK (Ondrej Mosnacek) - configs: clean up LSM configs (Ondrej Mosnacek) - New configs in drivers/platform (CKI@GitLab) - New configs in drivers/firmware (CKI@GitLab) - New configs in drivers/mailbox (Fedora Kernel Team) - New configs in drivers/net/phy (Justin M. Forbes) - Update CONFIG_DM_MULTIPATH_IOA (Augusto Caringi) - New configs in mm/Kconfig (CKI@GitLab) - New configs in arch/powerpc (Jeremy Cline) - New configs in arch/powerpc (Jeremy Cline) - New configs in drivers/input (Fedora Kernel Team) - New configs in net/bluetooth (Justin M. Forbes) - New configs in drivers/clk (Fedora Kernel Team) - New configs in init/Kconfig (Jeremy Cline) - redhat: allow running fedora-configs and rh-configs targets outside of redhat/ (Herton R. Krzesinski) - all: unify the disable of goldfish (android emulation platform) (Peter Robinson) - common: minor cleanup/de-dupe of dma/dmabuf debug configs (Peter Robinson) - common/ark: these drivers/arches were removed in 5.12 (Peter Robinson) - common: unset serial mouse for general config (Peter Robinson) - Correct kernel-devel make prepare build for 5.12. (Paulo E. Castro) - redhat: add initial support for centos stream dist-git sync on Makefiles (Herton R. Krzesinski) - redhat/configs: Enable CONFIG_SCHED_STACK_END_CHECK for Fedora and ARK (Josh Poimboeuf) [1856174] Resolves: rhbz#1856174 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-03-30 22:48:25 +00:00
CONFIG_WATCH_QUEUE=y
# CONFIG_WCN36XX is not set
# CONFIG_WDAT_WDT is not set
kernel-5.14.0-75.el9 * Fri Mar 25 2022 Patrick Talbert <ptalbert@redhat.com> [5.14.0-75.el9] - ipv6: fix skb drops in igmp6_event_query() and igmp6_event_report() (Hangbin Liu) [2059308] {CVE-2022-0742} - redhat/configs: aarch64: Fix PAC/BTI config settings (Mark Salter) [2063215] - RHEL9.0: arch_hw Update CONFIG_MOUSE_VSXXXAA=m (Tony Camuso) [2062909] - redhat/configs: Disable KVM on POWER (Laurent Vivier) [2052898] - drm/ast: Create threshold values for AST2600 (Jocelyn Falempe) [2062560] - mm: gup: make fault_in_safe_writeable() use fixup_user_fault() (Andreas Gruenbacher) [2062797] - drm/vmwgfx: Fix stale file descriptors on failed usercopy (David Airlie) [2047613] {CVE-2022-22942} - netfilter: nf_queue: handle socket prefetch (Florian Westphal) [2060092] - netfilter: nf_queue: fix possible use-after-free (Florian Westphal) [2060092] - selftests: netfilter: add nfqueue TCP_NEW_SYN_RECV socket race test (Florian Westphal) [2060092] - netfilter: nf_queue: don't assume sk is full socket (Florian Westphal) [2060092] - scsi: mpi3mr: Fix flushing !WQ_MEM_RECLAIM events warning (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix memory leaks (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix reporting of actual data transfer size (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix cmnd getting marked as in use forever (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix hibernation issue (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix printing of pending I/O count (Tomas Henzl) [2056626] - scsi: mpi3mr: Fix deadlock while canceling the fw event (Tomas Henzl) [2056626] - scsi: mpi3mr: Fixes around reply request queues (Tomas Henzl) [2056626] - scsi: mpi3mr: Enhanced Task Management Support Reply handling (Tomas Henzl) [2056626] - scsi: mpi3mr: Use TM response codes from MPI3 headers (Tomas Henzl) [2056626] - scsi: mpi3mr: Increase internal cmnds timeout to 60s (Tomas Henzl) [2056626] - scsi: mpi3mr: Do access status validation before adding devices (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part2 (Tomas Henzl) [2056626] - scsi: mpi3mr: Update MPI3 headers - part1 (Tomas Henzl) [2056626] - scsi: mpi3mr: Don't reset IOC if cmnds flush with reset status (Tomas Henzl) [2056626] - scsi: mpi3mr: Replace spin_lock() with spin_lock_irqsave() (Tomas Henzl) [2056626] - scsi: mpi3mr: Add debug APIs based on logging_level bits (Tomas Henzl) [2056626] - x86/kvm/fpu: Remove kvm_vcpu_arch.guest_supported_xcr0 (Leonardo Bras) [2043545] - x86/kvm/fpu: Limit guest user_xfeatures to supported bits of XCR0 (Leonardo Bras) [2043545] - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to __kvm_update_cpuid_runtime() (Leonardo Bras) [2043545] - KVM: x86: Do runtime CPUID update before updating vcpu->arch.cpuid_entries (Leonardo Bras) [2043545] - x86/fpu: Fix inline prefix warnings (Leonardo Bras) [2043545] - kvm: x86: Emulate IA32_XFD_ERR for guest (Leonardo Bras) [2043545] - x86/fpu: Prepare xfd_err in struct fpu_guest (Leonardo Bras) [2043545] - kvm: x86: Add emulation for IA32_XFD (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_update_guest_xfd() for IA32_XFD emulation (Leonardo Bras) [2043545] - kvm: x86: Enable dynamic xfeatures at KVM_SET_CPUID2 (Leonardo Bras) [2043545] - x86/fpu: Provide fpu_enable_guest_xfd_features() for KVM (Leonardo Bras) [2043545] - x86/fpu: Add guest support to xfd_enable_feature() (Leonardo Bras) [2043545] - x86/fpu: Prepare guest FPU for dynamically enabled FPU features (Leonardo Bras) [2043545] - x86/fpu: Extend fpu_xstate_prctl() with guest permissions (Leonardo Bras) [2043545] - cpuid: kvm_find_kvm_cpuid_features() should be declared 'static' (Leonardo Bras) [2043545] - KVM: x86: Make sure KVM_CPUID_FEATURES really are KVM_CPUID_FEATURES (Leonardo Bras) [2043545] - KVM: x86: Add helper to consolidate core logic of SET_CPUID{2} flows (Leonardo Bras) [2043545] - redhat/configs: Disable watchdog components (Prarit Bhargava) [2060117] - sched: Fix yet more sched_fork() races (Phil Auld) [2062836] - sched/fair: Fix fault in reweight_entity (Phil Auld) [2062836] - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN (David Arcari) [2043225] - powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA window for persistent memory" (Steve Best) [2056057] - drivers/char: permit access to the RTAS user buffer under lockdown (Desnes A. Nunes do Rosario) [2046472] Resolves: rhbz#2046472, rhbz#2056057, rhbz#2043225, rhbz#2062836 Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2022-03-25 08:47:48 +00:00
# CONFIG_WDTPCI is not set
kernel-5.14.0-272.el9 * Wed Feb 15 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-272.el9] - Split partner modules into a sub-package (Alice Mitchell) [2039020] - Enable kAFS and it's dependancies in RHEL (Alice Mitchell) [2039020] - netfilter: ipset: Fix overflow before widen in the bitmap_ip_create() function. (Florian Westphal) [2161695] - netfilter: ipset: fix hash:net,port,net hang with /0 subnet (Florian Westphal) [2161695] - ipvs: use u64_stats_t for the per-cpu counters (Florian Westphal) [2161695] - netfilter: flowtable: really fix NAT IPv6 offload (Florian Westphal) [2161695] - netfilter: conntrack: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695] - netfilter: flowtable_offload: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695] - netfilter: nft_set_pipapo: Actually validate intervals in fields after the first one (Florian Westphal) [2161695] - netfilter: flowtable_offload: add missing locking (Florian Westphal) [2161695] - netfilter: ipset: restore allowing 64 clashing elements in hash:net,iface (Florian Westphal) [2161695] - netfilter: ipset: regression in ip_set_hash_ip.c (Florian Westphal) [2161695] - netfilter: Cleanup nft_net->module_list from nf_tables_exit_net() (Florian Westphal) [2161695] - netfilter: nfnetlink: fix potential dead lock in nfnetlink_rcv_msg() (Florian Westphal) [2161695] - netfilter: nf_tables: nft_parse_register can return a negative value (Florian Westphal) [2161695] - intel_th: msu: Use memset_startat() for clearing hw header (Eric Chanudet) [2159468] - redhat/configs: leave -Werror off for now (Eric Chanudet) [2159468] - gcc-12: disable '-Warray-bounds' universally for now (Eric Chanudet) [2159468] - kbuild: Fix -Wimplicit-fallthrough=5 error for GCC 5.x and 6.x (Eric Chanudet) [2159468] - s390: disable -Warray-bounds (Eric Chanudet) [2159468] - gcc-12: disable '-Wdangling-pointer' warning for now (Eric Chanudet) [2159468] - Makefile: fix 2 typos (Eric Chanudet) [2159468] - Makefile: Enable -Wzero-length-bounds (Eric Chanudet) [2159468] - Makefile: Enable -Warray-bounds (Eric Chanudet) [2159468] - sparc: Unbreak the build (Eric Chanudet) [2159468] - Makefile: Enable -Wcast-function-type (Eric Chanudet) [2159468] - kconfig: Add support for -Wimplicit-fallthrough (Eric Chanudet) [2159468] - Makefile: use -Wno-main in the full kernel tree (Eric Chanudet) [2159468] - s390: remove WARN_DYNAMIC_STACK (Eric Chanudet) [2159468] - Enable '-Werror' by default for all kernel builds (Eric Chanudet) [2159468] - kbuild: Shuffle blank line to improve comment meaning (Eric Chanudet) [2159468] - x86/boot: Wrap literal addresses in absolute_pointer() (Eric Chanudet) [2159468] - scsi: lpfc: Use struct_group() to initialize struct lpfc_cgn_info (Eric Chanudet) [2159468] - bnx2x: Use struct_group() for memcpy() region (Eric Chanudet) [2159468] - RDMA/cxgb4: fix accept failure due to increased cpl_t5_pass_accept_rpl size (Eric Chanudet) [2159468] - iw_cxgb4: Use memset_startat() for cpl_t5_pass_accept_rpl (Eric Chanudet) [2159468] - dm integrity: Use struct_group() to zero struct journal_sector (Eric Chanudet) [2159468] - bnxt_en: Use struct_group_attr() for memcpy() region (Eric Chanudet) [2159468] - ipv6: Use memset_after() to zero rt6_info (Eric Chanudet) [2159468] - xfrm: Use memset_after() to clear padding (Eric Chanudet) [2159468] - virtio-pci: Remove wrong address verification in vp_del_vqs() (Eric Chanudet) [2159468] - ipv4: ip_output.c: Fix out-of-bounds warning in ip_copy_addrs() (Eric Chanudet) [2159468] - skbuff: Switch structure bounds to struct_group() (Eric Chanudet) [2159468] - tracing: Use memset_startat() to zero struct trace_iterator (Eric Chanudet) [2159468] - kernel/sysctl.c: fixup printk sysctl constants (Eric Chanudet) [2159468] - crypto: dh - constify struct dh's pointer members (Eric Chanudet) [2159468] - cert host tools: Stop complaining about deprecated OpenSSL functions (Eric Chanudet) [2159468] - kernel/sysctl.c: remove unused variable ten_thousand (Eric Chanudet) [2159468] Resolves: rhbz#2039020, rhbz#2161695, rhbz#2159468 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-15 19:08:53 +00:00
# CONFIG_WERROR is not set
# CONFIG_WIL6210 is not set
# CONFIG_WILC1000_SDIO is not set
# CONFIG_WILC1000_SPI is not set
# CONFIG_WINDFARM is not set
kernel-5.12.0-0.rc8.193.el9 * Thu Apr 22 2021 Herton R. Krzesinski <herton@redhat.com> [5.12.0-0.rc8.193] - v5.12-rc8-1-g7af08140979a rebase - Replace /usr/libexec/platform-python with /usr/bin/python3 (David Ward) - Turn off ADI_AXI_ADC and AD9467 which now require CONFIG_OF (Justin M. Forbes) - Export ark infrastructure files (Don Zickus) - docs: Update docs to reflect newer workflow. (Don Zickus) - Use upstream/master for merge-base with fallback to master (Don Zickus) - Fedora: Turn off the SND_INTEL_BYT_PREFER_SOF option (Hans de Goede) - filter-modules.sh.fedora: clean up "netprots" (Paul Bolle) - filter-modules.sh.fedora: clean up "scsidrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "ethdrvs" (Paul Bolle) - filter-*.sh.fedora: clean up "driverdirs" (Paul Bolle) - filter-*.sh.fedora: remove incorrect entries (Paul Bolle) - filter-*.sh.fedora: clean up "singlemods" (Paul Bolle) - filter-modules.sh.fedora: drop unused list "iiodrvs" (Paul Bolle) - Update mod-internal to fix depmod issue (Nico Pache) - Turn on CONFIG_VDPA_SIM_NET (rhbz 1942343) (Justin M. Forbes) - New configs in drivers/power (Fedora Kernel Team) - Turn on CONFIG_NOUVEAU_DEBUG_PUSH for debug configs (Justin M. Forbes) - Turn off KFENCE sampling by default for Fedora (Justin M. Forbes) - Fedora config updates round 2 (Justin M. Forbes) - New configs in drivers/soc (Jeremy Cline) - filter-modules.sh: Fix copy/paste error 'input' (Paul Bolle) - Update module filtering for 5.12 kernels (Justin M. Forbes) - Fix genlog.py to ensure that comments retain "%%" characters. (Mark Mielke) - New configs in drivers/leds (Fedora Kernel Team) - Limit CONFIG_USB_CDNS_SUPPORT to x86_64 and arm in Fedora (David Ward) - Fedora: Enable CHARGER_GPIO on aarch64 too (Peter Robinson) - Fedora config updates (Justin M. Forbes) - wireguard: mark as Tech Preview (Hangbin Liu) [1613522] - configs: enable CONFIG_WIREGUARD in ARK (Hangbin Liu) [1613522] - Remove duplicate configs acroos fedora, ark and common (Don Zickus) - Combine duplicate configs across ark and fedora into common (Don Zickus) - common/ark: cleanup and unify the parport configs (Peter Robinson) - iommu/vt-d: enable INTEL_IDXD_SVM for both fedora and rhel (Jerry Snitselaar) - REDHAT: coresight: etm4x: Disable coresight on HPE Apollo 70 (Jeremy Linton) - configs/common/generic: disable CONFIG_SLAB_MERGE_DEFAULT (Rafael Aquini) - Remove _legacy_common_support (Justin M. Forbes) - redhat/mod-blacklist.sh: Fix floppy blacklisting (Hans de Goede) Resolves: rhbz#1613522 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-04-22 22:01:48 +00:00
# CONFIG_WIREGUARD_DEBUG is not set
CONFIG_WIREGUARD=m
kernel-5.14.0-0.rc7.54.el9 * Tue Aug 24 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc7.54] - v5.14-rc7-1-gd5ae8d7f85b7 rebase - arm64: dts: rockchip: Disable CDN DP on Pinebook Pro (Matthias Brugger) - arm64: dts: rockchip: Setup USB typec port as datarole on (Dan Johansen) - Revert "IB/rxe: Mark Soft-RoCE Transport driver as tech-preview" (Herton R. Krzesinski) - redhat/configs: Enable genet and brcmfmac wlan (Jeremy Linton) [1992902] - [scsi] megaraid_sas: re-add certain pci-ids (Tomas Henzl) - xfs: drop experimental warnings for bigtime and inobtcount (Bill O'Donnell) [1995321] - Disable liquidio driver on ark/rhel (Herton R. Krzesinski) [1993393] - More Fedora config updates (Justin M. Forbes) - Fedora config updates for 5.14 (Justin M. Forbes) - CI: Rename ARK CI pipeline type (Veronika Kabatova) - CI: Finish up c9s config (Veronika Kabatova) - CI: Update ppc64le config (Veronika Kabatova) - CI: use more templates (Veronika Kabatova) - Filter updates for aarch64 (Justin M. Forbes) - increase CONFIG_NODES_SHIFT for aarch64 (Chris von Recklinghausen) [1890304] - Revert "redhat: ark: disable CONFIG_NET_SCH_MULTIQ" (Marcelo Ricardo Leitner) [1991624] - redhat: configs: Enable CONFIG_WIRELESS_HOTKEY (Hans de Goede) - redhat/configs: Update CONFIG_NVRAM (Desnes A. Nunes do Rosario) [1988254] - common: serial: build in SERIAL_8250_LPSS for x86 (Peter Robinson) - powerpc: enable CONFIG_FUNCTION_PROFILER (Diego Domingos) [1831065] - crypto: rng - Override drivers/char/random in FIPS mode (Herbert Xu) [1984784] - random: Add hook to override device reads and getrandom(2) (Herbert Xu) [1984784] - redhat/configs: Disable Soft-RoCE driver (Kamal Heib) - filter-modules.sh: add more sound modules to filter (Jaroslav Kysela) - redhat/configs: sound configuration cleanups and updates (Jaroslav Kysela) Resolves: rhbz#1831065, rhbz#1988254, rhbz#1890304, rhbz#1993393, rhbz#1995321 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-08-24 14:33:10 +00:00
CONFIG_WIRELESS_HOTKEY=m
# CONFIG_WIRELESS is not set
# CONFIG_WLAN is not set
# CONFIG_WLAN_VENDOR_ADMTEK is not set
CONFIG_WLAN_VENDOR_ATH=y
# CONFIG_WLAN_VENDOR_ATMEL is not set
CONFIG_WLAN_VENDOR_BROADCOM=y
# CONFIG_WLAN_VENDOR_CISCO is not set
CONFIG_WLAN_VENDOR_INTEL=y
# CONFIG_WLAN_VENDOR_INTERSIL is not set
CONFIG_WLAN_VENDOR_MARVELL=y
CONFIG_WLAN_VENDOR_MEDIATEK=y
CONFIG_WLAN_VENDOR_MICROCHIP=y
CONFIG_WLAN_VENDOR_QUANTENNA=y
CONFIG_WLAN_VENDOR_RALINK=y
CONFIG_WLAN_VENDOR_REALTEK=y
# CONFIG_WLAN_VENDOR_RSI is not set
# CONFIG_WLAN_VENDOR_ST is not set
# CONFIG_WLAN_VENDOR_TI is not set
# CONFIG_WLAN_VENDOR_ZYDAS is not set
CONFIG_WMI_BMOF=m
# CONFIG_WQ_POWER_EFFICIENT_DEFAULT is not set
# CONFIG_WQ_WATCHDOG is not set
kernel-5.14.0-343.el9 * Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9] - net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082] - gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212} - net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542] - Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542] - net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542] - wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542] - wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542] - wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542] - dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542] - net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542] - devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542] - platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542] - wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542] - wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542] - net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542] - net: tipc: resize nlattr array to correct size (Xin Long) [2221401] - tipc: fix kernel warning when sending SYN message (Xin Long) [2221401] - thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495] - thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495] - media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495] - usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495] - usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495] - media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495] - Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495] - xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495] - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495] - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495] - USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495] - usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495] - usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495] - usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495] - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495] - USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495] - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495] - thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495] - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495] - thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495] - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495] - thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495] - usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495] - usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495] - usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495] - usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495] - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495] - thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495] - usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495] - usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495] - xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495] - media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495] - media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495] - dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495] - usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495] - USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495] - usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495] - USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495] - usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495] - xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495] - xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495] - xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495] - xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495] - xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495] - xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495] - xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495] - xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495] - xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495] - xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495] - xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495] - dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495] - xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495] - usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495] - usb: remove ohci-tmio driver (Desnes Nunes) [2212495] - USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495] - usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495] - usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495] - dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495] - dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495] - Documentation: usb: correct spelling (Desnes Nunes) [2212495] - usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495] - usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495] - usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495] - usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495] - usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495] - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495] - thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495] - dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495] - dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495] - dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495] - usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495] - usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495] - dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495] - usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495] - usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495] - usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495] - usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495] - usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495] - usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495] - usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495] - dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495] - USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495] - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495] - usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495] - dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495] - dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495] - USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495] - usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495] - thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495] - thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495] - thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495] - thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495] - thunderbolt: Log DP adapter type (Desnes Nunes) [2212495] - thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495] - media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495] - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495] - media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495] - media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495] - media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495] - media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495] - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495] - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495] - media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495] - media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495] - media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495] - media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495] - media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495] - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495] - media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495] - media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495] - media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495] - media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495] - media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495] - media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495] - media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495] - media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495] - media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495] - media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495] - media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495] - net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495] - net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495] - net: thunderbolt: Move into own directory (Desnes Nunes) [2212495] - xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495] - usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495] - usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495] - usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495] - thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495] - thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495] - netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944] - netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944] - netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884] - netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884] - xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635] - tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775] - tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775] - tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775] - tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775] - tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775] - tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775] - tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775] - mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612] - mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612] - mptcp: fix active subflow finalization (Davide Caratti) [2219612] - mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612] - mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612] - mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612] - mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612] - tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612] - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606] - net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411] - net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411] - sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411] - sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411] - net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411] - net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411] - net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411] - net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411] - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367] - mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367] - net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367] - net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367] - net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367] - net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367] - net/mlx4: rename two constants (Mohammad Kabat) [2165367] - RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367] - ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367] - net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367] - net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367] - mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367] - devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326] - devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326] - af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326] - vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326] - net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898] - ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130] - ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130] - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130] - net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130] - igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362] - igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362] - igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362] - igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362] - igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362] - igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362] - igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362] - igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362] - igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362] - igb: Add lock to avoid data race (Corinna Vinschen) [2153362] - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610] - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610] - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610] - pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610] - HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610] - HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610] - selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610] - selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610] - HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610] - HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610] - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610] - HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610] - HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610] - selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610] - Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610] - Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610] - HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610] - staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610] - Documentation: hid: correct spelling (Benjamin Tissoires) [2166610] - HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610] - HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610] - selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610] - HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610] - selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610] - selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610] - selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610] - selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610] - selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610] - kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610] - selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610] - samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610] - HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610] - HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610] - HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610] - Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610] - samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610] - samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610] - selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610] - selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610] - HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610] - selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610] - HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610] - selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610] - HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610] - HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610] - selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610] - HID: initial BPF implementation (Benjamin Tissoires) [2166610] - HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610] - hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610] - HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610] - HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610] - HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610] - HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610] - HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610] - HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610] - HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610] - hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610] - HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610] - HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610] - HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610] - HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610] - HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610] - HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610] - HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610] - HID: use standard debug APIs (Benjamin Tissoires) [2166610] - HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610] - HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610] - HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610] - HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610] - HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610] - HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610] - HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610] - HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610] - HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610] - HID: betop: check shape of output reports (Benjamin Tissoires) [2166610] - HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610] - HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610] - HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610] - HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610] - HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610] - HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610] - HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610] - HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610] - HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610] - HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610] - HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610] - HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610] - HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610] - HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610] - HID: input: map battery system charging (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610] - HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610] - Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610] - HID: add mapping for camera access keys (Benjamin Tissoires) [2166610] - HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610] - HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610] - HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610] - HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610] - HID: force HID depending on INPUT (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610] - HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610] - HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610] - HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610] - HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610] - HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610] - HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610] - HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610] - HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610] - HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610] - HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610] - HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610] - HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610] - HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610] - HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610] - HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610] - HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610] - HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610] - HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610] - HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610] - HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610] - HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610] - HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610] - HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610] - HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610] - HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610] - HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610] - HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610] - HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610] - HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610] - HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610] - HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610] - HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610] - HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610] - HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610] - HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610] - Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610] - HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610] - HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610] - HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610] - HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610] - HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610] - HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610] - MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610] - HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610] - hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610] - HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610] - HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610] - HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610] - HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: wacom: Simplify comments (Benjamin Tissoires) [2166610] - HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610] - HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610] - HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610] - HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610] - HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610] - HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610] - HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610] - Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610] - Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610] - Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610] - Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610] - Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610] - Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610] - Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610] - Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610] - Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610] - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298] - ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298] - padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004] - padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004] - padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004] - cgroup: fix display of forceidle time at root (Waiman Long) [2190004] - kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004] - docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004] - cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004] - selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004] - device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004] - cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004] - redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004] - cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004] - mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004] - mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004] - mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004] - mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004] - mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004] - mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004] - cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004] - cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004] - cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004] - cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004] - cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004] - cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004] - cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004] - selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004] - cgroup: remove the superfluous judgment (Waiman Long) [2190004] - cgroup: Make cgroup_debug static (Waiman Long) [2190004] - kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004] - cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004] - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004] - kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004] - kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004] - cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004] - Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004] - cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004] - cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004] - cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004] - cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004] - cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004] - cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004] - docs/cgroup: add entry for misc.events (Waiman Long) [2190004] - misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004] - misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004] - cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689] - cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689] - cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689] - cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689] - ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689] - Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689] - Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689] - Documentation: add amd-pstate kernel command line options (David Arcari) [2218689] Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401 Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 15:50:09 +00:00
CONFIG_WWAN_HWSIM=m
kernel-5.13.0-0.rc7.51.el9 * Mon Jun 21 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc7.51] - v5.13-rc7 rebase - Turn off DRM_XEN_FRONTEND for Fedora as we had DRM_XEN off already (Justin M. Forbes) - Fedora 5.13 config updates pt 3 (Justin M. Forbes) - all: enable ath11k wireless modules (Peter Robinson) - all: Enable WWAN and associated MHI bus pieces (Peter Robinson) - spec: Enable sefltests rpm build (Jiri Olsa) - spec: Allow bpf selftest/samples to fail (Jiri Olsa) - bpf, selftests: Disable tests that need clang13 (Toke Høiland-Jørgensen) - kvm: Add kvm_stat.service file and kvm_stat logrotate config to the tools (Jiri Benc) - kernel.spec: Add missing source files to kernel-selftests-internal (Jiri Benc) - kernel.spec: selftests: add net/forwarding to TARGETS list (Jiri Benc) - kernel.spec: selftests: add build requirement on libmnl-devel (Jiri Benc) - kernel.spec: add action.o to kernel-selftests-internal (Jiri Benc) - kernel.spec: avoid building bpftool repeatedly (Jiri Benc) - kernel.spec: selftests require python3 (Jiri Benc) - kernel.spec: skip selftests that failed to build (Jiri Benc) - kernel.spec: fix installation of bpf selftests (Jiri Benc) - redhat: fix samples and selftests make options (Jiri Benc) - kernel.spec: enable mptcp selftests for kernel-selftests-internal (Jiri Benc) - kernel.spec: Do not export shared objects from libexecdir to RPM Provides (Jiri Benc) - kernel.spec: add missing dependency for the which package (Jiri Benc) - kernel.spec: add netfilter selftests to kernel-selftests-internal (Jiri Benc) - kernel.spec: move slabinfo and page_owner_sort debuginfo to tools-debuginfo (Jiri Benc) - kernel.spec: package and ship VM tools (Jiri Benc) - configs: enable CONFIG_PAGE_OWNER (Jiri Benc) - kernel.spec: add coreutils (Jiri Benc) - kernel.spec: add netdevsim driver selftests to kernel-selftests-internal (Jiri Benc) - redhat/Makefile: Clean out the --without flags from the baseonly rule (Jiri Benc) - kernel.spec: Stop building unnecessary rpms for baseonly builds (Jiri Benc) - kernel.spec: disable more kabi switches for gcov build (Jiri Benc) - kernel.spec: Rename kabi-dw base (Jiri Benc) - kernel.spec: Fix error messages during build of zfcpdump kernel (Jiri Benc) - kernel.spec: perf: remove bpf examples (Jiri Benc) - kernel.spec: selftests should not depend on modules-internal (Jiri Benc) - kernel.spec: build samples (Jiri Benc) - kernel.spec: tools: sync missing options with RHEL 8 (Jiri Benc) - redhat/configs: nftables: Enable extra flowtable symbols (Phil Sutter) - redhat/configs: Sync netfilter options with RHEL8 (Phil Sutter) - Fedora 5.13 config updates pt 2 (Justin M. Forbes) - Move CONFIG_ARCH_INTEL_SOCFPGA up a level for Fedora (Justin M. Forbes) - fedora: enable the Rockchip rk3399 pcie drivers (Peter Robinson) - PCI: rockchip: Register IRQs just before pci_host_probe() (Javier Martinez Canillas) - arm64: dts: rockchip: Update PCI host bridge window to 32-bit address memory (Punit Agrawal) - PCI: of: Refactor the check for non-prefetchable 32-bit window (Punit Agrawal) - PCI: of: Relax the condition for warning about non-prefetchable memory aperture size (Punit Agrawal) - PCI: of: Clear 64-bit flag for non-prefetchable memory below 4GB (Punit Agrawal) - Fedora 5.13 config updates pt 1 (Justin M. Forbes) - Fix version requirement from opencsd-devel buildreq (Justin M. Forbes) - configs/ark/s390: set CONFIG_MARCH_Z14 and CONFIG_TUNE_Z15 (Philipp Rudo) [1876435] - configs/common/s390: Clean up CONFIG_{MARCH,TUNE}_Z* (Philipp Rudo) - configs/process_configs.sh: make use of dummy-tools (Philipp Rudo) - configs/common: disable CONFIG_INIT_STACK_ALL_{PATTERN,ZERO} (Philipp Rudo) - configs/common/aarch64: disable CONFIG_RELR (Philipp Rudo) - redhat/config: enable STMICRO nic for RHEL (Mark Salter) - redhat/configs: Enable ARCH_TEGRA on RHEL (Mark Salter) - redhat/configs: enable IMA_KEXEC for supported arches (Bruno Meneguele) - redhat/configs: enable INTEGRITY_SIGNATURE to all arches (Bruno Meneguele) - configs: enable CONFIG_LEDS_BRIGHTNESS_HW_CHANGED (Benjamin Tissoires) - [redhat] perf: enable CoreSight support (Michael Petlan) [1877192] - RHEL: disable io_uring support (Jeff Moyer) [1964537] - all: Changing CONFIG_UV_SYSFS to build uv_sysfs.ko as a loadable module. (Frank Ramsay) - Enable NITRO_ENCLAVES on RHEL (Vitaly Kuznetsov) - Update the Quick Start documentation (David Ward) - redhat/configs: Set PVPANIC_MMIO for x86 and PVPANIC_PCI for aarch64 (Eric Auger) [1961178] Resolves: rhbz#1961178, rhbz#1964537, rhbz#1877192 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-06-21 23:14:53 +00:00
CONFIG_WWAN=y
# CONFIG_WW_MUTEX_SELFTEST is not set
# CONFIG_X25 is not set
CONFIG_X86_AMD_PLATFORM_DEVICE=y
CONFIG_X86_IOPL_IOPERM=y
CONFIG_X86_PMEM_LEGACY_DEVICE=y
CONFIG_X86_PMEM_LEGACY=m
kernel-5.13.0-0.rc2.19.el9 * Mon May 17 2021 Fedora Kernel Team <kernel-team@fedoraproject.org> [5.13.0-0.rc2.19] - rpmspec: revert/drop content hash for kernel-headers (Herton R. Krzesinski) - rpmspec: fix check that calls InitBuildVars (Herton R. Krzesinski) - fedora: enable zonefs (Damien Le Moal) - redhat: load specific ARCH keys to INTEGRITY_PLATFORM_KEYRING (Bruno Meneguele) - redhat: enable INTEGRITY_TRUSTED_KEYRING across all variants (Bruno Meneguele) - redhat: enable SYSTEM_BLACKLIST_KEYRING across all variants (Bruno Meneguele) - redhat: enable INTEGRITY_ASYMMETRIC_KEYS across all variants (Bruno Meneguele) - Remove unused boot loader specification files (David Ward) - redhat/configs: Enable mlx5 IPsec and TLS offloads (Alaa Hleihel) [1869674 1957636] - Force DWARF4 because crash does not support DWARF5 yet (Justin M. Forbes) - common: disable Apple Silicon generally (Peter Robinson) - cleanup Intel's FPGA configs (Peter Robinson) - common: move PTP KVM support from ark to common (Peter Robinson) - [redhat] perf: enable dynamic linking of libbpf [1957210] - Enable CONFIG_DRM_AMDGPU_USERPTR for everyone (Justin M. Forbes) - redhat: add initial rpminspect configuration (Herton R. Krzesinski) - fedora: arm updates for 5.13 (Peter Robinson) - fedora: Enable WWAN and associated MHI bits (Peter Robinson) - Update CONFIG_MODPROBE_PATH to /usr/sbin (Justin Forbes) - Fedora set modprobe path (Justin M. Forbes) - Keep sctp and l2tp modules in modules-extra (Don Zickus) - Fix ppc64le cross build packaging (Don Zickus) - Fedora: Make amd_pinctrl module builtin (Hans de Goede) - Keep CONFIG_KASAN_HW_TAGS off for aarch64 debug configs (Justin M. Forbes) - New configs in drivers/bus (Fedora Kernel Team) - RHEL: Don't build KVM PR module on ppc64 (David Gibson) [1930649] - [redhat] spec: Add bzip2 dependency to perf package [1957219] - Flip CONFIG_USB_ROLE_SWITCH from m to y (Justin M. Forbes) - Set valid options for CONFIG_FW_LOADER_USER_HELPER (Justin M. Forbes) - Clean up CONFIG_FB_MODE_HELPERS (Justin M. Forbes) - Turn off CONFIG_VFIO for the s390x zfcpdump kernel (Justin M. Forbes) - Delete unused CONFIG_SND_SOC_MAX98390 pending-common (Justin M. Forbes) - Update pending-common configs, preparing to set correctly (Justin M. Forbes) - Update fedora filters for surface (Justin M. Forbes) - Build CONFIG_CRYPTO_ECDSA inline for s390x zfcpdump (Justin M. Forbes) - Replace "flavour" where "variant" is meant instead (David Ward) - Drop the %%{variant} macro and fix --with-vanilla (David Ward) - Fix syntax of %%kernel_variant_files (David Ward) - Change description of --without-vdso-install to fix typo (David Ward) - Config updates to work around mismatches (Justin M. Forbes) - CONFIG_SND_SOC_FSL_ASOC_CARD selects CONFIG_MFD_WM8994 now (Justin M. Forbes) - wireguard: disable in FIPS mode (Hangbin Liu) [1940794] - Enable mtdram for fedora (rhbz 1955916) (Justin M. Forbes) - Remove reference to bpf-helpers man page (Justin M. Forbes) - Fedora: enable more modules for surface devices (Dave Olsthoorn) - Fix Fedora config mismatch for CONFIG_FSL_ENETC_IERB (Justin M. Forbes) - hardlink is in /usr/bin/ now (Justin M. Forbes) - Ensure CONFIG_KVM_BOOK3S_64_PR stays on in Fedora, even if it is turned off in RHEL (Justin M. Forbes) - Set date in package release from repository commit, not system clock (David Ward) - Use a better upstream tarball filename for snapshots (David Ward) - Don't create empty pending-common files on pending-fedora commits (Don Zickus) - nvme: decouple basic ANA log page re-read support from native multipathing (Mike Snitzer) [1948690] - nvme: allow local retry and proper failover for REQ_FAILFAST_TRANSPORT (Mike Snitzer) [1948690] - nvme: Return BLK_STS_TARGET if the DNR bit is set (Mike Snitzer) [1948690] - Add redhat/configs/pending-common/generic/s390x/zfcpdump/CONFIG_NETFS_SUPPORT (Justin M. Forbes) Resolves: rhbz#1957219, rhbz#1930649, rhbz#1957210 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-05-17 22:16:50 +00:00
CONFIG_X86_SGX_KVM=y
CONFIG_XDP_SOCKETS_DIAG=m
CONFIG_XDP_SOCKETS=y
# CONFIG_XEN_BACKEND is not set
# CONFIG_XEN_GRANT_DMA_ALLOC is not set
# CONFIG_XEN_PVCALLS_FRONTEND is not set
# CONFIG_XEN_PVH is not set
CONFIG_XEN_PVHVM_GUEST=y
CONFIG_XEN_PVHVM=y
# CONFIG_XEN_SCSI_FRONTEND is not set
# CONFIG_XEN_UNPOPULATED_ALLOC is not set
CONFIG_XFRM_INTERFACE=m
CONFIG_XFRM_MIGRATE=y
CONFIG_XFRM_OFFLOAD=y
CONFIG_XFRM_STATISTICS=y
CONFIG_XFRM_SUB_POLICY=y
# CONFIG_XFRM_USER_COMPAT is not set
CONFIG_XFRM_USER=y
CONFIG_XFRM=y
# CONFIG_XFS_DEBUG is not set
CONFIG_XFS_FS=m
# CONFIG_XFS_ONLINE_REPAIR is not set
CONFIG_XFS_ONLINE_SCRUB=y
CONFIG_XFS_POSIX_ACL=y
CONFIG_XFS_QUOTA=y
# CONFIG_XFS_RT is not set
CONFIG_XFS_SUPPORT_V4=y
CONFIG_XFS_WARN=y
# CONFIG_XGENE_DMA is not set
# CONFIG_XILINX_DMA is not set
CONFIG_XILINX_GMII2RGMII=m
# CONFIG_XILINX_SDFEC is not set
# CONFIG_XILINX_VCU is not set
# CONFIG_XILINX_WATCHDOG is not set
# CONFIG_XILINX_XADC is not set
# CONFIG_XILINX_ZYNQMP_DMA is not set
# CONFIG_XILINX_ZYNQMP_DPDMA is not set
# CONFIG_XILLYBUS is not set
kernel-5.14.0-0.rc2.23.el9 * Mon Jul 19 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc2.23] - v5.14-rc2 rebase - Fedor config update for new option (Justin M. Forbes) - Revert "scsi: smartpqi: add inspur advantech ids" (Herton R. Krzesinski) - redhat/configs: Enable stmmac NIC for x86_64 (Mark Salter) - all: hyperv: use the DRM driver rather than FB (Peter Robinson) - all: hyperv: unify the Microsoft HyperV configs (Peter Robinson) - all: VMWare: clean up VMWare configs (Peter Robinson) - Update CONFIG_ARM_FFA_TRANSPORT (Patrick Talbert) - CI: Handle all mirrors (Veronika Kabatova) - Turn on CONFIG_STACKTRACE for s390x zfpcdump kernels (Justin M. Forbes) - arm64: switch ark kernel to 4K pagesize (Mark Salter) - Disable AMIGA_PARTITION and KARMA_PARTITION (Prarit Bhargava) [1802694] - all: unify and cleanup i2c TPM2 modules (Peter Robinson) - redhat/configs: Set CONFIG_VIRTIO_IOMMU on aarch64 (Eric Auger) [1972795] - redhat/configs: Disable CONFIG_RT_GROUP_SCHED in rhel config (Phil Auld) - redhat/configs: enable KEXEC_SIG which is already enabled in RHEL8 for s390x and x86_64 (Coiby Xu) [1976835] - rpmspec: do not BuildRequires bpftool on noarch (Herton R. Krzesinski) - redhat/configs: disable {IMA,EVM}_LOAD_X509 (Bruno Meneguele) [1977529] - [redhat] kabi: rename legacy terminology (Čestmír Kalina) [1953486] - redhat: add secureboot CA certificate to trusted kernel keyring (Bruno Meneguele) - redhat/configs: enable IMA_ARCH_POLICY for aarch64 and s390x (Bruno Meneguele) - redhat/configs: Enable CONFIG_MLXBF_GIGE on aarch64 (Alaa Hleihel) [1858599] - Revert "kdump: add support for crashkernel=auto" (Kairui Song) - Revert "kdump: round up the total memory size to 128M for crashkernel reservation" (Kairui Song) - Revert "kdump: fix a grammar issue in a kernel message" (Kairui Song) - Revert "Merge branch 'rename_mod_blacklist_sh_part_2' into 'os-build'" (Justin M. Forbes) - common: enable STRICT_MODULE_RWX everywhere (Peter Robinson) - COMMON_CLK_STM32MP157_SCMI is bool and selects COMMON_CLK_SCMI (Justin M. Forbes) - kernel.spec: Add kernel{,-debug}-devel-matched meta packages (Timothée Ravier) - mod-denylist.sh: Change to denylist (Prarit Bhargava) - Turn off with_selftests for Fedora (Justin M. Forbes) - Don't build bpftool on Fedora (Justin M. Forbes) - Fix location of syscall scripts for kernel-devel (Justin M. Forbes) - fedora: arm: Enable some i.MX8 options (Peter Robinson) - Enable Landlock for Fedora (Justin M. Forbes) - Filter update for Fedora aarch64 (Justin M. Forbes) - rpmspec: only build debug meta packages where we build debug ones (Herton R. Krzesinski) - rpmspec: do not BuildRequires bpftool on nobuildarches (Herton R. Krzesinski) - redhat/configs: Consolidate CONFIG_HMC_DRV in the common s390x folder (Thomas Huth) [1976270] - redhat/configs: Consolidate CONFIG_EXPOLINE_OFF in the common folder (Thomas Huth) [1976270] - redhat/configs: Move CONFIG_HW_RANDOM_S390 into the s390x/ subfolder (Thomas Huth) [1976270] - redhat/configs: Disable CONFIG_HOTPLUG_PCI_SHPC in the Fedora settings (Thomas Huth) [1976270] - redhat/configs: Remove the non-existent CONFIG_NO_BOOTMEM switch (Thomas Huth) [1976270] - redhat/configs: Compile the virtio-console as a module on s390x (Thomas Huth) [1976270] - redhat/configs: Enable CONFIG_S390_CCW_IOMMU and CONFIG_VFIO_CCW for ARK, too (Thomas Huth) [1976270] - Revert "Merge branch 'ec_fips' into 'os-build'" (Vladis Dronov) [1947240] Resolves: rhbz#1947240, rhbz#1976270, rhbz#1953486, rhbz#1977529, rhbz#1976835, rhbz#1972795 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-19 21:22:10 +00:00
# CONFIG_XILLYUSB is not set
# CONFIG_XMON_DEFAULT is not set
CONFIG_XMON_DEFAULT_RO_MODE=y
CONFIG_XMON_DISASSEMBLY=y
CONFIG_XMON=y
CONFIG_XZ_DEC_POWERPC=y
# CONFIG_XZ_DEC_TEST is not set
CONFIG_XZ_DEC_X86=y
CONFIG_XZ_DEC=y
# CONFIG_YAMAHA_YAS530 is not set
kernel-5.14.0-68.el9 * Tue Feb 22 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-68.el9] - net: ena: Extract recurring driver reset code into a function (Petr Oros) [2038150] - net: ena: Change the name of bad_csum variable (Petr Oros) [2038150] - net: ena: Add debug prints for invalid req_id resets (Petr Oros) [2038150] - net: ena: Remove ena_calc_queue_size_ctx struct (Petr Oros) [2038150] - net: ena: Move reset completion print to the reset function (Petr Oros) [2038150] - net: ena: Remove redundant return code check (Petr Oros) [2038150] - net: ena: Update LLQ header length in ena documentation (Petr Oros) [2038150] - net: ena: Change ENI stats support check to use capabilities field (Petr Oros) [2038150] - net: ena: Add capabilities field with support for ENI stats capability (Petr Oros) [2038150] - net: ena: Change return value of ena_calc_io_queue_size() to void (Petr Oros) [2038150] - net: ena: Fix error handling when calculating max IO queues number (Petr Oros) [2038150] - net: ena: Fix wrong rx request id by resetting device (Petr Oros) [2038150] - net: ena: Fix undefined state when tx request id is out of bounds (Petr Oros) [2038150] - net/packet: rx_owner_map depends on pg_vec (Hangbin Liu) [2050329] {CVE-2021-22600} - powerpc: security: Lock down the kernel if booted in secure boot mode (Desnes A. Nunes do Rosario) [2041984] - drm/i915: Flush TLBs before releasing backing store (Dave Airlie) [2044335] {CVE-2022-0330} - sfc: The RX page_ring is optional (Íñigo Huguet) [2042801] - sfc: Check null pointer of rx_queue->page_ring (Íñigo Huguet) [2042801] - sfc_ef100: potential dereference of null pointer (Íñigo Huguet) [2042801] - sfc: Don't use netif_info before net_device setup (Íñigo Huguet) [2042801] - sfc: Export fibre-specific supported link modes (Íñigo Huguet) [2042801] - sfc: Fix reading non-legacy supported link modes (Íñigo Huguet) [2042801] - ethernet: constify references to netdev->dev_addr in drivers (Íñigo Huguet) [2042801] - sfc: last resort fallback for lack of xdp tx queues (Íñigo Huguet) [2042801] - sfc: fallback for lack of xdp tx queues (Íñigo Huguet) [2042801] - RDMA/cma: Do not change route.addr.src_addr.ss_family (Kamal Heib) [2032069] {CVE-2021-4028} - kernel/sched/sched.h: Exclude cpuidle from KABI (Prarit Bhargava) [2033340] - redhat/configs: Disable CONFIG_SENSORS_NCT6683 in RHEL for arm/aarch64 (Dean Nelson) [2041186] - kernel.spec: Add glibc-static build requirement (Prarit Bhargava) - libbpf: Fix memleak in libbpf_netlink_recv() (Toke Høiland-Jørgensen) [2052543] - libbpf: Use dynamically allocated buffer when receiving netlink messages (Toke Høiland-Jørgensen) [2052543] - redhat/configs: Disable arch_hw disabled CONFIGS (Prarit Bhargava) [2053029] - netfilter: conntrack: re-init state for retransmitted syn-ack (Florian Westphal) [2051973] - netfilter: conntrack: move synack init code to helper (Florian Westphal) [2051973] - ext4: fix remount with 'abort' option (Lukas Czerner) [2049657] - thunderbolt: Enable retry logic for intra-domain control packets (David Arcari) [1960445] - thunderbolt: Handle ring interrupt by reading interrupt status register (David Arcari) [1960445] - thunderbolt: Add vendor specific NHI quirk for auto-clearing interrupt status (David Arcari) [1960445] - thunderbolt: Fix port linking by checking all adapters (David Arcari) [1960445] - thunderbolt: Do not read control adapter config space (David Arcari) [1960445] Resolves: rhbz#1960445, rhbz#2032069, rhbz#2033340, rhbz#2038150, rhbz#2041186, rhbz#2041984, rhbz#2042801, rhbz#2044335, rhbz#2049657, rhbz#2050329, rhbz#2051973, rhbz#2052543, rhbz#2053029 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-02-22 21:11:45 +00:00
# CONFIG_YENTA is not set
# CONFIG_Z3FOLD is not set
CONFIG_ZBUD=y
# CONFIG_ZEROPLUS_FF is not set
# CONFIG_ZIIRAVE_WATCHDOG is not set
CONFIG_ZISOFS=y
CONFIG_ZLIB_DEFLATE=y
CONFIG_ZLIB_DFLTCC=y
CONFIG_ZLIB_INFLATE=y
CONFIG_ZONE_DEVICE=y
kernel-5.14.0-0.rc3.29.el9 * Mon Jul 26 2021 Herton R. Krzesinski <herton@redhat.com> [5.14.0-0.rc3.29] - configs/process_configs.sh: Handle config items with no help text (Patrick Talbert) - fedora: sound config updates for 5.14 (Peter Robinson) - fedora: Only enable FSI drivers on POWER platform (Peter Robinson) - The CONFIG_RAW_DRIVER has been removed from upstream (Peter Robinson) - fedora: updates for 5.14 with a few disables for common from pending (Peter Robinson) - fedora: migrate from MFD_TPS68470 -> INTEL_SKL_INT3472 (Peter Robinson) - fedora: Remove STAGING_GASKET_FRAMEWORK (Peter Robinson) - Fedora: move DRM_VMWGFX configs from ark -> common (Peter Robinson) - fedora: arm: disabled unused FB drivers (Peter Robinson) - fedora: don't enable FB_VIRTUAL (Peter Robinson) - redhat/configs: Double MAX_LOCKDEP_ENTRIES (Waiman Long) [1940075] - rpmspec: fix verbose output on kernel-devel installation (Herton R. Krzesinski) [1981406] - Build Fedora x86s kernels with bytcr-wm5102 (Marius Hoch) - Deleted redhat/configs/fedora/generic/x86/CONFIG_FB_HYPERV (Patrick Lang) - rpmspec: correct the ghost initramfs attributes (Herton R. Krzesinski) [1977056] - rpmspec: amend removal of depmod created files to include modules.builtin.alias.bin (Herton R. Krzesinski) [1977056] - configs: remove duplicate CONFIG_DRM_HYPERV file (Patrick Talbert) - CI: use common code for merge and release (Don Zickus) - rpmspec: add release string to kernel doc directory name (Jan Stancek) - redhat/configs: Add CONFIG_INTEL_PMT_CRASHLOG (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_INTEL_PMT_TELEMETRY (Michael Petlan) [1880486] - redhat/configs: Add CONFIG_MFD_INTEL_PMT (Michael Petlan) [1880486] - redhat/configs: enable CONFIG_BLK_DEV_ZONED (Ming Lei) [1638087] - Add --with clang_lto option to build the kernel with Link Time Optimizations (Tom Stellard) - common: disable DVB_AV7110 and associated pieces (Peter Robinson) - Fix fedora-only config updates (Don Zickus) Resolves: rhbz#1638087, rhbz#1977056, rhbz#1940075 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2021-07-26 21:42:21 +00:00
# CONFIG_ZONEFS_FS is not set
# CONFIG_ZOPT2201 is not set
# CONFIG_ZPA2326 is not set
CONFIG_ZPOOL=y
# CONFIG_ZRAM_DEF_COMP_842 is not set
kernel-5.14.0-44.el9 * Mon Jan 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-44.el9] - dm btree remove: fix use after free in rebalance_children() (Benjamin Marzinski) [2031198] - dm table: log table creation error code (Benjamin Marzinski) [2031198] - dm: make workqueue names device-specific (Benjamin Marzinski) [2031198] - dm writecache: Make use of the helper macro kthread_run() (Benjamin Marzinski) [2031198] - dm crypt: Make use of the helper macro kthread_run() (Benjamin Marzinski) [2031198] - dm: Remove redundant flush_workqueue() calls (Benjamin Marzinski) [2031198] - dm crypt: log aead integrity violations to audit subsystem (Benjamin Marzinski) [2031198] - dm integrity: log audit events for dm-integrity target (Benjamin Marzinski) [2031198] - dm: introduce audit event module for device mapper (Benjamin Marzinski) [2031198] - dm: fix mempool NULL pointer race when completing IO (Benjamin Marzinski) [2031198] - dm rq: don't queue request to blk-mq during DM suspend (Benjamin Marzinski) [2031198] - dm clone: make array 'descs' static (Benjamin Marzinski) [2031198] - dm verity: skip redundant verity_handle_err() on I/O errors (Benjamin Marzinski) [2031198] - dm crypt: use in_hardirq() instead of deprecated in_irq() (Benjamin Marzinski) [2031198] - dm ima: update dm documentation for ima measurement support (Benjamin Marzinski) [2031198] - dm ima: update dm target attributes for ima measurements (Benjamin Marzinski) [2031198] - dm ima: add a warning in dm_init if duplicate ima events are not measured (Benjamin Marzinski) [2031198] - dm ima: prefix ima event name related to device mapper with dm_ (Benjamin Marzinski) [2031198] - dm ima: add version info to dm related events in ima log (Benjamin Marzinski) [2031198] - dm ima: prefix dm table hashes in ima log with hash algorithm (Benjamin Marzinski) [2031198] - dm crypt: Avoid percpu_counter spinlock contention in crypt_page_alloc() (Benjamin Marzinski) [2031198] - dm: add documentation for IMA measurement support (Benjamin Marzinski) [2031198] - dm: update target status functions to support IMA measurement (Benjamin Marzinski) [2031198] - dm ima: measure data on device rename (Benjamin Marzinski) [2031198] - dm ima: measure data on table clear (Benjamin Marzinski) [2031198] - dm ima: measure data on device remove (Benjamin Marzinski) [2031198] - dm ima: measure data on device resume (Benjamin Marzinski) [2031198] - dm ima: measure data on table load (Benjamin Marzinski) [2031198] - dm writecache: add event counters (Benjamin Marzinski) [2031198] - dm writecache: report invalid return from writecache_map helpers (Benjamin Marzinski) [2031198] - dm writecache: further writecache_map() cleanup (Benjamin Marzinski) [2031198] - dm writecache: factor out writecache_map_remap_origin() (Benjamin Marzinski) [2031198] - dm writecache: split up writecache_map() to improve code readability (Benjamin Marzinski) [2031198] - redhat: Pull in openssl-devel as a build dependency correctly (Neal Gompa) [2034670] - redhat/configs: Enable ThinkLMI support (Mark Pearson) [2030770] - platform/x86: think-lmi: Abort probe on analyze failure (Mark Pearson) [2030770] - platform/x86: think-lmi: add debug_cmd (Mark Pearson) [2030770] - include/linux/timer.h: Pad timer_list struct for KABI (Prarit Bhargava) [2034452] - kernel: Include RHEL Ecosystem message (Prarit Bhargava) [2033650] - include/linux/ioport.h: Pad resource struct for KABI (Prarit Bhargava) [2033475] - include/linux/hrtimer.h: Pad hrtimer struct for KABI (Prarit Bhargava) [2033473] - redhat/configs: Add explicit values for ZRAM_DEF_COMP_LZ4* configs (Neal Gompa) [2032758] - redhat/configs: Enable CONFIG_CRYPTO_ZSTD (Neal Gompa) [2032758] - redhat/configs: Migrate defaults for ZRAM from pending-common to common (Neal Gompa) [2032758] - Enable iSER on s390x (Stefan Schulze Frielinghaus) [1965279] Resolves: rhbz#1965279, rhbz#2030770, rhbz#2031198, rhbz#2032758, rhbz#2033473, rhbz#2033475, rhbz#2033650, rhbz#2034452, rhbz#2034670 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-17 16:13:26 +00:00
# CONFIG_ZRAM_DEF_COMP_LZ4HC is not set
# CONFIG_ZRAM_DEF_COMP_LZ4 is not set
# CONFIG_ZRAM_DEF_COMP_LZO is not set
CONFIG_ZRAM_DEF_COMP_LZORLE=y
kernel-5.14.0-44.el9 * Mon Jan 17 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-44.el9] - dm btree remove: fix use after free in rebalance_children() (Benjamin Marzinski) [2031198] - dm table: log table creation error code (Benjamin Marzinski) [2031198] - dm: make workqueue names device-specific (Benjamin Marzinski) [2031198] - dm writecache: Make use of the helper macro kthread_run() (Benjamin Marzinski) [2031198] - dm crypt: Make use of the helper macro kthread_run() (Benjamin Marzinski) [2031198] - dm: Remove redundant flush_workqueue() calls (Benjamin Marzinski) [2031198] - dm crypt: log aead integrity violations to audit subsystem (Benjamin Marzinski) [2031198] - dm integrity: log audit events for dm-integrity target (Benjamin Marzinski) [2031198] - dm: introduce audit event module for device mapper (Benjamin Marzinski) [2031198] - dm: fix mempool NULL pointer race when completing IO (Benjamin Marzinski) [2031198] - dm rq: don't queue request to blk-mq during DM suspend (Benjamin Marzinski) [2031198] - dm clone: make array 'descs' static (Benjamin Marzinski) [2031198] - dm verity: skip redundant verity_handle_err() on I/O errors (Benjamin Marzinski) [2031198] - dm crypt: use in_hardirq() instead of deprecated in_irq() (Benjamin Marzinski) [2031198] - dm ima: update dm documentation for ima measurement support (Benjamin Marzinski) [2031198] - dm ima: update dm target attributes for ima measurements (Benjamin Marzinski) [2031198] - dm ima: add a warning in dm_init if duplicate ima events are not measured (Benjamin Marzinski) [2031198] - dm ima: prefix ima event name related to device mapper with dm_ (Benjamin Marzinski) [2031198] - dm ima: add version info to dm related events in ima log (Benjamin Marzinski) [2031198] - dm ima: prefix dm table hashes in ima log with hash algorithm (Benjamin Marzinski) [2031198] - dm crypt: Avoid percpu_counter spinlock contention in crypt_page_alloc() (Benjamin Marzinski) [2031198] - dm: add documentation for IMA measurement support (Benjamin Marzinski) [2031198] - dm: update target status functions to support IMA measurement (Benjamin Marzinski) [2031198] - dm ima: measure data on device rename (Benjamin Marzinski) [2031198] - dm ima: measure data on table clear (Benjamin Marzinski) [2031198] - dm ima: measure data on device remove (Benjamin Marzinski) [2031198] - dm ima: measure data on device resume (Benjamin Marzinski) [2031198] - dm ima: measure data on table load (Benjamin Marzinski) [2031198] - dm writecache: add event counters (Benjamin Marzinski) [2031198] - dm writecache: report invalid return from writecache_map helpers (Benjamin Marzinski) [2031198] - dm writecache: further writecache_map() cleanup (Benjamin Marzinski) [2031198] - dm writecache: factor out writecache_map_remap_origin() (Benjamin Marzinski) [2031198] - dm writecache: split up writecache_map() to improve code readability (Benjamin Marzinski) [2031198] - redhat: Pull in openssl-devel as a build dependency correctly (Neal Gompa) [2034670] - redhat/configs: Enable ThinkLMI support (Mark Pearson) [2030770] - platform/x86: think-lmi: Abort probe on analyze failure (Mark Pearson) [2030770] - platform/x86: think-lmi: add debug_cmd (Mark Pearson) [2030770] - include/linux/timer.h: Pad timer_list struct for KABI (Prarit Bhargava) [2034452] - kernel: Include RHEL Ecosystem message (Prarit Bhargava) [2033650] - include/linux/ioport.h: Pad resource struct for KABI (Prarit Bhargava) [2033475] - include/linux/hrtimer.h: Pad hrtimer struct for KABI (Prarit Bhargava) [2033473] - redhat/configs: Add explicit values for ZRAM_DEF_COMP_LZ4* configs (Neal Gompa) [2032758] - redhat/configs: Enable CONFIG_CRYPTO_ZSTD (Neal Gompa) [2032758] - redhat/configs: Migrate defaults for ZRAM from pending-common to common (Neal Gompa) [2032758] - Enable iSER on s390x (Stefan Schulze Frielinghaus) [1965279] Resolves: rhbz#1965279, rhbz#2030770, rhbz#2031198, rhbz#2032758, rhbz#2033473, rhbz#2033475, rhbz#2033650, rhbz#2034452, rhbz#2034670 Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-01-17 16:13:26 +00:00
# CONFIG_ZRAM_DEF_COMP_ZSTD is not set
CONFIG_ZRAM=m
CONFIG_ZRAM_MEMORY_TRACKING=y
CONFIG_ZRAM_WRITEBACK=y
CONFIG_ZSMALLOC_STAT=y
CONFIG_ZSMALLOC=y
# CONFIG_ZSWAP_COMPRESSOR_DEFAULT_842 is not set
# CONFIG_ZSWAP_COMPRESSOR_DEFAULT_DEFLATE is not set
# CONFIG_ZSWAP_COMPRESSOR_DEFAULT_LZ4HC is not set
# CONFIG_ZSWAP_COMPRESSOR_DEFAULT_LZ4 is not set
CONFIG_ZSWAP_COMPRESSOR_DEFAULT_LZO=y
# CONFIG_ZSWAP_COMPRESSOR_DEFAULT_ZSTD is not set
# CONFIG_ZSWAP_DEFAULT_ON is not set
CONFIG_ZSWAP=y
# CONFIG_ZSWAP_ZPOOL_DEFAULT_Z3FOLD is not set
CONFIG_ZSWAP_ZPOOL_DEFAULT_ZBUD=y
# CONFIG_ZSWAP_ZPOOL_DEFAULT_ZSMALLOC is not set